\ Equation counts \ Total E G L N X C B \ 79251 10357 27185 41709 0 0 0 0 \ \ Variable counts \ x b i s1s s2s sc si \ Total cont binary integer sos1 sos2 scont sint \ 28949 24149 4800 0 0 0 0 0 \ \ Nonzero counts \ Total const NL DLL \ 245338 230938 14400 0 \ Minimize obj: 1448.633197 b2 + 1448.633197 b3 + 1448.633197 b4 + 1448.633197 b5 + 1448.633197 b6 + 1448.633197 b7 + 1448.633197 b8 + 1448.633197 b9 + 1448.633197 b10 + 1448.633197 b11 + 1448.633197 b12 + 1448.633197 b13 + 1448.633197 b14 + 1448.633197 b15 + 1448.633197 b16 + 1448.633197 b17 + 1448.633197 b18 + 1448.633197 b19 + 1448.633197 b20 + 1448.633197 b21 + 1448.633197 b22 + 1448.633197 b23 + 1448.633197 b24 + 1448.633197 b25 + 1265.538864 b26 + 1265.538864 b27 + 1265.538864 b28 + 1265.538864 b29 + 1265.538864 b30 + 1265.538864 b31 + 1265.538864 b32 + 1265.538864 b33 + 1265.538864 b34 + 1265.538864 b35 + 1265.538864 b36 + 1265.538864 b37 + 1265.538864 b38 + 1265.538864 b39 + 1265.538864 b40 + 1265.538864 b41 + 1265.538864 b42 + 1265.538864 b43 + 1265.538864 b44 + 1265.538864 b45 + 1265.538864 b46 + 1265.538864 b47 + 1265.538864 b48 + 1265.538864 b49 + 1422.141572 b50 + 1422.141572 b51 + 1422.141572 b52 + 1422.141572 b53 + 1422.141572 b54 + 1422.141572 b55 + 1422.141572 b56 + 1422.141572 b57 + 1422.141572 b58 + 1422.141572 b59 + 1422.141572 b60 + 1422.141572 b61 + 1422.141572 b62 + 1422.141572 b63 + 1422.141572 b64 + 1422.141572 b65 + 1422.141572 b66 + 1422.141572 b67 + 1422.141572 b68 + 1422.141572 b69 + 1422.141572 b70 + 1422.141572 b71 + 1422.141572 b72 + 1422.141572 b73 + 1394.283773 b74 + 1394.283773 b75 + 1394.283773 b76 + 1394.283773 b77 + 1394.283773 b78 + 1394.283773 b79 + 1394.283773 b80 + 1394.283773 b81 + 1394.283773 b82 + 1394.283773 b83 + 1394.283773 b84 + 1394.283773 b85 + 1394.283773 b86 + 1394.283773 b87 + 1394.283773 b88 + 1394.283773 b89 + 1394.283773 b90 + 1394.283773 b91 + 1394.283773 b92 + 1394.283773 b93 + 1394.283773 b94 + 1394.283773 b95 + 1394.283773 b96 + 1394.283773 b97 + 1307.043188 b98 + 1307.043188 b99 + 1307.043188 b100 + 1307.043188 b101 + 1307.043188 b102 + 1307.043188 b103 + 1307.043188 b104 + 1307.043188 b105 + 1307.043188 b106 + 1307.043188 b107 + 1307.043188 b108 + 1307.043188 b109 + 1307.043188 b110 + 1307.043188 b111 + 1307.043188 b112 + 1307.043188 b113 + 1307.043188 b114 + 1307.043188 b115 + 1307.043188 b116 + 1307.043188 b117 + 1307.043188 b118 + 1307.043188 b119 + 1307.043188 b120 + 1307.043188 b121 + 1223.063625 b122 + 1223.063625 b123 + 1223.063625 b124 + 1223.063625 b125 + 1223.063625 b126 + 1223.063625 b127 + 1223.063625 b128 + 1223.063625 b129 + 1223.063625 b130 + 1223.063625 b131 + 1223.063625 b132 + 1223.063625 b133 + 1223.063625 b134 + 1223.063625 b135 + 1223.063625 b136 + 1223.063625 b137 + 1223.063625 b138 + 1223.063625 b139 + 1223.063625 b140 + 1223.063625 b141 + 1223.063625 b142 + 1223.063625 b143 + 1223.063625 b144 + 1223.063625 b145 + 1322.444693 b146 + 1322.444693 b147 + 1322.444693 b148 + 1322.444693 b149 + 1322.444693 b150 + 1322.444693 b151 + 1322.444693 b152 + 1322.444693 b153 + 1322.444693 b154 + 1322.444693 b155 + 1322.444693 b156 + 1322.444693 b157 + 1322.444693 b158 + 1322.444693 b159 + 1322.444693 b160 + 1322.444693 b161 + 1322.444693 b162 + 1322.444693 b163 + 1322.444693 b164 + 1322.444693 b165 + 1322.444693 b166 + 1322.444693 b167 + 1322.444693 b168 + 1322.444693 b169 + 1596.630044 b170 + 1596.630044 b171 + 1596.630044 b172 + 1596.630044 b173 + 1596.630044 b174 + 1596.630044 b175 + 1596.630044 b176 + 1596.630044 b177 + 1596.630044 b178 + 1596.630044 b179 + 1596.630044 b180 + 1596.630044 b181 + 1596.630044 b182 + 1596.630044 b183 + 1596.630044 b184 + 1596.630044 b185 + 1596.630044 b186 + 1596.630044 b187 + 1596.630044 b188 + 1596.630044 b189 + 1596.630044 b190 + 1596.630044 b191 + 1596.630044 b192 + 1596.630044 b193 + 1455.486654 b194 + 1455.486654 b195 + 1455.486654 b196 + 1455.486654 b197 + 1455.486654 b198 + 1455.486654 b199 + 1455.486654 b200 + 1455.486654 b201 + 1455.486654 b202 + 1455.486654 b203 + 1455.486654 b204 + 1455.486654 b205 + 1455.486654 b206 + 1455.486654 b207 + 1455.486654 b208 + 1455.486654 b209 + 1455.486654 b210 + 1455.486654 b211 + 1455.486654 b212 + 1455.486654 b213 + 1455.486654 b214 + 1455.486654 b215 + 1455.486654 b216 + 1455.486654 b217 + 1583.262183 b218 + 1583.262183 b219 + 1583.262183 b220 + 1583.262183 b221 + 1583.262183 b222 + 1583.262183 b223 + 1583.262183 b224 + 1583.262183 b225 + 1583.262183 b226 + 1583.262183 b227 + 1583.262183 b228 + 1583.262183 b229 + 1583.262183 b230 + 1583.262183 b231 + 1583.262183 b232 + 1583.262183 b233 + 1583.262183 b234 + 1583.262183 b235 + 1583.262183 b236 + 1583.262183 b237 + 1583.262183 b238 + 1583.262183 b239 + 1583.262183 b240 + 1583.262183 b241 + 1446.135282 b242 + 1446.135282 b243 + 1446.135282 b244 + 1446.135282 b245 + 1446.135282 b246 + 1446.135282 b247 + 1446.135282 b248 + 1446.135282 b249 + 1446.135282 b250 + 1446.135282 b251 + 1446.135282 b252 + 1446.135282 b253 + 1446.135282 b254 + 1446.135282 b255 + 1446.135282 b256 + 1446.135282 b257 + 1446.135282 b258 + 1446.135282 b259 + 1446.135282 b260 + 1446.135282 b261 + 1446.135282 b262 + 1446.135282 b263 + 1446.135282 b264 + 1446.135282 b265 + 1515.625493 b266 + 1515.625493 b267 + 1515.625493 b268 + 1515.625493 b269 + 1515.625493 b270 + 1515.625493 b271 + 1515.625493 b272 + 1515.625493 b273 + 1515.625493 b274 + 1515.625493 b275 + 1515.625493 b276 + 1515.625493 b277 + 1515.625493 b278 + 1515.625493 b279 + 1515.625493 b280 + 1515.625493 b281 + 1515.625493 b282 + 1515.625493 b283 + 1515.625493 b284 + 1515.625493 b285 + 1515.625493 b286 + 1515.625493 b287 + 1515.625493 b288 + 1515.625493 b289 + 1427.063092 b290 + 1427.063092 b291 + 1427.063092 b292 + 1427.063092 b293 + 1427.063092 b294 + 1427.063092 b295 + 1427.063092 b296 + 1427.063092 b297 + 1427.063092 b298 + 1427.063092 b299 + 1427.063092 b300 + 1427.063092 b301 + 1427.063092 b302 + 1427.063092 b303 + 1427.063092 b304 + 1427.063092 b305 + 1427.063092 b306 + 1427.063092 b307 + 1427.063092 b308 + 1427.063092 b309 + 1427.063092 b310 + 1427.063092 b311 + 1427.063092 b312 + 1427.063092 b313 + 1446.870516 b314 + 1446.870516 b315 + 1446.870516 b316 + 1446.870516 b317 + 1446.870516 b318 + 1446.870516 b319 + 1446.870516 b320 + 1446.870516 b321 + 1446.870516 b322 + 1446.870516 b323 + 1446.870516 b324 + 1446.870516 b325 + 1446.870516 b326 + 1446.870516 b327 + 1446.870516 b328 + 1446.870516 b329 + 1446.870516 b330 + 1446.870516 b331 + 1446.870516 b332 + 1446.870516 b333 + 1446.870516 b334 + 1446.870516 b335 + 1446.870516 b336 + 1446.870516 b337 + 1196.443447 b338 + 1196.443447 b339 + 1196.443447 b340 + 1196.443447 b341 + 1196.443447 b342 + 1196.443447 b343 + 1196.443447 b344 + 1196.443447 b345 + 1196.443447 b346 + 1196.443447 b347 + 1196.443447 b348 + 1196.443447 b349 + 1196.443447 b350 + 1196.443447 b351 + 1196.443447 b352 + 1196.443447 b353 + 1196.443447 b354 + 1196.443447 b355 + 1196.443447 b356 + 1196.443447 b357 + 1196.443447 b358 + 1196.443447 b359 + 1196.443447 b360 + 1196.443447 b361 + 1578.643893 b362 + 1578.643893 b363 + 1578.643893 b364 + 1578.643893 b365 + 1578.643893 b366 + 1578.643893 b367 + 1578.643893 b368 + 1578.643893 b369 + 1578.643893 b370 + 1578.643893 b371 + 1578.643893 b372 + 1578.643893 b373 + 1578.643893 b374 + 1578.643893 b375 + 1578.643893 b376 + 1578.643893 b377 + 1578.643893 b378 + 1578.643893 b379 + 1578.643893 b380 + 1578.643893 b381 + 1578.643893 b382 + 1578.643893 b383 + 1578.643893 b384 + 1578.643893 b385 + 1292.241502 b386 + 1292.241502 b387 + 1292.241502 b388 + 1292.241502 b389 + 1292.241502 b390 + 1292.241502 b391 + 1292.241502 b392 + 1292.241502 b393 + 1292.241502 b394 + 1292.241502 b395 + 1292.241502 b396 + 1292.241502 b397 + 1292.241502 b398 + 1292.241502 b399 + 1292.241502 b400 + 1292.241502 b401 + 1292.241502 b402 + 1292.241502 b403 + 1292.241502 b404 + 1292.241502 b405 + 1292.241502 b406 + 1292.241502 b407 + 1292.241502 b408 + 1292.241502 b409 + 1630.32852 b410 + 1630.32852 b411 + 1630.32852 b412 + 1630.32852 b413 + 1630.32852 b414 + 1630.32852 b415 + 1630.32852 b416 + 1630.32852 b417 + 1630.32852 b418 + 1630.32852 b419 + 1630.32852 b420 + 1630.32852 b421 + 1630.32852 b422 + 1630.32852 b423 + 1630.32852 b424 + 1630.32852 b425 + 1630.32852 b426 + 1630.32852 b427 + 1630.32852 b428 + 1630.32852 b429 + 1630.32852 b430 + 1630.32852 b431 + 1630.32852 b432 + 1630.32852 b433 + 1296.237549 b434 + 1296.237549 b435 + 1296.237549 b436 + 1296.237549 b437 + 1296.237549 b438 + 1296.237549 b439 + 1296.237549 b440 + 1296.237549 b441 + 1296.237549 b442 + 1296.237549 b443 + 1296.237549 b444 + 1296.237549 b445 + 1296.237549 b446 + 1296.237549 b447 + 1296.237549 b448 + 1296.237549 b449 + 1296.237549 b450 + 1296.237549 b451 + 1296.237549 b452 + 1296.237549 b453 + 1296.237549 b454 + 1296.237549 b455 + 1296.237549 b456 + 1296.237549 b457 + 1350.189896 b458 + 1350.189896 b459 + 1350.189896 b460 + 1350.189896 b461 + 1350.189896 b462 + 1350.189896 b463 + 1350.189896 b464 + 1350.189896 b465 + 1350.189896 b466 + 1350.189896 b467 + 1350.189896 b468 + 1350.189896 b469 + 1350.189896 b470 + 1350.189896 b471 + 1350.189896 b472 + 1350.189896 b473 + 1350.189896 b474 + 1350.189896 b475 + 1350.189896 b476 + 1350.189896 b477 + 1350.189896 b478 + 1350.189896 b479 + 1350.189896 b480 + 1350.189896 b481 + 1379.353894 b482 + 1379.353894 b483 + 1379.353894 b484 + 1379.353894 b485 + 1379.353894 b486 + 1379.353894 b487 + 1379.353894 b488 + 1379.353894 b489 + 1379.353894 b490 + 1379.353894 b491 + 1379.353894 b492 + 1379.353894 b493 + 1379.353894 b494 + 1379.353894 b495 + 1379.353894 b496 + 1379.353894 b497 + 1379.353894 b498 + 1379.353894 b499 + 1379.353894 b500 + 1379.353894 b501 + 1379.353894 b502 + 1379.353894 b503 + 1379.353894 b504 + 1379.353894 b505 + 1357.208742 b506 + 1357.208742 b507 + 1357.208742 b508 + 1357.208742 b509 + 1357.208742 b510 + 1357.208742 b511 + 1357.208742 b512 + 1357.208742 b513 + 1357.208742 b514 + 1357.208742 b515 + 1357.208742 b516 + 1357.208742 b517 + 1357.208742 b518 + 1357.208742 b519 + 1357.208742 b520 + 1357.208742 b521 + 1357.208742 b522 + 1357.208742 b523 + 1357.208742 b524 + 1357.208742 b525 + 1357.208742 b526 + 1357.208742 b527 + 1357.208742 b528 + 1357.208742 b529 + 1326.715101 b530 + 1326.715101 b531 + 1326.715101 b532 + 1326.715101 b533 + 1326.715101 b534 + 1326.715101 b535 + 1326.715101 b536 + 1326.715101 b537 + 1326.715101 b538 + 1326.715101 b539 + 1326.715101 b540 + 1326.715101 b541 + 1326.715101 b542 + 1326.715101 b543 + 1326.715101 b544 + 1326.715101 b545 + 1326.715101 b546 + 1326.715101 b547 + 1326.715101 b548 + 1326.715101 b549 + 1326.715101 b550 + 1326.715101 b551 + 1326.715101 b552 + 1326.715101 b553 + 1570.452403 b554 + 1570.452403 b555 + 1570.452403 b556 + 1570.452403 b557 + 1570.452403 b558 + 1570.452403 b559 + 1570.452403 b560 + 1570.452403 b561 + 1570.452403 b562 + 1570.452403 b563 + 1570.452403 b564 + 1570.452403 b565 + 1570.452403 b566 + 1570.452403 b567 + 1570.452403 b568 + 1570.452403 b569 + 1570.452403 b570 + 1570.452403 b571 + 1570.452403 b572 + 1570.452403 b573 + 1570.452403 b574 + 1570.452403 b575 + 1570.452403 b576 + 1570.452403 b577 + 1354.082721 b578 + 1354.082721 b579 + 1354.082721 b580 + 1354.082721 b581 + 1354.082721 b582 + 1354.082721 b583 + 1354.082721 b584 + 1354.082721 b585 + 1354.082721 b586 + 1354.082721 b587 + 1354.082721 b588 + 1354.082721 b589 + 1354.082721 b590 + 1354.082721 b591 + 1354.082721 b592 + 1354.082721 b593 + 1354.082721 b594 + 1354.082721 b595 + 1354.082721 b596 + 1354.082721 b597 + 1354.082721 b598 + 1354.082721 b599 + 1354.082721 b600 + 1354.082721 b601 + 1379.489531 b602 + 1379.489531 b603 + 1379.489531 b604 + 1379.489531 b605 + 1379.489531 b606 + 1379.489531 b607 + 1379.489531 b608 + 1379.489531 b609 + 1379.489531 b610 + 1379.489531 b611 + 1379.489531 b612 + 1379.489531 b613 + 1379.489531 b614 + 1379.489531 b615 + 1379.489531 b616 + 1379.489531 b617 + 1379.489531 b618 + 1379.489531 b619 + 1379.489531 b620 + 1379.489531 b621 + 1379.489531 b622 + 1379.489531 b623 + 1379.489531 b624 + 1379.489531 b625 + 1252.002481 b626 + 1252.002481 b627 + 1252.002481 b628 + 1252.002481 b629 + 1252.002481 b630 + 1252.002481 b631 + 1252.002481 b632 + 1252.002481 b633 + 1252.002481 b634 + 1252.002481 b635 + 1252.002481 b636 + 1252.002481 b637 + 1252.002481 b638 + 1252.002481 b639 + 1252.002481 b640 + 1252.002481 b641 + 1252.002481 b642 + 1252.002481 b643 + 1252.002481 b644 + 1252.002481 b645 + 1252.002481 b646 + 1252.002481 b647 + 1252.002481 b648 + 1252.002481 b649 + 1292.675177 b650 + 1292.675177 b651 + 1292.675177 b652 + 1292.675177 b653 + 1292.675177 b654 + 1292.675177 b655 + 1292.675177 b656 + 1292.675177 b657 + 1292.675177 b658 + 1292.675177 b659 + 1292.675177 b660 + 1292.675177 b661 + 1292.675177 b662 + 1292.675177 b663 + 1292.675177 b664 + 1292.675177 b665 + 1292.675177 b666 + 1292.675177 b667 + 1292.675177 b668 + 1292.675177 b669 + 1292.675177 b670 + 1292.675177 b671 + 1292.675177 b672 + 1292.675177 b673 + 1611.293413 b674 + 1611.293413 b675 + 1611.293413 b676 + 1611.293413 b677 + 1611.293413 b678 + 1611.293413 b679 + 1611.293413 b680 + 1611.293413 b681 + 1611.293413 b682 + 1611.293413 b683 + 1611.293413 b684 + 1611.293413 b685 + 1611.293413 b686 + 1611.293413 b687 + 1611.293413 b688 + 1611.293413 b689 + 1611.293413 b690 + 1611.293413 b691 + 1611.293413 b692 + 1611.293413 b693 + 1611.293413 b694 + 1611.293413 b695 + 1611.293413 b696 + 1611.293413 b697 + 1481.347503 b698 + 1481.347503 b699 + 1481.347503 b700 + 1481.347503 b701 + 1481.347503 b702 + 1481.347503 b703 + 1481.347503 b704 + 1481.347503 b705 + 1481.347503 b706 + 1481.347503 b707 + 1481.347503 b708 + 1481.347503 b709 + 1481.347503 b710 + 1481.347503 b711 + 1481.347503 b712 + 1481.347503 b713 + 1481.347503 b714 + 1481.347503 b715 + 1481.347503 b716 + 1481.347503 b717 + 1481.347503 b718 + 1481.347503 b719 + 1481.347503 b720 + 1481.347503 b721 + 1496.801086 b722 + 1496.801086 b723 + 1496.801086 b724 + 1496.801086 b725 + 1496.801086 b726 + 1496.801086 b727 + 1496.801086 b728 + 1496.801086 b729 + 1496.801086 b730 + 1496.801086 b731 + 1496.801086 b732 + 1496.801086 b733 + 1496.801086 b734 + 1496.801086 b735 + 1496.801086 b736 + 1496.801086 b737 + 1496.801086 b738 + 1496.801086 b739 + 1496.801086 b740 + 1496.801086 b741 + 1496.801086 b742 + 1496.801086 b743 + 1496.801086 b744 + 1496.801086 b745 + 1518.77643 b746 + 1518.77643 b747 + 1518.77643 b748 + 1518.77643 b749 + 1518.77643 b750 + 1518.77643 b751 + 1518.77643 b752 + 1518.77643 b753 + 1518.77643 b754 + 1518.77643 b755 + 1518.77643 b756 + 1518.77643 b757 + 1518.77643 b758 + 1518.77643 b759 + 1518.77643 b760 + 1518.77643 b761 + 1518.77643 b762 + 1518.77643 b763 + 1518.77643 b764 + 1518.77643 b765 + 1518.77643 b766 + 1518.77643 b767 + 1518.77643 b768 + 1518.77643 b769 + 1328.304295 b770 + 1328.304295 b771 + 1328.304295 b772 + 1328.304295 b773 + 1328.304295 b774 + 1328.304295 b775 + 1328.304295 b776 + 1328.304295 b777 + 1328.304295 b778 + 1328.304295 b779 + 1328.304295 b780 + 1328.304295 b781 + 1328.304295 b782 + 1328.304295 b783 + 1328.304295 b784 + 1328.304295 b785 + 1328.304295 b786 + 1328.304295 b787 + 1328.304295 b788 + 1328.304295 b789 + 1328.304295 b790 + 1328.304295 b791 + 1328.304295 b792 + 1328.304295 b793 + 1362.435979 b794 + 1362.435979 b795 + 1362.435979 b796 + 1362.435979 b797 + 1362.435979 b798 + 1362.435979 b799 + 1362.435979 b800 + 1362.435979 b801 + 1362.435979 b802 + 1362.435979 b803 + 1362.435979 b804 + 1362.435979 b805 + 1362.435979 b806 + 1362.435979 b807 + 1362.435979 b808 + 1362.435979 b809 + 1362.435979 b810 + 1362.435979 b811 + 1362.435979 b812 + 1362.435979 b813 + 1362.435979 b814 + 1362.435979 b815 + 1362.435979 b816 + 1362.435979 b817 + 1439.990127 b818 + 1439.990127 b819 + 1439.990127 b820 + 1439.990127 b821 + 1439.990127 b822 + 1439.990127 b823 + 1439.990127 b824 + 1439.990127 b825 + 1439.990127 b826 + 1439.990127 b827 + 1439.990127 b828 + 1439.990127 b829 + 1439.990127 b830 + 1439.990127 b831 + 1439.990127 b832 + 1439.990127 b833 + 1439.990127 b834 + 1439.990127 b835 + 1439.990127 b836 + 1439.990127 b837 + 1439.990127 b838 + 1439.990127 b839 + 1439.990127 b840 + 1439.990127 b841 + 1501.652231 b842 + 1501.652231 b843 + 1501.652231 b844 + 1501.652231 b845 + 1501.652231 b846 + 1501.652231 b847 + 1501.652231 b848 + 1501.652231 b849 + 1501.652231 b850 + 1501.652231 b851 + 1501.652231 b852 + 1501.652231 b853 + 1501.652231 b854 + 1501.652231 b855 + 1501.652231 b856 + 1501.652231 b857 + 1501.652231 b858 + 1501.652231 b859 + 1501.652231 b860 + 1501.652231 b861 + 1501.652231 b862 + 1501.652231 b863 + 1501.652231 b864 + 1501.652231 b865 + 1245.45815 b866 + 1245.45815 b867 + 1245.45815 b868 + 1245.45815 b869 + 1245.45815 b870 + 1245.45815 b871 + 1245.45815 b872 + 1245.45815 b873 + 1245.45815 b874 + 1245.45815 b875 + 1245.45815 b876 + 1245.45815 b877 + 1245.45815 b878 + 1245.45815 b879 + 1245.45815 b880 + 1245.45815 b881 + 1245.45815 b882 + 1245.45815 b883 + 1245.45815 b884 + 1245.45815 b885 + 1245.45815 b886 + 1245.45815 b887 + 1245.45815 b888 + 1245.45815 b889 + 1270.87731 b890 + 1270.87731 b891 + 1270.87731 b892 + 1270.87731 b893 + 1270.87731 b894 + 1270.87731 b895 + 1270.87731 b896 + 1270.87731 b897 + 1270.87731 b898 + 1270.87731 b899 + 1270.87731 b900 + 1270.87731 b901 + 1270.87731 b902 + 1270.87731 b903 + 1270.87731 b904 + 1270.87731 b905 + 1270.87731 b906 + 1270.87731 b907 + 1270.87731 b908 + 1270.87731 b909 + 1270.87731 b910 + 1270.87731 b911 + 1270.87731 b912 + 1270.87731 b913 + 1325.550721 b914 + 1325.550721 b915 + 1325.550721 b916 + 1325.550721 b917 + 1325.550721 b918 + 1325.550721 b919 + 1325.550721 b920 + 1325.550721 b921 + 1325.550721 b922 + 1325.550721 b923 + 1325.550721 b924 + 1325.550721 b925 + 1325.550721 b926 + 1325.550721 b927 + 1325.550721 b928 + 1325.550721 b929 + 1325.550721 b930 + 1325.550721 b931 + 1325.550721 b932 + 1325.550721 b933 + 1325.550721 b934 + 1325.550721 b935 + 1325.550721 b936 + 1325.550721 b937 + 1361.464406 b938 + 1361.464406 b939 + 1361.464406 b940 + 1361.464406 b941 + 1361.464406 b942 + 1361.464406 b943 + 1361.464406 b944 + 1361.464406 b945 + 1361.464406 b946 + 1361.464406 b947 + 1361.464406 b948 + 1361.464406 b949 + 1361.464406 b950 + 1361.464406 b951 + 1361.464406 b952 + 1361.464406 b953 + 1361.464406 b954 + 1361.464406 b955 + 1361.464406 b956 + 1361.464406 b957 + 1361.464406 b958 + 1361.464406 b959 + 1361.464406 b960 + 1361.464406 b961 + 1483.448482 b962 + 1483.448482 b963 + 1483.448482 b964 + 1483.448482 b965 + 1483.448482 b966 + 1483.448482 b967 + 1483.448482 b968 + 1483.448482 b969 + 1483.448482 b970 + 1483.448482 b971 + 1483.448482 b972 + 1483.448482 b973 + 1483.448482 b974 + 1483.448482 b975 + 1483.448482 b976 + 1483.448482 b977 + 1483.448482 b978 + 1483.448482 b979 + 1483.448482 b980 + 1483.448482 b981 + 1483.448482 b982 + 1483.448482 b983 + 1483.448482 b984 + 1483.448482 b985 + 1530.460282 b986 + 1530.460282 b987 + 1530.460282 b988 + 1530.460282 b989 + 1530.460282 b990 + 1530.460282 b991 + 1530.460282 b992 + 1530.460282 b993 + 1530.460282 b994 + 1530.460282 b995 + 1530.460282 b996 + 1530.460282 b997 + 1530.460282 b998 + 1530.460282 b999 + 1530.460282 b1000 + 1530.460282 b1001 + 1530.460282 b1002 + 1530.460282 b1003 + 1530.460282 b1004 + 1530.460282 b1005 + 1530.460282 b1006 + 1530.460282 b1007 + 1530.460282 b1008 + 1530.460282 b1009 + 1655.54189 b1010 + 1655.54189 b1011 + 1655.54189 b1012 + 1655.54189 b1013 + 1655.54189 b1014 + 1655.54189 b1015 + 1655.54189 b1016 + 1655.54189 b1017 + 1655.54189 b1018 + 1655.54189 b1019 + 1655.54189 b1020 + 1655.54189 b1021 + 1655.54189 b1022 + 1655.54189 b1023 + 1655.54189 b1024 + 1655.54189 b1025 + 1655.54189 b1026 + 1655.54189 b1027 + 1655.54189 b1028 + 1655.54189 b1029 + 1655.54189 b1030 + 1655.54189 b1031 + 1655.54189 b1032 + 1655.54189 b1033 + 1280.752789 b1034 + 1280.752789 b1035 + 1280.752789 b1036 + 1280.752789 b1037 + 1280.752789 b1038 + 1280.752789 b1039 + 1280.752789 b1040 + 1280.752789 b1041 + 1280.752789 b1042 + 1280.752789 b1043 + 1280.752789 b1044 + 1280.752789 b1045 + 1280.752789 b1046 + 1280.752789 b1047 + 1280.752789 b1048 + 1280.752789 b1049 + 1280.752789 b1050 + 1280.752789 b1051 + 1280.752789 b1052 + 1280.752789 b1053 + 1280.752789 b1054 + 1280.752789 b1055 + 1280.752789 b1056 + 1280.752789 b1057 + 1319.569444 b1058 + 1319.569444 b1059 + 1319.569444 b1060 + 1319.569444 b1061 + 1319.569444 b1062 + 1319.569444 b1063 + 1319.569444 b1064 + 1319.569444 b1065 + 1319.569444 b1066 + 1319.569444 b1067 + 1319.569444 b1068 + 1319.569444 b1069 + 1319.569444 b1070 + 1319.569444 b1071 + 1319.569444 b1072 + 1319.569444 b1073 + 1319.569444 b1074 + 1319.569444 b1075 + 1319.569444 b1076 + 1319.569444 b1077 + 1319.569444 b1078 + 1319.569444 b1079 + 1319.569444 b1080 + 1319.569444 b1081 + 1490.114446 b1082 + 1490.114446 b1083 + 1490.114446 b1084 + 1490.114446 b1085 + 1490.114446 b1086 + 1490.114446 b1087 + 1490.114446 b1088 + 1490.114446 b1089 + 1490.114446 b1090 + 1490.114446 b1091 + 1490.114446 b1092 + 1490.114446 b1093 + 1490.114446 b1094 + 1490.114446 b1095 + 1490.114446 b1096 + 1490.114446 b1097 + 1490.114446 b1098 + 1490.114446 b1099 + 1490.114446 b1100 + 1490.114446 b1101 + 1490.114446 b1102 + 1490.114446 b1103 + 1490.114446 b1104 + 1490.114446 b1105 + 1399.917075 b1106 + 1399.917075 b1107 + 1399.917075 b1108 + 1399.917075 b1109 + 1399.917075 b1110 + 1399.917075 b1111 + 1399.917075 b1112 + 1399.917075 b1113 + 1399.917075 b1114 + 1399.917075 b1115 + 1399.917075 b1116 + 1399.917075 b1117 + 1399.917075 b1118 + 1399.917075 b1119 + 1399.917075 b1120 + 1399.917075 b1121 + 1399.917075 b1122 + 1399.917075 b1123 + 1399.917075 b1124 + 1399.917075 b1125 + 1399.917075 b1126 + 1399.917075 b1127 + 1399.917075 b1128 + 1399.917075 b1129 + 1539.660802 b1130 + 1539.660802 b1131 + 1539.660802 b1132 + 1539.660802 b1133 + 1539.660802 b1134 + 1539.660802 b1135 + 1539.660802 b1136 + 1539.660802 b1137 + 1539.660802 b1138 + 1539.660802 b1139 + 1539.660802 b1140 + 1539.660802 b1141 + 1539.660802 b1142 + 1539.660802 b1143 + 1539.660802 b1144 + 1539.660802 b1145 + 1539.660802 b1146 + 1539.660802 b1147 + 1539.660802 b1148 + 1539.660802 b1149 + 1539.660802 b1150 + 1539.660802 b1151 + 1539.660802 b1152 + 1539.660802 b1153 + 1466.82891 b1154 + 1466.82891 b1155 + 1466.82891 b1156 + 1466.82891 b1157 + 1466.82891 b1158 + 1466.82891 b1159 + 1466.82891 b1160 + 1466.82891 b1161 + 1466.82891 b1162 + 1466.82891 b1163 + 1466.82891 b1164 + 1466.82891 b1165 + 1466.82891 b1166 + 1466.82891 b1167 + 1466.82891 b1168 + 1466.82891 b1169 + 1466.82891 b1170 + 1466.82891 b1171 + 1466.82891 b1172 + 1466.82891 b1173 + 1466.82891 b1174 + 1466.82891 b1175 + 1466.82891 b1176 + 1466.82891 b1177 + 1294.232433 b1178 + 1294.232433 b1179 + 1294.232433 b1180 + 1294.232433 b1181 + 1294.232433 b1182 + 1294.232433 b1183 + 1294.232433 b1184 + 1294.232433 b1185 + 1294.232433 b1186 + 1294.232433 b1187 + 1294.232433 b1188 + 1294.232433 b1189 + 1294.232433 b1190 + 1294.232433 b1191 + 1294.232433 b1192 + 1294.232433 b1193 + 1294.232433 b1194 + 1294.232433 b1195 + 1294.232433 b1196 + 1294.232433 b1197 + 1294.232433 b1198 + 1294.232433 b1199 + 1294.232433 b1200 + 1294.232433 b1201 + 1413.77126 b1202 + 1413.77126 b1203 + 1413.77126 b1204 + 1413.77126 b1205 + 1413.77126 b1206 + 1413.77126 b1207 + 1413.77126 b1208 + 1413.77126 b1209 + 1413.77126 b1210 + 1413.77126 b1211 + 1413.77126 b1212 + 1413.77126 b1213 + 1413.77126 b1214 + 1413.77126 b1215 + 1413.77126 b1216 + 1413.77126 b1217 + 1413.77126 b1218 + 1413.77126 b1219 + 1413.77126 b1220 + 1413.77126 b1221 + 1413.77126 b1222 + 1413.77126 b1223 + 1413.77126 b1224 + 1413.77126 b1225 + 1550.460203 b1226 + 1550.460203 b1227 + 1550.460203 b1228 + 1550.460203 b1229 + 1550.460203 b1230 + 1550.460203 b1231 + 1550.460203 b1232 + 1550.460203 b1233 + 1550.460203 b1234 + 1550.460203 b1235 + 1550.460203 b1236 + 1550.460203 b1237 + 1550.460203 b1238 + 1550.460203 b1239 + 1550.460203 b1240 + 1550.460203 b1241 + 1550.460203 b1242 + 1550.460203 b1243 + 1550.460203 b1244 + 1550.460203 b1245 + 1550.460203 b1246 + 1550.460203 b1247 + 1550.460203 b1248 + 1550.460203 b1249 + 1363.318278 b1250 + 1363.318278 b1251 + 1363.318278 b1252 + 1363.318278 b1253 + 1363.318278 b1254 + 1363.318278 b1255 + 1363.318278 b1256 + 1363.318278 b1257 + 1363.318278 b1258 + 1363.318278 b1259 + 1363.318278 b1260 + 1363.318278 b1261 + 1363.318278 b1262 + 1363.318278 b1263 + 1363.318278 b1264 + 1363.318278 b1265 + 1363.318278 b1266 + 1363.318278 b1267 + 1363.318278 b1268 + 1363.318278 b1269 + 1363.318278 b1270 + 1363.318278 b1271 + 1363.318278 b1272 + 1363.318278 b1273 + 1640.847761 b1274 + 1640.847761 b1275 + 1640.847761 b1276 + 1640.847761 b1277 + 1640.847761 b1278 + 1640.847761 b1279 + 1640.847761 b1280 + 1640.847761 b1281 + 1640.847761 b1282 + 1640.847761 b1283 + 1640.847761 b1284 + 1640.847761 b1285 + 1640.847761 b1286 + 1640.847761 b1287 + 1640.847761 b1288 + 1640.847761 b1289 + 1640.847761 b1290 + 1640.847761 b1291 + 1640.847761 b1292 + 1640.847761 b1293 + 1640.847761 b1294 + 1640.847761 b1295 + 1640.847761 b1296 + 1640.847761 b1297 + 1342.43619 b1298 + 1342.43619 b1299 + 1342.43619 b1300 + 1342.43619 b1301 + 1342.43619 b1302 + 1342.43619 b1303 + 1342.43619 b1304 + 1342.43619 b1305 + 1342.43619 b1306 + 1342.43619 b1307 + 1342.43619 b1308 + 1342.43619 b1309 + 1342.43619 b1310 + 1342.43619 b1311 + 1342.43619 b1312 + 1342.43619 b1313 + 1342.43619 b1314 + 1342.43619 b1315 + 1342.43619 b1316 + 1342.43619 b1317 + 1342.43619 b1318 + 1342.43619 b1319 + 1342.43619 b1320 + 1342.43619 b1321 + 1358.760756 b1322 + 1358.760756 b1323 + 1358.760756 b1324 + 1358.760756 b1325 + 1358.760756 b1326 + 1358.760756 b1327 + 1358.760756 b1328 + 1358.760756 b1329 + 1358.760756 b1330 + 1358.760756 b1331 + 1358.760756 b1332 + 1358.760756 b1333 + 1358.760756 b1334 + 1358.760756 b1335 + 1358.760756 b1336 + 1358.760756 b1337 + 1358.760756 b1338 + 1358.760756 b1339 + 1358.760756 b1340 + 1358.760756 b1341 + 1358.760756 b1342 + 1358.760756 b1343 + 1358.760756 b1344 + 1358.760756 b1345 + 1465.803745 b1346 + 1465.803745 b1347 + 1465.803745 b1348 + 1465.803745 b1349 + 1465.803745 b1350 + 1465.803745 b1351 + 1465.803745 b1352 + 1465.803745 b1353 + 1465.803745 b1354 + 1465.803745 b1355 + 1465.803745 b1356 + 1465.803745 b1357 + 1465.803745 b1358 + 1465.803745 b1359 + 1465.803745 b1360 + 1465.803745 b1361 + 1465.803745 b1362 + 1465.803745 b1363 + 1465.803745 b1364 + 1465.803745 b1365 + 1465.803745 b1366 + 1465.803745 b1367 + 1465.803745 b1368 + 1465.803745 b1369 + 1323.671207 b1370 + 1323.671207 b1371 + 1323.671207 b1372 + 1323.671207 b1373 + 1323.671207 b1374 + 1323.671207 b1375 + 1323.671207 b1376 + 1323.671207 b1377 + 1323.671207 b1378 + 1323.671207 b1379 + 1323.671207 b1380 + 1323.671207 b1381 + 1323.671207 b1382 + 1323.671207 b1383 + 1323.671207 b1384 + 1323.671207 b1385 + 1323.671207 b1386 + 1323.671207 b1387 + 1323.671207 b1388 + 1323.671207 b1389 + 1323.671207 b1390 + 1323.671207 b1391 + 1323.671207 b1392 + 1323.671207 b1393 + 1653.219945 b1394 + 1653.219945 b1395 + 1653.219945 b1396 + 1653.219945 b1397 + 1653.219945 b1398 + 1653.219945 b1399 + 1653.219945 b1400 + 1653.219945 b1401 + 1653.219945 b1402 + 1653.219945 b1403 + 1653.219945 b1404 + 1653.219945 b1405 + 1653.219945 b1406 + 1653.219945 b1407 + 1653.219945 b1408 + 1653.219945 b1409 + 1653.219945 b1410 + 1653.219945 b1411 + 1653.219945 b1412 + 1653.219945 b1413 + 1653.219945 b1414 + 1653.219945 b1415 + 1653.219945 b1416 + 1653.219945 b1417 + 1433.427671 b1418 + 1433.427671 b1419 + 1433.427671 b1420 + 1433.427671 b1421 + 1433.427671 b1422 + 1433.427671 b1423 + 1433.427671 b1424 + 1433.427671 b1425 + 1433.427671 b1426 + 1433.427671 b1427 + 1433.427671 b1428 + 1433.427671 b1429 + 1433.427671 b1430 + 1433.427671 b1431 + 1433.427671 b1432 + 1433.427671 b1433 + 1433.427671 b1434 + 1433.427671 b1435 + 1433.427671 b1436 + 1433.427671 b1437 + 1433.427671 b1438 + 1433.427671 b1439 + 1433.427671 b1440 + 1433.427671 b1441 + 1351.094993 b1442 + 1351.094993 b1443 + 1351.094993 b1444 + 1351.094993 b1445 + 1351.094993 b1446 + 1351.094993 b1447 + 1351.094993 b1448 + 1351.094993 b1449 + 1351.094993 b1450 + 1351.094993 b1451 + 1351.094993 b1452 + 1351.094993 b1453 + 1351.094993 b1454 + 1351.094993 b1455 + 1351.094993 b1456 + 1351.094993 b1457 + 1351.094993 b1458 + 1351.094993 b1459 + 1351.094993 b1460 + 1351.094993 b1461 + 1351.094993 b1462 + 1351.094993 b1463 + 1351.094993 b1464 + 1351.094993 b1465 + 1436.209103 b1466 + 1436.209103 b1467 + 1436.209103 b1468 + 1436.209103 b1469 + 1436.209103 b1470 + 1436.209103 b1471 + 1436.209103 b1472 + 1436.209103 b1473 + 1436.209103 b1474 + 1436.209103 b1475 + 1436.209103 b1476 + 1436.209103 b1477 + 1436.209103 b1478 + 1436.209103 b1479 + 1436.209103 b1480 + 1436.209103 b1481 + 1436.209103 b1482 + 1436.209103 b1483 + 1436.209103 b1484 + 1436.209103 b1485 + 1436.209103 b1486 + 1436.209103 b1487 + 1436.209103 b1488 + 1436.209103 b1489 + 1296.615062 b1490 + 1296.615062 b1491 + 1296.615062 b1492 + 1296.615062 b1493 + 1296.615062 b1494 + 1296.615062 b1495 + 1296.615062 b1496 + 1296.615062 b1497 + 1296.615062 b1498 + 1296.615062 b1499 + 1296.615062 b1500 + 1296.615062 b1501 + 1296.615062 b1502 + 1296.615062 b1503 + 1296.615062 b1504 + 1296.615062 b1505 + 1296.615062 b1506 + 1296.615062 b1507 + 1296.615062 b1508 + 1296.615062 b1509 + 1296.615062 b1510 + 1296.615062 b1511 + 1296.615062 b1512 + 1296.615062 b1513 + 1380.936516 b1514 + 1380.936516 b1515 + 1380.936516 b1516 + 1380.936516 b1517 + 1380.936516 b1518 + 1380.936516 b1519 + 1380.936516 b1520 + 1380.936516 b1521 + 1380.936516 b1522 + 1380.936516 b1523 + 1380.936516 b1524 + 1380.936516 b1525 + 1380.936516 b1526 + 1380.936516 b1527 + 1380.936516 b1528 + 1380.936516 b1529 + 1380.936516 b1530 + 1380.936516 b1531 + 1380.936516 b1532 + 1380.936516 b1533 + 1380.936516 b1534 + 1380.936516 b1535 + 1380.936516 b1536 + 1380.936516 b1537 + 1470.139766 b1538 + 1470.139766 b1539 + 1470.139766 b1540 + 1470.139766 b1541 + 1470.139766 b1542 + 1470.139766 b1543 + 1470.139766 b1544 + 1470.139766 b1545 + 1470.139766 b1546 + 1470.139766 b1547 + 1470.139766 b1548 + 1470.139766 b1549 + 1470.139766 b1550 + 1470.139766 b1551 + 1470.139766 b1552 + 1470.139766 b1553 + 1470.139766 b1554 + 1470.139766 b1555 + 1470.139766 b1556 + 1470.139766 b1557 + 1470.139766 b1558 + 1470.139766 b1559 + 1470.139766 b1560 + 1470.139766 b1561 + 1254.753604 b1562 + 1254.753604 b1563 + 1254.753604 b1564 + 1254.753604 b1565 + 1254.753604 b1566 + 1254.753604 b1567 + 1254.753604 b1568 + 1254.753604 b1569 + 1254.753604 b1570 + 1254.753604 b1571 + 1254.753604 b1572 + 1254.753604 b1573 + 1254.753604 b1574 + 1254.753604 b1575 + 1254.753604 b1576 + 1254.753604 b1577 + 1254.753604 b1578 + 1254.753604 b1579 + 1254.753604 b1580 + 1254.753604 b1581 + 1254.753604 b1582 + 1254.753604 b1583 + 1254.753604 b1584 + 1254.753604 b1585 + 1468.528803 b1586 + 1468.528803 b1587 + 1468.528803 b1588 + 1468.528803 b1589 + 1468.528803 b1590 + 1468.528803 b1591 + 1468.528803 b1592 + 1468.528803 b1593 + 1468.528803 b1594 + 1468.528803 b1595 + 1468.528803 b1596 + 1468.528803 b1597 + 1468.528803 b1598 + 1468.528803 b1599 + 1468.528803 b1600 + 1468.528803 b1601 + 1468.528803 b1602 + 1468.528803 b1603 + 1468.528803 b1604 + 1468.528803 b1605 + 1468.528803 b1606 + 1468.528803 b1607 + 1468.528803 b1608 + 1468.528803 b1609 + 1612.418978 b1610 + 1612.418978 b1611 + 1612.418978 b1612 + 1612.418978 b1613 + 1612.418978 b1614 + 1612.418978 b1615 + 1612.418978 b1616 + 1612.418978 b1617 + 1612.418978 b1618 + 1612.418978 b1619 + 1612.418978 b1620 + 1612.418978 b1621 + 1612.418978 b1622 + 1612.418978 b1623 + 1612.418978 b1624 + 1612.418978 b1625 + 1612.418978 b1626 + 1612.418978 b1627 + 1612.418978 b1628 + 1612.418978 b1629 + 1612.418978 b1630 + 1612.418978 b1631 + 1612.418978 b1632 + 1612.418978 b1633 + 1469.533366 b1634 + 1469.533366 b1635 + 1469.533366 b1636 + 1469.533366 b1637 + 1469.533366 b1638 + 1469.533366 b1639 + 1469.533366 b1640 + 1469.533366 b1641 + 1469.533366 b1642 + 1469.533366 b1643 + 1469.533366 b1644 + 1469.533366 b1645 + 1469.533366 b1646 + 1469.533366 b1647 + 1469.533366 b1648 + 1469.533366 b1649 + 1469.533366 b1650 + 1469.533366 b1651 + 1469.533366 b1652 + 1469.533366 b1653 + 1469.533366 b1654 + 1469.533366 b1655 + 1469.533366 b1656 + 1469.533366 b1657 + 1449.088195 b1658 + 1449.088195 b1659 + 1449.088195 b1660 + 1449.088195 b1661 + 1449.088195 b1662 + 1449.088195 b1663 + 1449.088195 b1664 + 1449.088195 b1665 + 1449.088195 b1666 + 1449.088195 b1667 + 1449.088195 b1668 + 1449.088195 b1669 + 1449.088195 b1670 + 1449.088195 b1671 + 1449.088195 b1672 + 1449.088195 b1673 + 1449.088195 b1674 + 1449.088195 b1675 + 1449.088195 b1676 + 1449.088195 b1677 + 1449.088195 b1678 + 1449.088195 b1679 + 1449.088195 b1680 + 1449.088195 b1681 + 1369.609323 b1682 + 1369.609323 b1683 + 1369.609323 b1684 + 1369.609323 b1685 + 1369.609323 b1686 + 1369.609323 b1687 + 1369.609323 b1688 + 1369.609323 b1689 + 1369.609323 b1690 + 1369.609323 b1691 + 1369.609323 b1692 + 1369.609323 b1693 + 1369.609323 b1694 + 1369.609323 b1695 + 1369.609323 b1696 + 1369.609323 b1697 + 1369.609323 b1698 + 1369.609323 b1699 + 1369.609323 b1700 + 1369.609323 b1701 + 1369.609323 b1702 + 1369.609323 b1703 + 1369.609323 b1704 + 1369.609323 b1705 + 1209.493459 b1706 + 1209.493459 b1707 + 1209.493459 b1708 + 1209.493459 b1709 + 1209.493459 b1710 + 1209.493459 b1711 + 1209.493459 b1712 + 1209.493459 b1713 + 1209.493459 b1714 + 1209.493459 b1715 + 1209.493459 b1716 + 1209.493459 b1717 + 1209.493459 b1718 + 1209.493459 b1719 + 1209.493459 b1720 + 1209.493459 b1721 + 1209.493459 b1722 + 1209.493459 b1723 + 1209.493459 b1724 + 1209.493459 b1725 + 1209.493459 b1726 + 1209.493459 b1727 + 1209.493459 b1728 + 1209.493459 b1729 + 1297.43325 b1730 + 1297.43325 b1731 + 1297.43325 b1732 + 1297.43325 b1733 + 1297.43325 b1734 + 1297.43325 b1735 + 1297.43325 b1736 + 1297.43325 b1737 + 1297.43325 b1738 + 1297.43325 b1739 + 1297.43325 b1740 + 1297.43325 b1741 + 1297.43325 b1742 + 1297.43325 b1743 + 1297.43325 b1744 + 1297.43325 b1745 + 1297.43325 b1746 + 1297.43325 b1747 + 1297.43325 b1748 + 1297.43325 b1749 + 1297.43325 b1750 + 1297.43325 b1751 + 1297.43325 b1752 + 1297.43325 b1753 + 1514.805033 b1754 + 1514.805033 b1755 + 1514.805033 b1756 + 1514.805033 b1757 + 1514.805033 b1758 + 1514.805033 b1759 + 1514.805033 b1760 + 1514.805033 b1761 + 1514.805033 b1762 + 1514.805033 b1763 + 1514.805033 b1764 + 1514.805033 b1765 + 1514.805033 b1766 + 1514.805033 b1767 + 1514.805033 b1768 + 1514.805033 b1769 + 1514.805033 b1770 + 1514.805033 b1771 + 1514.805033 b1772 + 1514.805033 b1773 + 1514.805033 b1774 + 1514.805033 b1775 + 1514.805033 b1776 + 1514.805033 b1777 + 1326.469904 b1778 + 1326.469904 b1779 + 1326.469904 b1780 + 1326.469904 b1781 + 1326.469904 b1782 + 1326.469904 b1783 + 1326.469904 b1784 + 1326.469904 b1785 + 1326.469904 b1786 + 1326.469904 b1787 + 1326.469904 b1788 + 1326.469904 b1789 + 1326.469904 b1790 + 1326.469904 b1791 + 1326.469904 b1792 + 1326.469904 b1793 + 1326.469904 b1794 + 1326.469904 b1795 + 1326.469904 b1796 + 1326.469904 b1797 + 1326.469904 b1798 + 1326.469904 b1799 + 1326.469904 b1800 + 1326.469904 b1801 + 1271.119237 b1802 + 1271.119237 b1803 + 1271.119237 b1804 + 1271.119237 b1805 + 1271.119237 b1806 + 1271.119237 b1807 + 1271.119237 b1808 + 1271.119237 b1809 + 1271.119237 b1810 + 1271.119237 b1811 + 1271.119237 b1812 + 1271.119237 b1813 + 1271.119237 b1814 + 1271.119237 b1815 + 1271.119237 b1816 + 1271.119237 b1817 + 1271.119237 b1818 + 1271.119237 b1819 + 1271.119237 b1820 + 1271.119237 b1821 + 1271.119237 b1822 + 1271.119237 b1823 + 1271.119237 b1824 + 1271.119237 b1825 + 1315.645098 b1826 + 1315.645098 b1827 + 1315.645098 b1828 + 1315.645098 b1829 + 1315.645098 b1830 + 1315.645098 b1831 + 1315.645098 b1832 + 1315.645098 b1833 + 1315.645098 b1834 + 1315.645098 b1835 + 1315.645098 b1836 + 1315.645098 b1837 + 1315.645098 b1838 + 1315.645098 b1839 + 1315.645098 b1840 + 1315.645098 b1841 + 1315.645098 b1842 + 1315.645098 b1843 + 1315.645098 b1844 + 1315.645098 b1845 + 1315.645098 b1846 + 1315.645098 b1847 + 1315.645098 b1848 + 1315.645098 b1849 + 1383.580901 b1850 + 1383.580901 b1851 + 1383.580901 b1852 + 1383.580901 b1853 + 1383.580901 b1854 + 1383.580901 b1855 + 1383.580901 b1856 + 1383.580901 b1857 + 1383.580901 b1858 + 1383.580901 b1859 + 1383.580901 b1860 + 1383.580901 b1861 + 1383.580901 b1862 + 1383.580901 b1863 + 1383.580901 b1864 + 1383.580901 b1865 + 1383.580901 b1866 + 1383.580901 b1867 + 1383.580901 b1868 + 1383.580901 b1869 + 1383.580901 b1870 + 1383.580901 b1871 + 1383.580901 b1872 + 1383.580901 b1873 + 1327.974972 b1874 + 1327.974972 b1875 + 1327.974972 b1876 + 1327.974972 b1877 + 1327.974972 b1878 + 1327.974972 b1879 + 1327.974972 b1880 + 1327.974972 b1881 + 1327.974972 b1882 + 1327.974972 b1883 + 1327.974972 b1884 + 1327.974972 b1885 + 1327.974972 b1886 + 1327.974972 b1887 + 1327.974972 b1888 + 1327.974972 b1889 + 1327.974972 b1890 + 1327.974972 b1891 + 1327.974972 b1892 + 1327.974972 b1893 + 1327.974972 b1894 + 1327.974972 b1895 + 1327.974972 b1896 + 1327.974972 b1897 + 1236.964813 b1898 + 1236.964813 b1899 + 1236.964813 b1900 + 1236.964813 b1901 + 1236.964813 b1902 + 1236.964813 b1903 + 1236.964813 b1904 + 1236.964813 b1905 + 1236.964813 b1906 + 1236.964813 b1907 + 1236.964813 b1908 + 1236.964813 b1909 + 1236.964813 b1910 + 1236.964813 b1911 + 1236.964813 b1912 + 1236.964813 b1913 + 1236.964813 b1914 + 1236.964813 b1915 + 1236.964813 b1916 + 1236.964813 b1917 + 1236.964813 b1918 + 1236.964813 b1919 + 1236.964813 b1920 + 1236.964813 b1921 + 1279.891663 b1922 + 1279.891663 b1923 + 1279.891663 b1924 + 1279.891663 b1925 + 1279.891663 b1926 + 1279.891663 b1927 + 1279.891663 b1928 + 1279.891663 b1929 + 1279.891663 b1930 + 1279.891663 b1931 + 1279.891663 b1932 + 1279.891663 b1933 + 1279.891663 b1934 + 1279.891663 b1935 + 1279.891663 b1936 + 1279.891663 b1937 + 1279.891663 b1938 + 1279.891663 b1939 + 1279.891663 b1940 + 1279.891663 b1941 + 1279.891663 b1942 + 1279.891663 b1943 + 1279.891663 b1944 + 1279.891663 b1945 + 1301.924112 b1946 + 1301.924112 b1947 + 1301.924112 b1948 + 1301.924112 b1949 + 1301.924112 b1950 + 1301.924112 b1951 + 1301.924112 b1952 + 1301.924112 b1953 + 1301.924112 b1954 + 1301.924112 b1955 + 1301.924112 b1956 + 1301.924112 b1957 + 1301.924112 b1958 + 1301.924112 b1959 + 1301.924112 b1960 + 1301.924112 b1961 + 1301.924112 b1962 + 1301.924112 b1963 + 1301.924112 b1964 + 1301.924112 b1965 + 1301.924112 b1966 + 1301.924112 b1967 + 1301.924112 b1968 + 1301.924112 b1969 + 1393.30421 b1970 + 1393.30421 b1971 + 1393.30421 b1972 + 1393.30421 b1973 + 1393.30421 b1974 + 1393.30421 b1975 + 1393.30421 b1976 + 1393.30421 b1977 + 1393.30421 b1978 + 1393.30421 b1979 + 1393.30421 b1980 + 1393.30421 b1981 + 1393.30421 b1982 + 1393.30421 b1983 + 1393.30421 b1984 + 1393.30421 b1985 + 1393.30421 b1986 + 1393.30421 b1987 + 1393.30421 b1988 + 1393.30421 b1989 + 1393.30421 b1990 + 1393.30421 b1991 + 1393.30421 b1992 + 1393.30421 b1993 + 1222.649365 b1994 + 1222.649365 b1995 + 1222.649365 b1996 + 1222.649365 b1997 + 1222.649365 b1998 + 1222.649365 b1999 + 1222.649365 b2000 + 1222.649365 b2001 + 1222.649365 b2002 + 1222.649365 b2003 + 1222.649365 b2004 + 1222.649365 b2005 + 1222.649365 b2006 + 1222.649365 b2007 + 1222.649365 b2008 + 1222.649365 b2009 + 1222.649365 b2010 + 1222.649365 b2011 + 1222.649365 b2012 + 1222.649365 b2013 + 1222.649365 b2014 + 1222.649365 b2015 + 1222.649365 b2016 + 1222.649365 b2017 + 1611.310503 b2018 + 1611.310503 b2019 + 1611.310503 b2020 + 1611.310503 b2021 + 1611.310503 b2022 + 1611.310503 b2023 + 1611.310503 b2024 + 1611.310503 b2025 + 1611.310503 b2026 + 1611.310503 b2027 + 1611.310503 b2028 + 1611.310503 b2029 + 1611.310503 b2030 + 1611.310503 b2031 + 1611.310503 b2032 + 1611.310503 b2033 + 1611.310503 b2034 + 1611.310503 b2035 + 1611.310503 b2036 + 1611.310503 b2037 + 1611.310503 b2038 + 1611.310503 b2039 + 1611.310503 b2040 + 1611.310503 b2041 + 1246.118584 b2042 + 1246.118584 b2043 + 1246.118584 b2044 + 1246.118584 b2045 + 1246.118584 b2046 + 1246.118584 b2047 + 1246.118584 b2048 + 1246.118584 b2049 + 1246.118584 b2050 + 1246.118584 b2051 + 1246.118584 b2052 + 1246.118584 b2053 + 1246.118584 b2054 + 1246.118584 b2055 + 1246.118584 b2056 + 1246.118584 b2057 + 1246.118584 b2058 + 1246.118584 b2059 + 1246.118584 b2060 + 1246.118584 b2061 + 1246.118584 b2062 + 1246.118584 b2063 + 1246.118584 b2064 + 1246.118584 b2065 + 1497.104261 b2066 + 1497.104261 b2067 + 1497.104261 b2068 + 1497.104261 b2069 + 1497.104261 b2070 + 1497.104261 b2071 + 1497.104261 b2072 + 1497.104261 b2073 + 1497.104261 b2074 + 1497.104261 b2075 + 1497.104261 b2076 + 1497.104261 b2077 + 1497.104261 b2078 + 1497.104261 b2079 + 1497.104261 b2080 + 1497.104261 b2081 + 1497.104261 b2082 + 1497.104261 b2083 + 1497.104261 b2084 + 1497.104261 b2085 + 1497.104261 b2086 + 1497.104261 b2087 + 1497.104261 b2088 + 1497.104261 b2089 + 1534.386038 b2090 + 1534.386038 b2091 + 1534.386038 b2092 + 1534.386038 b2093 + 1534.386038 b2094 + 1534.386038 b2095 + 1534.386038 b2096 + 1534.386038 b2097 + 1534.386038 b2098 + 1534.386038 b2099 + 1534.386038 b2100 + 1534.386038 b2101 + 1534.386038 b2102 + 1534.386038 b2103 + 1534.386038 b2104 + 1534.386038 b2105 + 1534.386038 b2106 + 1534.386038 b2107 + 1534.386038 b2108 + 1534.386038 b2109 + 1534.386038 b2110 + 1534.386038 b2111 + 1534.386038 b2112 + 1534.386038 b2113 + 1435.394101 b2114 + 1435.394101 b2115 + 1435.394101 b2116 + 1435.394101 b2117 + 1435.394101 b2118 + 1435.394101 b2119 + 1435.394101 b2120 + 1435.394101 b2121 + 1435.394101 b2122 + 1435.394101 b2123 + 1435.394101 b2124 + 1435.394101 b2125 + 1435.394101 b2126 + 1435.394101 b2127 + 1435.394101 b2128 + 1435.394101 b2129 + 1435.394101 b2130 + 1435.394101 b2131 + 1435.394101 b2132 + 1435.394101 b2133 + 1435.394101 b2134 + 1435.394101 b2135 + 1435.394101 b2136 + 1435.394101 b2137 + 1234.484439 b2138 + 1234.484439 b2139 + 1234.484439 b2140 + 1234.484439 b2141 + 1234.484439 b2142 + 1234.484439 b2143 + 1234.484439 b2144 + 1234.484439 b2145 + 1234.484439 b2146 + 1234.484439 b2147 + 1234.484439 b2148 + 1234.484439 b2149 + 1234.484439 b2150 + 1234.484439 b2151 + 1234.484439 b2152 + 1234.484439 b2153 + 1234.484439 b2154 + 1234.484439 b2155 + 1234.484439 b2156 + 1234.484439 b2157 + 1234.484439 b2158 + 1234.484439 b2159 + 1234.484439 b2160 + 1234.484439 b2161 + 1410.316138 b2162 + 1410.316138 b2163 + 1410.316138 b2164 + 1410.316138 b2165 + 1410.316138 b2166 + 1410.316138 b2167 + 1410.316138 b2168 + 1410.316138 b2169 + 1410.316138 b2170 + 1410.316138 b2171 + 1410.316138 b2172 + 1410.316138 b2173 + 1410.316138 b2174 + 1410.316138 b2175 + 1410.316138 b2176 + 1410.316138 b2177 + 1410.316138 b2178 + 1410.316138 b2179 + 1410.316138 b2180 + 1410.316138 b2181 + 1410.316138 b2182 + 1410.316138 b2183 + 1410.316138 b2184 + 1410.316138 b2185 + 1373.644126 b2186 + 1373.644126 b2187 + 1373.644126 b2188 + 1373.644126 b2189 + 1373.644126 b2190 + 1373.644126 b2191 + 1373.644126 b2192 + 1373.644126 b2193 + 1373.644126 b2194 + 1373.644126 b2195 + 1373.644126 b2196 + 1373.644126 b2197 + 1373.644126 b2198 + 1373.644126 b2199 + 1373.644126 b2200 + 1373.644126 b2201 + 1373.644126 b2202 + 1373.644126 b2203 + 1373.644126 b2204 + 1373.644126 b2205 + 1373.644126 b2206 + 1373.644126 b2207 + 1373.644126 b2208 + 1373.644126 b2209 + 1300.417257 b2210 + 1300.417257 b2211 + 1300.417257 b2212 + 1300.417257 b2213 + 1300.417257 b2214 + 1300.417257 b2215 + 1300.417257 b2216 + 1300.417257 b2217 + 1300.417257 b2218 + 1300.417257 b2219 + 1300.417257 b2220 + 1300.417257 b2221 + 1300.417257 b2222 + 1300.417257 b2223 + 1300.417257 b2224 + 1300.417257 b2225 + 1300.417257 b2226 + 1300.417257 b2227 + 1300.417257 b2228 + 1300.417257 b2229 + 1300.417257 b2230 + 1300.417257 b2231 + 1300.417257 b2232 + 1300.417257 b2233 + 1481.788058 b2234 + 1481.788058 b2235 + 1481.788058 b2236 + 1481.788058 b2237 + 1481.788058 b2238 + 1481.788058 b2239 + 1481.788058 b2240 + 1481.788058 b2241 + 1481.788058 b2242 + 1481.788058 b2243 + 1481.788058 b2244 + 1481.788058 b2245 + 1481.788058 b2246 + 1481.788058 b2247 + 1481.788058 b2248 + 1481.788058 b2249 + 1481.788058 b2250 + 1481.788058 b2251 + 1481.788058 b2252 + 1481.788058 b2253 + 1481.788058 b2254 + 1481.788058 b2255 + 1481.788058 b2256 + 1481.788058 b2257 + 1502.365468 b2258 + 1502.365468 b2259 + 1502.365468 b2260 + 1502.365468 b2261 + 1502.365468 b2262 + 1502.365468 b2263 + 1502.365468 b2264 + 1502.365468 b2265 + 1502.365468 b2266 + 1502.365468 b2267 + 1502.365468 b2268 + 1502.365468 b2269 + 1502.365468 b2270 + 1502.365468 b2271 + 1502.365468 b2272 + 1502.365468 b2273 + 1502.365468 b2274 + 1502.365468 b2275 + 1502.365468 b2276 + 1502.365468 b2277 + 1502.365468 b2278 + 1502.365468 b2279 + 1502.365468 b2280 + 1502.365468 b2281 + 1448.882188 b2282 + 1448.882188 b2283 + 1448.882188 b2284 + 1448.882188 b2285 + 1448.882188 b2286 + 1448.882188 b2287 + 1448.882188 b2288 + 1448.882188 b2289 + 1448.882188 b2290 + 1448.882188 b2291 + 1448.882188 b2292 + 1448.882188 b2293 + 1448.882188 b2294 + 1448.882188 b2295 + 1448.882188 b2296 + 1448.882188 b2297 + 1448.882188 b2298 + 1448.882188 b2299 + 1448.882188 b2300 + 1448.882188 b2301 + 1448.882188 b2302 + 1448.882188 b2303 + 1448.882188 b2304 + 1448.882188 b2305 + 1224.334696 b2306 + 1224.334696 b2307 + 1224.334696 b2308 + 1224.334696 b2309 + 1224.334696 b2310 + 1224.334696 b2311 + 1224.334696 b2312 + 1224.334696 b2313 + 1224.334696 b2314 + 1224.334696 b2315 + 1224.334696 b2316 + 1224.334696 b2317 + 1224.334696 b2318 + 1224.334696 b2319 + 1224.334696 b2320 + 1224.334696 b2321 + 1224.334696 b2322 + 1224.334696 b2323 + 1224.334696 b2324 + 1224.334696 b2325 + 1224.334696 b2326 + 1224.334696 b2327 + 1224.334696 b2328 + 1224.334696 b2329 + 1273.889413 b2330 + 1273.889413 b2331 + 1273.889413 b2332 + 1273.889413 b2333 + 1273.889413 b2334 + 1273.889413 b2335 + 1273.889413 b2336 + 1273.889413 b2337 + 1273.889413 b2338 + 1273.889413 b2339 + 1273.889413 b2340 + 1273.889413 b2341 + 1273.889413 b2342 + 1273.889413 b2343 + 1273.889413 b2344 + 1273.889413 b2345 + 1273.889413 b2346 + 1273.889413 b2347 + 1273.889413 b2348 + 1273.889413 b2349 + 1273.889413 b2350 + 1273.889413 b2351 + 1273.889413 b2352 + 1273.889413 b2353 + 1376.794106 b2354 + 1376.794106 b2355 + 1376.794106 b2356 + 1376.794106 b2357 + 1376.794106 b2358 + 1376.794106 b2359 + 1376.794106 b2360 + 1376.794106 b2361 + 1376.794106 b2362 + 1376.794106 b2363 + 1376.794106 b2364 + 1376.794106 b2365 + 1376.794106 b2366 + 1376.794106 b2367 + 1376.794106 b2368 + 1376.794106 b2369 + 1376.794106 b2370 + 1376.794106 b2371 + 1376.794106 b2372 + 1376.794106 b2373 + 1376.794106 b2374 + 1376.794106 b2375 + 1376.794106 b2376 + 1376.794106 b2377 + 1312.508394 b2378 + 1312.508394 b2379 + 1312.508394 b2380 + 1312.508394 b2381 + 1312.508394 b2382 + 1312.508394 b2383 + 1312.508394 b2384 + 1312.508394 b2385 + 1312.508394 b2386 + 1312.508394 b2387 + 1312.508394 b2388 + 1312.508394 b2389 + 1312.508394 b2390 + 1312.508394 b2391 + 1312.508394 b2392 + 1312.508394 b2393 + 1312.508394 b2394 + 1312.508394 b2395 + 1312.508394 b2396 + 1312.508394 b2397 + 1312.508394 b2398 + 1312.508394 b2399 + 1312.508394 b2400 + 1312.508394 b2401 + 2214.745741 b2402 + 2214.745741 b2403 + 2214.745741 b2404 + 2214.745741 b2405 + 2214.745741 b2406 + 2214.745741 b2407 + 2214.745741 b2408 + 2214.745741 b2409 + 2214.745741 b2410 + 2214.745741 b2411 + 2214.745741 b2412 + 2214.745741 b2413 + 2214.745741 b2414 + 2214.745741 b2415 + 2214.745741 b2416 + 2214.745741 b2417 + 2214.745741 b2418 + 2214.745741 b2419 + 2214.745741 b2420 + 2214.745741 b2421 + 2214.745741 b2422 + 2214.745741 b2423 + 2214.745741 b2424 + 2214.745741 b2425 + 2119.189741 b2426 + 2119.189741 b2427 + 2119.189741 b2428 + 2119.189741 b2429 + 2119.189741 b2430 + 2119.189741 b2431 + 2119.189741 b2432 + 2119.189741 b2433 + 2119.189741 b2434 + 2119.189741 b2435 + 2119.189741 b2436 + 2119.189741 b2437 + 2119.189741 b2438 + 2119.189741 b2439 + 2119.189741 b2440 + 2119.189741 b2441 + 2119.189741 b2442 + 2119.189741 b2443 + 2119.189741 b2444 + 2119.189741 b2445 + 2119.189741 b2446 + 2119.189741 b2447 + 2119.189741 b2448 + 2119.189741 b2449 + 2052.974347 b2450 + 2052.974347 b2451 + 2052.974347 b2452 + 2052.974347 b2453 + 2052.974347 b2454 + 2052.974347 b2455 + 2052.974347 b2456 + 2052.974347 b2457 + 2052.974347 b2458 + 2052.974347 b2459 + 2052.974347 b2460 + 2052.974347 b2461 + 2052.974347 b2462 + 2052.974347 b2463 + 2052.974347 b2464 + 2052.974347 b2465 + 2052.974347 b2466 + 2052.974347 b2467 + 2052.974347 b2468 + 2052.974347 b2469 + 2052.974347 b2470 + 2052.974347 b2471 + 2052.974347 b2472 + 2052.974347 b2473 + 2620.21841 b2474 + 2620.21841 b2475 + 2620.21841 b2476 + 2620.21841 b2477 + 2620.21841 b2478 + 2620.21841 b2479 + 2620.21841 b2480 + 2620.21841 b2481 + 2620.21841 b2482 + 2620.21841 b2483 + 2620.21841 b2484 + 2620.21841 b2485 + 2620.21841 b2486 + 2620.21841 b2487 + 2620.21841 b2488 + 2620.21841 b2489 + 2620.21841 b2490 + 2620.21841 b2491 + 2620.21841 b2492 + 2620.21841 b2493 + 2620.21841 b2494 + 2620.21841 b2495 + 2620.21841 b2496 + 2620.21841 b2497 + 1792.377652 b2498 + 1792.377652 b2499 + 1792.377652 b2500 + 1792.377652 b2501 + 1792.377652 b2502 + 1792.377652 b2503 + 1792.377652 b2504 + 1792.377652 b2505 + 1792.377652 b2506 + 1792.377652 b2507 + 1792.377652 b2508 + 1792.377652 b2509 + 1792.377652 b2510 + 1792.377652 b2511 + 1792.377652 b2512 + 1792.377652 b2513 + 1792.377652 b2514 + 1792.377652 b2515 + 1792.377652 b2516 + 1792.377652 b2517 + 1792.377652 b2518 + 1792.377652 b2519 + 1792.377652 b2520 + 1792.377652 b2521 + 3109.971297 b2522 + 3109.971297 b2523 + 3109.971297 b2524 + 3109.971297 b2525 + 3109.971297 b2526 + 3109.971297 b2527 + 3109.971297 b2528 + 3109.971297 b2529 + 3109.971297 b2530 + 3109.971297 b2531 + 3109.971297 b2532 + 3109.971297 b2533 + 3109.971297 b2534 + 3109.971297 b2535 + 3109.971297 b2536 + 3109.971297 b2537 + 3109.971297 b2538 + 3109.971297 b2539 + 3109.971297 b2540 + 3109.971297 b2541 + 3109.971297 b2542 + 3109.971297 b2543 + 3109.971297 b2544 + 3109.971297 b2545 + 2029.584228 b2546 + 2029.584228 b2547 + 2029.584228 b2548 + 2029.584228 b2549 + 2029.584228 b2550 + 2029.584228 b2551 + 2029.584228 b2552 + 2029.584228 b2553 + 2029.584228 b2554 + 2029.584228 b2555 + 2029.584228 b2556 + 2029.584228 b2557 + 2029.584228 b2558 + 2029.584228 b2559 + 2029.584228 b2560 + 2029.584228 b2561 + 2029.584228 b2562 + 2029.584228 b2563 + 2029.584228 b2564 + 2029.584228 b2565 + 2029.584228 b2566 + 2029.584228 b2567 + 2029.584228 b2568 + 2029.584228 b2569 + 1770.975941 b2570 + 1770.975941 b2571 + 1770.975941 b2572 + 1770.975941 b2573 + 1770.975941 b2574 + 1770.975941 b2575 + 1770.975941 b2576 + 1770.975941 b2577 + 1770.975941 b2578 + 1770.975941 b2579 + 1770.975941 b2580 + 1770.975941 b2581 + 1770.975941 b2582 + 1770.975941 b2583 + 1770.975941 b2584 + 1770.975941 b2585 + 1770.975941 b2586 + 1770.975941 b2587 + 1770.975941 b2588 + 1770.975941 b2589 + 1770.975941 b2590 + 1770.975941 b2591 + 1770.975941 b2592 + 1770.975941 b2593 + 2077.980226 b2594 + 2077.980226 b2595 + 2077.980226 b2596 + 2077.980226 b2597 + 2077.980226 b2598 + 2077.980226 b2599 + 2077.980226 b2600 + 2077.980226 b2601 + 2077.980226 b2602 + 2077.980226 b2603 + 2077.980226 b2604 + 2077.980226 b2605 + 2077.980226 b2606 + 2077.980226 b2607 + 2077.980226 b2608 + 2077.980226 b2609 + 2077.980226 b2610 + 2077.980226 b2611 + 2077.980226 b2612 + 2077.980226 b2613 + 2077.980226 b2614 + 2077.980226 b2615 + 2077.980226 b2616 + 2077.980226 b2617 + 1714.943313 b2618 + 1714.943313 b2619 + 1714.943313 b2620 + 1714.943313 b2621 + 1714.943313 b2622 + 1714.943313 b2623 + 1714.943313 b2624 + 1714.943313 b2625 + 1714.943313 b2626 + 1714.943313 b2627 + 1714.943313 b2628 + 1714.943313 b2629 + 1714.943313 b2630 + 1714.943313 b2631 + 1714.943313 b2632 + 1714.943313 b2633 + 1714.943313 b2634 + 1714.943313 b2635 + 1714.943313 b2636 + 1714.943313 b2637 + 1714.943313 b2638 + 1714.943313 b2639 + 1714.943313 b2640 + 1714.943313 b2641 + 2131.846729 b2642 + 2131.846729 b2643 + 2131.846729 b2644 + 2131.846729 b2645 + 2131.846729 b2646 + 2131.846729 b2647 + 2131.846729 b2648 + 2131.846729 b2649 + 2131.846729 b2650 + 2131.846729 b2651 + 2131.846729 b2652 + 2131.846729 b2653 + 2131.846729 b2654 + 2131.846729 b2655 + 2131.846729 b2656 + 2131.846729 b2657 + 2131.846729 b2658 + 2131.846729 b2659 + 2131.846729 b2660 + 2131.846729 b2661 + 2131.846729 b2662 + 2131.846729 b2663 + 2131.846729 b2664 + 2131.846729 b2665 + 1918.018879 b2666 + 1918.018879 b2667 + 1918.018879 b2668 + 1918.018879 b2669 + 1918.018879 b2670 + 1918.018879 b2671 + 1918.018879 b2672 + 1918.018879 b2673 + 1918.018879 b2674 + 1918.018879 b2675 + 1918.018879 b2676 + 1918.018879 b2677 + 1918.018879 b2678 + 1918.018879 b2679 + 1918.018879 b2680 + 1918.018879 b2681 + 1918.018879 b2682 + 1918.018879 b2683 + 1918.018879 b2684 + 1918.018879 b2685 + 1918.018879 b2686 + 1918.018879 b2687 + 1918.018879 b2688 + 1918.018879 b2689 + 2433.878821 b2690 + 2433.878821 b2691 + 2433.878821 b2692 + 2433.878821 b2693 + 2433.878821 b2694 + 2433.878821 b2695 + 2433.878821 b2696 + 2433.878821 b2697 + 2433.878821 b2698 + 2433.878821 b2699 + 2433.878821 b2700 + 2433.878821 b2701 + 2433.878821 b2702 + 2433.878821 b2703 + 2433.878821 b2704 + 2433.878821 b2705 + 2433.878821 b2706 + 2433.878821 b2707 + 2433.878821 b2708 + 2433.878821 b2709 + 2433.878821 b2710 + 2433.878821 b2711 + 2433.878821 b2712 + 2433.878821 b2713 + 1642.205623 b2714 + 1642.205623 b2715 + 1642.205623 b2716 + 1642.205623 b2717 + 1642.205623 b2718 + 1642.205623 b2719 + 1642.205623 b2720 + 1642.205623 b2721 + 1642.205623 b2722 + 1642.205623 b2723 + 1642.205623 b2724 + 1642.205623 b2725 + 1642.205623 b2726 + 1642.205623 b2727 + 1642.205623 b2728 + 1642.205623 b2729 + 1642.205623 b2730 + 1642.205623 b2731 + 1642.205623 b2732 + 1642.205623 b2733 + 1642.205623 b2734 + 1642.205623 b2735 + 1642.205623 b2736 + 1642.205623 b2737 + 2423.011445 b2738 + 2423.011445 b2739 + 2423.011445 b2740 + 2423.011445 b2741 + 2423.011445 b2742 + 2423.011445 b2743 + 2423.011445 b2744 + 2423.011445 b2745 + 2423.011445 b2746 + 2423.011445 b2747 + 2423.011445 b2748 + 2423.011445 b2749 + 2423.011445 b2750 + 2423.011445 b2751 + 2423.011445 b2752 + 2423.011445 b2753 + 2423.011445 b2754 + 2423.011445 b2755 + 2423.011445 b2756 + 2423.011445 b2757 + 2423.011445 b2758 + 2423.011445 b2759 + 2423.011445 b2760 + 2423.011445 b2761 + 1564.34043 b2762 + 1564.34043 b2763 + 1564.34043 b2764 + 1564.34043 b2765 + 1564.34043 b2766 + 1564.34043 b2767 + 1564.34043 b2768 + 1564.34043 b2769 + 1564.34043 b2770 + 1564.34043 b2771 + 1564.34043 b2772 + 1564.34043 b2773 + 1564.34043 b2774 + 1564.34043 b2775 + 1564.34043 b2776 + 1564.34043 b2777 + 1564.34043 b2778 + 1564.34043 b2779 + 1564.34043 b2780 + 1564.34043 b2781 + 1564.34043 b2782 + 1564.34043 b2783 + 1564.34043 b2784 + 1564.34043 b2785 + 1885.084731 b2786 + 1885.084731 b2787 + 1885.084731 b2788 + 1885.084731 b2789 + 1885.084731 b2790 + 1885.084731 b2791 + 1885.084731 b2792 + 1885.084731 b2793 + 1885.084731 b2794 + 1885.084731 b2795 + 1885.084731 b2796 + 1885.084731 b2797 + 1885.084731 b2798 + 1885.084731 b2799 + 1885.084731 b2800 + 1885.084731 b2801 + 1885.084731 b2802 + 1885.084731 b2803 + 1885.084731 b2804 + 1885.084731 b2805 + 1885.084731 b2806 + 1885.084731 b2807 + 1885.084731 b2808 + 1885.084731 b2809 + 1776.652809 b2810 + 1776.652809 b2811 + 1776.652809 b2812 + 1776.652809 b2813 + 1776.652809 b2814 + 1776.652809 b2815 + 1776.652809 b2816 + 1776.652809 b2817 + 1776.652809 b2818 + 1776.652809 b2819 + 1776.652809 b2820 + 1776.652809 b2821 + 1776.652809 b2822 + 1776.652809 b2823 + 1776.652809 b2824 + 1776.652809 b2825 + 1776.652809 b2826 + 1776.652809 b2827 + 1776.652809 b2828 + 1776.652809 b2829 + 1776.652809 b2830 + 1776.652809 b2831 + 1776.652809 b2832 + 1776.652809 b2833 + 2496.417938 b2834 + 2496.417938 b2835 + 2496.417938 b2836 + 2496.417938 b2837 + 2496.417938 b2838 + 2496.417938 b2839 + 2496.417938 b2840 + 2496.417938 b2841 + 2496.417938 b2842 + 2496.417938 b2843 + 2496.417938 b2844 + 2496.417938 b2845 + 2496.417938 b2846 + 2496.417938 b2847 + 2496.417938 b2848 + 2496.417938 b2849 + 2496.417938 b2850 + 2496.417938 b2851 + 2496.417938 b2852 + 2496.417938 b2853 + 2496.417938 b2854 + 2496.417938 b2855 + 2496.417938 b2856 + 2496.417938 b2857 + 2016.897691 b2858 + 2016.897691 b2859 + 2016.897691 b2860 + 2016.897691 b2861 + 2016.897691 b2862 + 2016.897691 b2863 + 2016.897691 b2864 + 2016.897691 b2865 + 2016.897691 b2866 + 2016.897691 b2867 + 2016.897691 b2868 + 2016.897691 b2869 + 2016.897691 b2870 + 2016.897691 b2871 + 2016.897691 b2872 + 2016.897691 b2873 + 2016.897691 b2874 + 2016.897691 b2875 + 2016.897691 b2876 + 2016.897691 b2877 + 2016.897691 b2878 + 2016.897691 b2879 + 2016.897691 b2880 + 2016.897691 b2881 + 1757.450355 b2882 + 1757.450355 b2883 + 1757.450355 b2884 + 1757.450355 b2885 + 1757.450355 b2886 + 1757.450355 b2887 + 1757.450355 b2888 + 1757.450355 b2889 + 1757.450355 b2890 + 1757.450355 b2891 + 1757.450355 b2892 + 1757.450355 b2893 + 1757.450355 b2894 + 1757.450355 b2895 + 1757.450355 b2896 + 1757.450355 b2897 + 1757.450355 b2898 + 1757.450355 b2899 + 1757.450355 b2900 + 1757.450355 b2901 + 1757.450355 b2902 + 1757.450355 b2903 + 1757.450355 b2904 + 1757.450355 b2905 + 2669.165181 b2906 + 2669.165181 b2907 + 2669.165181 b2908 + 2669.165181 b2909 + 2669.165181 b2910 + 2669.165181 b2911 + 2669.165181 b2912 + 2669.165181 b2913 + 2669.165181 b2914 + 2669.165181 b2915 + 2669.165181 b2916 + 2669.165181 b2917 + 2669.165181 b2918 + 2669.165181 b2919 + 2669.165181 b2920 + 2669.165181 b2921 + 2669.165181 b2922 + 2669.165181 b2923 + 2669.165181 b2924 + 2669.165181 b2925 + 2669.165181 b2926 + 2669.165181 b2927 + 2669.165181 b2928 + 2669.165181 b2929 + 3018.817459 b2930 + 3018.817459 b2931 + 3018.817459 b2932 + 3018.817459 b2933 + 3018.817459 b2934 + 3018.817459 b2935 + 3018.817459 b2936 + 3018.817459 b2937 + 3018.817459 b2938 + 3018.817459 b2939 + 3018.817459 b2940 + 3018.817459 b2941 + 3018.817459 b2942 + 3018.817459 b2943 + 3018.817459 b2944 + 3018.817459 b2945 + 3018.817459 b2946 + 3018.817459 b2947 + 3018.817459 b2948 + 3018.817459 b2949 + 3018.817459 b2950 + 3018.817459 b2951 + 3018.817459 b2952 + 3018.817459 b2953 + 2745.346336 b2954 + 2745.346336 b2955 + 2745.346336 b2956 + 2745.346336 b2957 + 2745.346336 b2958 + 2745.346336 b2959 + 2745.346336 b2960 + 2745.346336 b2961 + 2745.346336 b2962 + 2745.346336 b2963 + 2745.346336 b2964 + 2745.346336 b2965 + 2745.346336 b2966 + 2745.346336 b2967 + 2745.346336 b2968 + 2745.346336 b2969 + 2745.346336 b2970 + 2745.346336 b2971 + 2745.346336 b2972 + 2745.346336 b2973 + 2745.346336 b2974 + 2745.346336 b2975 + 2745.346336 b2976 + 2745.346336 b2977 + 2205.326978 b2978 + 2205.326978 b2979 + 2205.326978 b2980 + 2205.326978 b2981 + 2205.326978 b2982 + 2205.326978 b2983 + 2205.326978 b2984 + 2205.326978 b2985 + 2205.326978 b2986 + 2205.326978 b2987 + 2205.326978 b2988 + 2205.326978 b2989 + 2205.326978 b2990 + 2205.326978 b2991 + 2205.326978 b2992 + 2205.326978 b2993 + 2205.326978 b2994 + 2205.326978 b2995 + 2205.326978 b2996 + 2205.326978 b2997 + 2205.326978 b2998 + 2205.326978 b2999 + 2205.326978 b3000 + 2205.326978 b3001 + 2740.413034 b3002 + 2740.413034 b3003 + 2740.413034 b3004 + 2740.413034 b3005 + 2740.413034 b3006 + 2740.413034 b3007 + 2740.413034 b3008 + 2740.413034 b3009 + 2740.413034 b3010 + 2740.413034 b3011 + 2740.413034 b3012 + 2740.413034 b3013 + 2740.413034 b3014 + 2740.413034 b3015 + 2740.413034 b3016 + 2740.413034 b3017 + 2740.413034 b3018 + 2740.413034 b3019 + 2740.413034 b3020 + 2740.413034 b3021 + 2740.413034 b3022 + 2740.413034 b3023 + 2740.413034 b3024 + 2740.413034 b3025 + 2392.734655 b3026 + 2392.734655 b3027 + 2392.734655 b3028 + 2392.734655 b3029 + 2392.734655 b3030 + 2392.734655 b3031 + 2392.734655 b3032 + 2392.734655 b3033 + 2392.734655 b3034 + 2392.734655 b3035 + 2392.734655 b3036 + 2392.734655 b3037 + 2392.734655 b3038 + 2392.734655 b3039 + 2392.734655 b3040 + 2392.734655 b3041 + 2392.734655 b3042 + 2392.734655 b3043 + 2392.734655 b3044 + 2392.734655 b3045 + 2392.734655 b3046 + 2392.734655 b3047 + 2392.734655 b3048 + 2392.734655 b3049 + 2558.162553 b3050 + 2558.162553 b3051 + 2558.162553 b3052 + 2558.162553 b3053 + 2558.162553 b3054 + 2558.162553 b3055 + 2558.162553 b3056 + 2558.162553 b3057 + 2558.162553 b3058 + 2558.162553 b3059 + 2558.162553 b3060 + 2558.162553 b3061 + 2558.162553 b3062 + 2558.162553 b3063 + 2558.162553 b3064 + 2558.162553 b3065 + 2558.162553 b3066 + 2558.162553 b3067 + 2558.162553 b3068 + 2558.162553 b3069 + 2558.162553 b3070 + 2558.162553 b3071 + 2558.162553 b3072 + 2558.162553 b3073 + 2850.289794 b3074 + 2850.289794 b3075 + 2850.289794 b3076 + 2850.289794 b3077 + 2850.289794 b3078 + 2850.289794 b3079 + 2850.289794 b3080 + 2850.289794 b3081 + 2850.289794 b3082 + 2850.289794 b3083 + 2850.289794 b3084 + 2850.289794 b3085 + 2850.289794 b3086 + 2850.289794 b3087 + 2850.289794 b3088 + 2850.289794 b3089 + 2850.289794 b3090 + 2850.289794 b3091 + 2850.289794 b3092 + 2850.289794 b3093 + 2850.289794 b3094 + 2850.289794 b3095 + 2850.289794 b3096 + 2850.289794 b3097 + 2609.337805 b3098 + 2609.337805 b3099 + 2609.337805 b3100 + 2609.337805 b3101 + 2609.337805 b3102 + 2609.337805 b3103 + 2609.337805 b3104 + 2609.337805 b3105 + 2609.337805 b3106 + 2609.337805 b3107 + 2609.337805 b3108 + 2609.337805 b3109 + 2609.337805 b3110 + 2609.337805 b3111 + 2609.337805 b3112 + 2609.337805 b3113 + 2609.337805 b3114 + 2609.337805 b3115 + 2609.337805 b3116 + 2609.337805 b3117 + 2609.337805 b3118 + 2609.337805 b3119 + 2609.337805 b3120 + 2609.337805 b3121 + 2055.40922 b3122 + 2055.40922 b3123 + 2055.40922 b3124 + 2055.40922 b3125 + 2055.40922 b3126 + 2055.40922 b3127 + 2055.40922 b3128 + 2055.40922 b3129 + 2055.40922 b3130 + 2055.40922 b3131 + 2055.40922 b3132 + 2055.40922 b3133 + 2055.40922 b3134 + 2055.40922 b3135 + 2055.40922 b3136 + 2055.40922 b3137 + 2055.40922 b3138 + 2055.40922 b3139 + 2055.40922 b3140 + 2055.40922 b3141 + 2055.40922 b3142 + 2055.40922 b3143 + 2055.40922 b3144 + 2055.40922 b3145 + 2305.860509 b3146 + 2305.860509 b3147 + 2305.860509 b3148 + 2305.860509 b3149 + 2305.860509 b3150 + 2305.860509 b3151 + 2305.860509 b3152 + 2305.860509 b3153 + 2305.860509 b3154 + 2305.860509 b3155 + 2305.860509 b3156 + 2305.860509 b3157 + 2305.860509 b3158 + 2305.860509 b3159 + 2305.860509 b3160 + 2305.860509 b3161 + 2305.860509 b3162 + 2305.860509 b3163 + 2305.860509 b3164 + 2305.860509 b3165 + 2305.860509 b3166 + 2305.860509 b3167 + 2305.860509 b3168 + 2305.860509 b3169 + 2360.694446 b3170 + 2360.694446 b3171 + 2360.694446 b3172 + 2360.694446 b3173 + 2360.694446 b3174 + 2360.694446 b3175 + 2360.694446 b3176 + 2360.694446 b3177 + 2360.694446 b3178 + 2360.694446 b3179 + 2360.694446 b3180 + 2360.694446 b3181 + 2360.694446 b3182 + 2360.694446 b3183 + 2360.694446 b3184 + 2360.694446 b3185 + 2360.694446 b3186 + 2360.694446 b3187 + 2360.694446 b3188 + 2360.694446 b3189 + 2360.694446 b3190 + 2360.694446 b3191 + 2360.694446 b3192 + 2360.694446 b3193 + 2474.955062 b3194 + 2474.955062 b3195 + 2474.955062 b3196 + 2474.955062 b3197 + 2474.955062 b3198 + 2474.955062 b3199 + 2474.955062 b3200 + 2474.955062 b3201 + 2474.955062 b3202 + 2474.955062 b3203 + 2474.955062 b3204 + 2474.955062 b3205 + 2474.955062 b3206 + 2474.955062 b3207 + 2474.955062 b3208 + 2474.955062 b3209 + 2474.955062 b3210 + 2474.955062 b3211 + 2474.955062 b3212 + 2474.955062 b3213 + 2474.955062 b3214 + 2474.955062 b3215 + 2474.955062 b3216 + 2474.955062 b3217 + 2492.363322 b3218 + 2492.363322 b3219 + 2492.363322 b3220 + 2492.363322 b3221 + 2492.363322 b3222 + 2492.363322 b3223 + 2492.363322 b3224 + 2492.363322 b3225 + 2492.363322 b3226 + 2492.363322 b3227 + 2492.363322 b3228 + 2492.363322 b3229 + 2492.363322 b3230 + 2492.363322 b3231 + 2492.363322 b3232 + 2492.363322 b3233 + 2492.363322 b3234 + 2492.363322 b3235 + 2492.363322 b3236 + 2492.363322 b3237 + 2492.363322 b3238 + 2492.363322 b3239 + 2492.363322 b3240 + 2492.363322 b3241 + 2557.665719 b3242 + 2557.665719 b3243 + 2557.665719 b3244 + 2557.665719 b3245 + 2557.665719 b3246 + 2557.665719 b3247 + 2557.665719 b3248 + 2557.665719 b3249 + 2557.665719 b3250 + 2557.665719 b3251 + 2557.665719 b3252 + 2557.665719 b3253 + 2557.665719 b3254 + 2557.665719 b3255 + 2557.665719 b3256 + 2557.665719 b3257 + 2557.665719 b3258 + 2557.665719 b3259 + 2557.665719 b3260 + 2557.665719 b3261 + 2557.665719 b3262 + 2557.665719 b3263 + 2557.665719 b3264 + 2557.665719 b3265 + 2295.863145 b3266 + 2295.863145 b3267 + 2295.863145 b3268 + 2295.863145 b3269 + 2295.863145 b3270 + 2295.863145 b3271 + 2295.863145 b3272 + 2295.863145 b3273 + 2295.863145 b3274 + 2295.863145 b3275 + 2295.863145 b3276 + 2295.863145 b3277 + 2295.863145 b3278 + 2295.863145 b3279 + 2295.863145 b3280 + 2295.863145 b3281 + 2295.863145 b3282 + 2295.863145 b3283 + 2295.863145 b3284 + 2295.863145 b3285 + 2295.863145 b3286 + 2295.863145 b3287 + 2295.863145 b3288 + 2295.863145 b3289 + 2074.256712 b3290 + 2074.256712 b3291 + 2074.256712 b3292 + 2074.256712 b3293 + 2074.256712 b3294 + 2074.256712 b3295 + 2074.256712 b3296 + 2074.256712 b3297 + 2074.256712 b3298 + 2074.256712 b3299 + 2074.256712 b3300 + 2074.256712 b3301 + 2074.256712 b3302 + 2074.256712 b3303 + 2074.256712 b3304 + 2074.256712 b3305 + 2074.256712 b3306 + 2074.256712 b3307 + 2074.256712 b3308 + 2074.256712 b3309 + 2074.256712 b3310 + 2074.256712 b3311 + 2074.256712 b3312 + 2074.256712 b3313 + 2343.404236 b3314 + 2343.404236 b3315 + 2343.404236 b3316 + 2343.404236 b3317 + 2343.404236 b3318 + 2343.404236 b3319 + 2343.404236 b3320 + 2343.404236 b3321 + 2343.404236 b3322 + 2343.404236 b3323 + 2343.404236 b3324 + 2343.404236 b3325 + 2343.404236 b3326 + 2343.404236 b3327 + 2343.404236 b3328 + 2343.404236 b3329 + 2343.404236 b3330 + 2343.404236 b3331 + 2343.404236 b3332 + 2343.404236 b3333 + 2343.404236 b3334 + 2343.404236 b3335 + 2343.404236 b3336 + 2343.404236 b3337 + 2319.433843 b3338 + 2319.433843 b3339 + 2319.433843 b3340 + 2319.433843 b3341 + 2319.433843 b3342 + 2319.433843 b3343 + 2319.433843 b3344 + 2319.433843 b3345 + 2319.433843 b3346 + 2319.433843 b3347 + 2319.433843 b3348 + 2319.433843 b3349 + 2319.433843 b3350 + 2319.433843 b3351 + 2319.433843 b3352 + 2319.433843 b3353 + 2319.433843 b3354 + 2319.433843 b3355 + 2319.433843 b3356 + 2319.433843 b3357 + 2319.433843 b3358 + 2319.433843 b3359 + 2319.433843 b3360 + 2319.433843 b3361 + 2480.150099 b3362 + 2480.150099 b3363 + 2480.150099 b3364 + 2480.150099 b3365 + 2480.150099 b3366 + 2480.150099 b3367 + 2480.150099 b3368 + 2480.150099 b3369 + 2480.150099 b3370 + 2480.150099 b3371 + 2480.150099 b3372 + 2480.150099 b3373 + 2480.150099 b3374 + 2480.150099 b3375 + 2480.150099 b3376 + 2480.150099 b3377 + 2480.150099 b3378 + 2480.150099 b3379 + 2480.150099 b3380 + 2480.150099 b3381 + 2480.150099 b3382 + 2480.150099 b3383 + 2480.150099 b3384 + 2480.150099 b3385 + 2555.9097 b3386 + 2555.9097 b3387 + 2555.9097 b3388 + 2555.9097 b3389 + 2555.9097 b3390 + 2555.9097 b3391 + 2555.9097 b3392 + 2555.9097 b3393 + 2555.9097 b3394 + 2555.9097 b3395 + 2555.9097 b3396 + 2555.9097 b3397 + 2555.9097 b3398 + 2555.9097 b3399 + 2555.9097 b3400 + 2555.9097 b3401 + 2555.9097 b3402 + 2555.9097 b3403 + 2555.9097 b3404 + 2555.9097 b3405 + 2555.9097 b3406 + 2555.9097 b3407 + 2555.9097 b3408 + 2555.9097 b3409 + 1674.975777 b3410 + 1674.975777 b3411 + 1674.975777 b3412 + 1674.975777 b3413 + 1674.975777 b3414 + 1674.975777 b3415 + 1674.975777 b3416 + 1674.975777 b3417 + 1674.975777 b3418 + 1674.975777 b3419 + 1674.975777 b3420 + 1674.975777 b3421 + 1674.975777 b3422 + 1674.975777 b3423 + 1674.975777 b3424 + 1674.975777 b3425 + 1674.975777 b3426 + 1674.975777 b3427 + 1674.975777 b3428 + 1674.975777 b3429 + 1674.975777 b3430 + 1674.975777 b3431 + 1674.975777 b3432 + 1674.975777 b3433 + 2718.043177 b3434 + 2718.043177 b3435 + 2718.043177 b3436 + 2718.043177 b3437 + 2718.043177 b3438 + 2718.043177 b3439 + 2718.043177 b3440 + 2718.043177 b3441 + 2718.043177 b3442 + 2718.043177 b3443 + 2718.043177 b3444 + 2718.043177 b3445 + 2718.043177 b3446 + 2718.043177 b3447 + 2718.043177 b3448 + 2718.043177 b3449 + 2718.043177 b3450 + 2718.043177 b3451 + 2718.043177 b3452 + 2718.043177 b3453 + 2718.043177 b3454 + 2718.043177 b3455 + 2718.043177 b3456 + 2718.043177 b3457 + 2052.966846 b3458 + 2052.966846 b3459 + 2052.966846 b3460 + 2052.966846 b3461 + 2052.966846 b3462 + 2052.966846 b3463 + 2052.966846 b3464 + 2052.966846 b3465 + 2052.966846 b3466 + 2052.966846 b3467 + 2052.966846 b3468 + 2052.966846 b3469 + 2052.966846 b3470 + 2052.966846 b3471 + 2052.966846 b3472 + 2052.966846 b3473 + 2052.966846 b3474 + 2052.966846 b3475 + 2052.966846 b3476 + 2052.966846 b3477 + 2052.966846 b3478 + 2052.966846 b3479 + 2052.966846 b3480 + 2052.966846 b3481 + 2527.105703 b3482 + 2527.105703 b3483 + 2527.105703 b3484 + 2527.105703 b3485 + 2527.105703 b3486 + 2527.105703 b3487 + 2527.105703 b3488 + 2527.105703 b3489 + 2527.105703 b3490 + 2527.105703 b3491 + 2527.105703 b3492 + 2527.105703 b3493 + 2527.105703 b3494 + 2527.105703 b3495 + 2527.105703 b3496 + 2527.105703 b3497 + 2527.105703 b3498 + 2527.105703 b3499 + 2527.105703 b3500 + 2527.105703 b3501 + 2527.105703 b3502 + 2527.105703 b3503 + 2527.105703 b3504 + 2527.105703 b3505 + 2691.699269 b3506 + 2691.699269 b3507 + 2691.699269 b3508 + 2691.699269 b3509 + 2691.699269 b3510 + 2691.699269 b3511 + 2691.699269 b3512 + 2691.699269 b3513 + 2691.699269 b3514 + 2691.699269 b3515 + 2691.699269 b3516 + 2691.699269 b3517 + 2691.699269 b3518 + 2691.699269 b3519 + 2691.699269 b3520 + 2691.699269 b3521 + 2691.699269 b3522 + 2691.699269 b3523 + 2691.699269 b3524 + 2691.699269 b3525 + 2691.699269 b3526 + 2691.699269 b3527 + 2691.699269 b3528 + 2691.699269 b3529 + 2679.338327 b3530 + 2679.338327 b3531 + 2679.338327 b3532 + 2679.338327 b3533 + 2679.338327 b3534 + 2679.338327 b3535 + 2679.338327 b3536 + 2679.338327 b3537 + 2679.338327 b3538 + 2679.338327 b3539 + 2679.338327 b3540 + 2679.338327 b3541 + 2679.338327 b3542 + 2679.338327 b3543 + 2679.338327 b3544 + 2679.338327 b3545 + 2679.338327 b3546 + 2679.338327 b3547 + 2679.338327 b3548 + 2679.338327 b3549 + 2679.338327 b3550 + 2679.338327 b3551 + 2679.338327 b3552 + 2679.338327 b3553 + 1916.223569 b3554 + 1916.223569 b3555 + 1916.223569 b3556 + 1916.223569 b3557 + 1916.223569 b3558 + 1916.223569 b3559 + 1916.223569 b3560 + 1916.223569 b3561 + 1916.223569 b3562 + 1916.223569 b3563 + 1916.223569 b3564 + 1916.223569 b3565 + 1916.223569 b3566 + 1916.223569 b3567 + 1916.223569 b3568 + 1916.223569 b3569 + 1916.223569 b3570 + 1916.223569 b3571 + 1916.223569 b3572 + 1916.223569 b3573 + 1916.223569 b3574 + 1916.223569 b3575 + 1916.223569 b3576 + 1916.223569 b3577 + 1850.268504 b3578 + 1850.268504 b3579 + 1850.268504 b3580 + 1850.268504 b3581 + 1850.268504 b3582 + 1850.268504 b3583 + 1850.268504 b3584 + 1850.268504 b3585 + 1850.268504 b3586 + 1850.268504 b3587 + 1850.268504 b3588 + 1850.268504 b3589 + 1850.268504 b3590 + 1850.268504 b3591 + 1850.268504 b3592 + 1850.268504 b3593 + 1850.268504 b3594 + 1850.268504 b3595 + 1850.268504 b3596 + 1850.268504 b3597 + 1850.268504 b3598 + 1850.268504 b3599 + 1850.268504 b3600 + 1850.268504 b3601 + 1655.696682 b3602 + 1655.696682 b3603 + 1655.696682 b3604 + 1655.696682 b3605 + 1655.696682 b3606 + 1655.696682 b3607 + 1655.696682 b3608 + 1655.696682 b3609 + 1655.696682 b3610 + 1655.696682 b3611 + 1655.696682 b3612 + 1655.696682 b3613 + 1655.696682 b3614 + 1655.696682 b3615 + 1655.696682 b3616 + 1655.696682 b3617 + 1655.696682 b3618 + 1655.696682 b3619 + 1655.696682 b3620 + 1655.696682 b3621 + 1655.696682 b3622 + 1655.696682 b3623 + 1655.696682 b3624 + 1655.696682 b3625 + 2298.655474 b3626 + 2298.655474 b3627 + 2298.655474 b3628 + 2298.655474 b3629 + 2298.655474 b3630 + 2298.655474 b3631 + 2298.655474 b3632 + 2298.655474 b3633 + 2298.655474 b3634 + 2298.655474 b3635 + 2298.655474 b3636 + 2298.655474 b3637 + 2298.655474 b3638 + 2298.655474 b3639 + 2298.655474 b3640 + 2298.655474 b3641 + 2298.655474 b3642 + 2298.655474 b3643 + 2298.655474 b3644 + 2298.655474 b3645 + 2298.655474 b3646 + 2298.655474 b3647 + 2298.655474 b3648 + 2298.655474 b3649 + 2335.300721 b3650 + 2335.300721 b3651 + 2335.300721 b3652 + 2335.300721 b3653 + 2335.300721 b3654 + 2335.300721 b3655 + 2335.300721 b3656 + 2335.300721 b3657 + 2335.300721 b3658 + 2335.300721 b3659 + 2335.300721 b3660 + 2335.300721 b3661 + 2335.300721 b3662 + 2335.300721 b3663 + 2335.300721 b3664 + 2335.300721 b3665 + 2335.300721 b3666 + 2335.300721 b3667 + 2335.300721 b3668 + 2335.300721 b3669 + 2335.300721 b3670 + 2335.300721 b3671 + 2335.300721 b3672 + 2335.300721 b3673 + 2241.680616 b3674 + 2241.680616 b3675 + 2241.680616 b3676 + 2241.680616 b3677 + 2241.680616 b3678 + 2241.680616 b3679 + 2241.680616 b3680 + 2241.680616 b3681 + 2241.680616 b3682 + 2241.680616 b3683 + 2241.680616 b3684 + 2241.680616 b3685 + 2241.680616 b3686 + 2241.680616 b3687 + 2241.680616 b3688 + 2241.680616 b3689 + 2241.680616 b3690 + 2241.680616 b3691 + 2241.680616 b3692 + 2241.680616 b3693 + 2241.680616 b3694 + 2241.680616 b3695 + 2241.680616 b3696 + 2241.680616 b3697 + 2523.445648 b3698 + 2523.445648 b3699 + 2523.445648 b3700 + 2523.445648 b3701 + 2523.445648 b3702 + 2523.445648 b3703 + 2523.445648 b3704 + 2523.445648 b3705 + 2523.445648 b3706 + 2523.445648 b3707 + 2523.445648 b3708 + 2523.445648 b3709 + 2523.445648 b3710 + 2523.445648 b3711 + 2523.445648 b3712 + 2523.445648 b3713 + 2523.445648 b3714 + 2523.445648 b3715 + 2523.445648 b3716 + 2523.445648 b3717 + 2523.445648 b3718 + 2523.445648 b3719 + 2523.445648 b3720 + 2523.445648 b3721 + 2740.427372 b3722 + 2740.427372 b3723 + 2740.427372 b3724 + 2740.427372 b3725 + 2740.427372 b3726 + 2740.427372 b3727 + 2740.427372 b3728 + 2740.427372 b3729 + 2740.427372 b3730 + 2740.427372 b3731 + 2740.427372 b3732 + 2740.427372 b3733 + 2740.427372 b3734 + 2740.427372 b3735 + 2740.427372 b3736 + 2740.427372 b3737 + 2740.427372 b3738 + 2740.427372 b3739 + 2740.427372 b3740 + 2740.427372 b3741 + 2740.427372 b3742 + 2740.427372 b3743 + 2740.427372 b3744 + 2740.427372 b3745 + 2839.320417 b3746 + 2839.320417 b3747 + 2839.320417 b3748 + 2839.320417 b3749 + 2839.320417 b3750 + 2839.320417 b3751 + 2839.320417 b3752 + 2839.320417 b3753 + 2839.320417 b3754 + 2839.320417 b3755 + 2839.320417 b3756 + 2839.320417 b3757 + 2839.320417 b3758 + 2839.320417 b3759 + 2839.320417 b3760 + 2839.320417 b3761 + 2839.320417 b3762 + 2839.320417 b3763 + 2839.320417 b3764 + 2839.320417 b3765 + 2839.320417 b3766 + 2839.320417 b3767 + 2839.320417 b3768 + 2839.320417 b3769 + 1947.032095 b3770 + 1947.032095 b3771 + 1947.032095 b3772 + 1947.032095 b3773 + 1947.032095 b3774 + 1947.032095 b3775 + 1947.032095 b3776 + 1947.032095 b3777 + 1947.032095 b3778 + 1947.032095 b3779 + 1947.032095 b3780 + 1947.032095 b3781 + 1947.032095 b3782 + 1947.032095 b3783 + 1947.032095 b3784 + 1947.032095 b3785 + 1947.032095 b3786 + 1947.032095 b3787 + 1947.032095 b3788 + 1947.032095 b3789 + 1947.032095 b3790 + 1947.032095 b3791 + 1947.032095 b3792 + 1947.032095 b3793 + 1736.860246 b3794 + 1736.860246 b3795 + 1736.860246 b3796 + 1736.860246 b3797 + 1736.860246 b3798 + 1736.860246 b3799 + 1736.860246 b3800 + 1736.860246 b3801 + 1736.860246 b3802 + 1736.860246 b3803 + 1736.860246 b3804 + 1736.860246 b3805 + 1736.860246 b3806 + 1736.860246 b3807 + 1736.860246 b3808 + 1736.860246 b3809 + 1736.860246 b3810 + 1736.860246 b3811 + 1736.860246 b3812 + 1736.860246 b3813 + 1736.860246 b3814 + 1736.860246 b3815 + 1736.860246 b3816 + 1736.860246 b3817 + 2163.872424 b3818 + 2163.872424 b3819 + 2163.872424 b3820 + 2163.872424 b3821 + 2163.872424 b3822 + 2163.872424 b3823 + 2163.872424 b3824 + 2163.872424 b3825 + 2163.872424 b3826 + 2163.872424 b3827 + 2163.872424 b3828 + 2163.872424 b3829 + 2163.872424 b3830 + 2163.872424 b3831 + 2163.872424 b3832 + 2163.872424 b3833 + 2163.872424 b3834 + 2163.872424 b3835 + 2163.872424 b3836 + 2163.872424 b3837 + 2163.872424 b3838 + 2163.872424 b3839 + 2163.872424 b3840 + 2163.872424 b3841 + 2883.860402 b3842 + 2883.860402 b3843 + 2883.860402 b3844 + 2883.860402 b3845 + 2883.860402 b3846 + 2883.860402 b3847 + 2883.860402 b3848 + 2883.860402 b3849 + 2883.860402 b3850 + 2883.860402 b3851 + 2883.860402 b3852 + 2883.860402 b3853 + 2883.860402 b3854 + 2883.860402 b3855 + 2883.860402 b3856 + 2883.860402 b3857 + 2883.860402 b3858 + 2883.860402 b3859 + 2883.860402 b3860 + 2883.860402 b3861 + 2883.860402 b3862 + 2883.860402 b3863 + 2883.860402 b3864 + 2883.860402 b3865 + 2467.105766 b3866 + 2467.105766 b3867 + 2467.105766 b3868 + 2467.105766 b3869 + 2467.105766 b3870 + 2467.105766 b3871 + 2467.105766 b3872 + 2467.105766 b3873 + 2467.105766 b3874 + 2467.105766 b3875 + 2467.105766 b3876 + 2467.105766 b3877 + 2467.105766 b3878 + 2467.105766 b3879 + 2467.105766 b3880 + 2467.105766 b3881 + 2467.105766 b3882 + 2467.105766 b3883 + 2467.105766 b3884 + 2467.105766 b3885 + 2467.105766 b3886 + 2467.105766 b3887 + 2467.105766 b3888 + 2467.105766 b3889 + 2008.950057 b3890 + 2008.950057 b3891 + 2008.950057 b3892 + 2008.950057 b3893 + 2008.950057 b3894 + 2008.950057 b3895 + 2008.950057 b3896 + 2008.950057 b3897 + 2008.950057 b3898 + 2008.950057 b3899 + 2008.950057 b3900 + 2008.950057 b3901 + 2008.950057 b3902 + 2008.950057 b3903 + 2008.950057 b3904 + 2008.950057 b3905 + 2008.950057 b3906 + 2008.950057 b3907 + 2008.950057 b3908 + 2008.950057 b3909 + 2008.950057 b3910 + 2008.950057 b3911 + 2008.950057 b3912 + 2008.950057 b3913 + 2043.035413 b3914 + 2043.035413 b3915 + 2043.035413 b3916 + 2043.035413 b3917 + 2043.035413 b3918 + 2043.035413 b3919 + 2043.035413 b3920 + 2043.035413 b3921 + 2043.035413 b3922 + 2043.035413 b3923 + 2043.035413 b3924 + 2043.035413 b3925 + 2043.035413 b3926 + 2043.035413 b3927 + 2043.035413 b3928 + 2043.035413 b3929 + 2043.035413 b3930 + 2043.035413 b3931 + 2043.035413 b3932 + 2043.035413 b3933 + 2043.035413 b3934 + 2043.035413 b3935 + 2043.035413 b3936 + 2043.035413 b3937 + 3073.648575 b3938 + 3073.648575 b3939 + 3073.648575 b3940 + 3073.648575 b3941 + 3073.648575 b3942 + 3073.648575 b3943 + 3073.648575 b3944 + 3073.648575 b3945 + 3073.648575 b3946 + 3073.648575 b3947 + 3073.648575 b3948 + 3073.648575 b3949 + 3073.648575 b3950 + 3073.648575 b3951 + 3073.648575 b3952 + 3073.648575 b3953 + 3073.648575 b3954 + 3073.648575 b3955 + 3073.648575 b3956 + 3073.648575 b3957 + 3073.648575 b3958 + 3073.648575 b3959 + 3073.648575 b3960 + 3073.648575 b3961 + 2535.377393 b3962 + 2535.377393 b3963 + 2535.377393 b3964 + 2535.377393 b3965 + 2535.377393 b3966 + 2535.377393 b3967 + 2535.377393 b3968 + 2535.377393 b3969 + 2535.377393 b3970 + 2535.377393 b3971 + 2535.377393 b3972 + 2535.377393 b3973 + 2535.377393 b3974 + 2535.377393 b3975 + 2535.377393 b3976 + 2535.377393 b3977 + 2535.377393 b3978 + 2535.377393 b3979 + 2535.377393 b3980 + 2535.377393 b3981 + 2535.377393 b3982 + 2535.377393 b3983 + 2535.377393 b3984 + 2535.377393 b3985 + 1616.68483 b3986 + 1616.68483 b3987 + 1616.68483 b3988 + 1616.68483 b3989 + 1616.68483 b3990 + 1616.68483 b3991 + 1616.68483 b3992 + 1616.68483 b3993 + 1616.68483 b3994 + 1616.68483 b3995 + 1616.68483 b3996 + 1616.68483 b3997 + 1616.68483 b3998 + 1616.68483 b3999 + 1616.68483 b4000 + 1616.68483 b4001 + 1616.68483 b4002 + 1616.68483 b4003 + 1616.68483 b4004 + 1616.68483 b4005 + 1616.68483 b4006 + 1616.68483 b4007 + 1616.68483 b4008 + 1616.68483 b4009 + 3005.137649 b4010 + 3005.137649 b4011 + 3005.137649 b4012 + 3005.137649 b4013 + 3005.137649 b4014 + 3005.137649 b4015 + 3005.137649 b4016 + 3005.137649 b4017 + 3005.137649 b4018 + 3005.137649 b4019 + 3005.137649 b4020 + 3005.137649 b4021 + 3005.137649 b4022 + 3005.137649 b4023 + 3005.137649 b4024 + 3005.137649 b4025 + 3005.137649 b4026 + 3005.137649 b4027 + 3005.137649 b4028 + 3005.137649 b4029 + 3005.137649 b4030 + 3005.137649 b4031 + 3005.137649 b4032 + 3005.137649 b4033 + 2868.934334 b4034 + 2868.934334 b4035 + 2868.934334 b4036 + 2868.934334 b4037 + 2868.934334 b4038 + 2868.934334 b4039 + 2868.934334 b4040 + 2868.934334 b4041 + 2868.934334 b4042 + 2868.934334 b4043 + 2868.934334 b4044 + 2868.934334 b4045 + 2868.934334 b4046 + 2868.934334 b4047 + 2868.934334 b4048 + 2868.934334 b4049 + 2868.934334 b4050 + 2868.934334 b4051 + 2868.934334 b4052 + 2868.934334 b4053 + 2868.934334 b4054 + 2868.934334 b4055 + 2868.934334 b4056 + 2868.934334 b4057 + 2928.184347 b4058 + 2928.184347 b4059 + 2928.184347 b4060 + 2928.184347 b4061 + 2928.184347 b4062 + 2928.184347 b4063 + 2928.184347 b4064 + 2928.184347 b4065 + 2928.184347 b4066 + 2928.184347 b4067 + 2928.184347 b4068 + 2928.184347 b4069 + 2928.184347 b4070 + 2928.184347 b4071 + 2928.184347 b4072 + 2928.184347 b4073 + 2928.184347 b4074 + 2928.184347 b4075 + 2928.184347 b4076 + 2928.184347 b4077 + 2928.184347 b4078 + 2928.184347 b4079 + 2928.184347 b4080 + 2928.184347 b4081 + 3622.90917 b4082 + 3622.90917 b4083 + 3622.90917 b4084 + 3622.90917 b4085 + 3622.90917 b4086 + 3622.90917 b4087 + 3622.90917 b4088 + 3622.90917 b4089 + 3622.90917 b4090 + 3622.90917 b4091 + 3622.90917 b4092 + 3622.90917 b4093 + 3622.90917 b4094 + 3622.90917 b4095 + 3622.90917 b4096 + 3622.90917 b4097 + 3622.90917 b4098 + 3622.90917 b4099 + 3622.90917 b4100 + 3622.90917 b4101 + 3622.90917 b4102 + 3622.90917 b4103 + 3622.90917 b4104 + 3622.90917 b4105 + 3430.553904 b4106 + 3430.553904 b4107 + 3430.553904 b4108 + 3430.553904 b4109 + 3430.553904 b4110 + 3430.553904 b4111 + 3430.553904 b4112 + 3430.553904 b4113 + 3430.553904 b4114 + 3430.553904 b4115 + 3430.553904 b4116 + 3430.553904 b4117 + 3430.553904 b4118 + 3430.553904 b4119 + 3430.553904 b4120 + 3430.553904 b4121 + 3430.553904 b4122 + 3430.553904 b4123 + 3430.553904 b4124 + 3430.553904 b4125 + 3430.553904 b4126 + 3430.553904 b4127 + 3430.553904 b4128 + 3430.553904 b4129 + 3765.180003 b4130 + 3765.180003 b4131 + 3765.180003 b4132 + 3765.180003 b4133 + 3765.180003 b4134 + 3765.180003 b4135 + 3765.180003 b4136 + 3765.180003 b4137 + 3765.180003 b4138 + 3765.180003 b4139 + 3765.180003 b4140 + 3765.180003 b4141 + 3765.180003 b4142 + 3765.180003 b4143 + 3765.180003 b4144 + 3765.180003 b4145 + 3765.180003 b4146 + 3765.180003 b4147 + 3765.180003 b4148 + 3765.180003 b4149 + 3765.180003 b4150 + 3765.180003 b4151 + 3765.180003 b4152 + 3765.180003 b4153 + 3567.354884 b4154 + 3567.354884 b4155 + 3567.354884 b4156 + 3567.354884 b4157 + 3567.354884 b4158 + 3567.354884 b4159 + 3567.354884 b4160 + 3567.354884 b4161 + 3567.354884 b4162 + 3567.354884 b4163 + 3567.354884 b4164 + 3567.354884 b4165 + 3567.354884 b4166 + 3567.354884 b4167 + 3567.354884 b4168 + 3567.354884 b4169 + 3567.354884 b4170 + 3567.354884 b4171 + 3567.354884 b4172 + 3567.354884 b4173 + 3567.354884 b4174 + 3567.354884 b4175 + 3567.354884 b4176 + 3567.354884 b4177 + 3494.579282 b4178 + 3494.579282 b4179 + 3494.579282 b4180 + 3494.579282 b4181 + 3494.579282 b4182 + 3494.579282 b4183 + 3494.579282 b4184 + 3494.579282 b4185 + 3494.579282 b4186 + 3494.579282 b4187 + 3494.579282 b4188 + 3494.579282 b4189 + 3494.579282 b4190 + 3494.579282 b4191 + 3494.579282 b4192 + 3494.579282 b4193 + 3494.579282 b4194 + 3494.579282 b4195 + 3494.579282 b4196 + 3494.579282 b4197 + 3494.579282 b4198 + 3494.579282 b4199 + 3494.579282 b4200 + 3494.579282 b4201 + 3362.698861 b4202 + 3362.698861 b4203 + 3362.698861 b4204 + 3362.698861 b4205 + 3362.698861 b4206 + 3362.698861 b4207 + 3362.698861 b4208 + 3362.698861 b4209 + 3362.698861 b4210 + 3362.698861 b4211 + 3362.698861 b4212 + 3362.698861 b4213 + 3362.698861 b4214 + 3362.698861 b4215 + 3362.698861 b4216 + 3362.698861 b4217 + 3362.698861 b4218 + 3362.698861 b4219 + 3362.698861 b4220 + 3362.698861 b4221 + 3362.698861 b4222 + 3362.698861 b4223 + 3362.698861 b4224 + 3362.698861 b4225 + 3989.061364 b4226 + 3989.061364 b4227 + 3989.061364 b4228 + 3989.061364 b4229 + 3989.061364 b4230 + 3989.061364 b4231 + 3989.061364 b4232 + 3989.061364 b4233 + 3989.061364 b4234 + 3989.061364 b4235 + 3989.061364 b4236 + 3989.061364 b4237 + 3989.061364 b4238 + 3989.061364 b4239 + 3989.061364 b4240 + 3989.061364 b4241 + 3989.061364 b4242 + 3989.061364 b4243 + 3989.061364 b4244 + 3989.061364 b4245 + 3989.061364 b4246 + 3989.061364 b4247 + 3989.061364 b4248 + 3989.061364 b4249 + 3954.383419 b4250 + 3954.383419 b4251 + 3954.383419 b4252 + 3954.383419 b4253 + 3954.383419 b4254 + 3954.383419 b4255 + 3954.383419 b4256 + 3954.383419 b4257 + 3954.383419 b4258 + 3954.383419 b4259 + 3954.383419 b4260 + 3954.383419 b4261 + 3954.383419 b4262 + 3954.383419 b4263 + 3954.383419 b4264 + 3954.383419 b4265 + 3954.383419 b4266 + 3954.383419 b4267 + 3954.383419 b4268 + 3954.383419 b4269 + 3954.383419 b4270 + 3954.383419 b4271 + 3954.383419 b4272 + 3954.383419 b4273 + 3033.379345 b4274 + 3033.379345 b4275 + 3033.379345 b4276 + 3033.379345 b4277 + 3033.379345 b4278 + 3033.379345 b4279 + 3033.379345 b4280 + 3033.379345 b4281 + 3033.379345 b4282 + 3033.379345 b4283 + 3033.379345 b4284 + 3033.379345 b4285 + 3033.379345 b4286 + 3033.379345 b4287 + 3033.379345 b4288 + 3033.379345 b4289 + 3033.379345 b4290 + 3033.379345 b4291 + 3033.379345 b4292 + 3033.379345 b4293 + 3033.379345 b4294 + 3033.379345 b4295 + 3033.379345 b4296 + 3033.379345 b4297 + 3580.203106 b4298 + 3580.203106 b4299 + 3580.203106 b4300 + 3580.203106 b4301 + 3580.203106 b4302 + 3580.203106 b4303 + 3580.203106 b4304 + 3580.203106 b4305 + 3580.203106 b4306 + 3580.203106 b4307 + 3580.203106 b4308 + 3580.203106 b4309 + 3580.203106 b4310 + 3580.203106 b4311 + 3580.203106 b4312 + 3580.203106 b4313 + 3580.203106 b4314 + 3580.203106 b4315 + 3580.203106 b4316 + 3580.203106 b4317 + 3580.203106 b4318 + 3580.203106 b4319 + 3580.203106 b4320 + 3580.203106 b4321 + 4018.908354 b4322 + 4018.908354 b4323 + 4018.908354 b4324 + 4018.908354 b4325 + 4018.908354 b4326 + 4018.908354 b4327 + 4018.908354 b4328 + 4018.908354 b4329 + 4018.908354 b4330 + 4018.908354 b4331 + 4018.908354 b4332 + 4018.908354 b4333 + 4018.908354 b4334 + 4018.908354 b4335 + 4018.908354 b4336 + 4018.908354 b4337 + 4018.908354 b4338 + 4018.908354 b4339 + 4018.908354 b4340 + 4018.908354 b4341 + 4018.908354 b4342 + 4018.908354 b4343 + 4018.908354 b4344 + 4018.908354 b4345 + 3494.414181 b4346 + 3494.414181 b4347 + 3494.414181 b4348 + 3494.414181 b4349 + 3494.414181 b4350 + 3494.414181 b4351 + 3494.414181 b4352 + 3494.414181 b4353 + 3494.414181 b4354 + 3494.414181 b4355 + 3494.414181 b4356 + 3494.414181 b4357 + 3494.414181 b4358 + 3494.414181 b4359 + 3494.414181 b4360 + 3494.414181 b4361 + 3494.414181 b4362 + 3494.414181 b4363 + 3494.414181 b4364 + 3494.414181 b4365 + 3494.414181 b4366 + 3494.414181 b4367 + 3494.414181 b4368 + 3494.414181 b4369 + 3776.225941 b4370 + 3776.225941 b4371 + 3776.225941 b4372 + 3776.225941 b4373 + 3776.225941 b4374 + 3776.225941 b4375 + 3776.225941 b4376 + 3776.225941 b4377 + 3776.225941 b4378 + 3776.225941 b4379 + 3776.225941 b4380 + 3776.225941 b4381 + 3776.225941 b4382 + 3776.225941 b4383 + 3776.225941 b4384 + 3776.225941 b4385 + 3776.225941 b4386 + 3776.225941 b4387 + 3776.225941 b4388 + 3776.225941 b4389 + 3776.225941 b4390 + 3776.225941 b4391 + 3776.225941 b4392 + 3776.225941 b4393 + 3743.101802 b4394 + 3743.101802 b4395 + 3743.101802 b4396 + 3743.101802 b4397 + 3743.101802 b4398 + 3743.101802 b4399 + 3743.101802 b4400 + 3743.101802 b4401 + 3743.101802 b4402 + 3743.101802 b4403 + 3743.101802 b4404 + 3743.101802 b4405 + 3743.101802 b4406 + 3743.101802 b4407 + 3743.101802 b4408 + 3743.101802 b4409 + 3743.101802 b4410 + 3743.101802 b4411 + 3743.101802 b4412 + 3743.101802 b4413 + 3743.101802 b4414 + 3743.101802 b4415 + 3743.101802 b4416 + 3743.101802 b4417 + 3562.756942 b4418 + 3562.756942 b4419 + 3562.756942 b4420 + 3562.756942 b4421 + 3562.756942 b4422 + 3562.756942 b4423 + 3562.756942 b4424 + 3562.756942 b4425 + 3562.756942 b4426 + 3562.756942 b4427 + 3562.756942 b4428 + 3562.756942 b4429 + 3562.756942 b4430 + 3562.756942 b4431 + 3562.756942 b4432 + 3562.756942 b4433 + 3562.756942 b4434 + 3562.756942 b4435 + 3562.756942 b4436 + 3562.756942 b4437 + 3562.756942 b4438 + 3562.756942 b4439 + 3562.756942 b4440 + 3562.756942 b4441 + 3405.100738 b4442 + 3405.100738 b4443 + 3405.100738 b4444 + 3405.100738 b4445 + 3405.100738 b4446 + 3405.100738 b4447 + 3405.100738 b4448 + 3405.100738 b4449 + 3405.100738 b4450 + 3405.100738 b4451 + 3405.100738 b4452 + 3405.100738 b4453 + 3405.100738 b4454 + 3405.100738 b4455 + 3405.100738 b4456 + 3405.100738 b4457 + 3405.100738 b4458 + 3405.100738 b4459 + 3405.100738 b4460 + 3405.100738 b4461 + 3405.100738 b4462 + 3405.100738 b4463 + 3405.100738 b4464 + 3405.100738 b4465 + 3530.548711 b4466 + 3530.548711 b4467 + 3530.548711 b4468 + 3530.548711 b4469 + 3530.548711 b4470 + 3530.548711 b4471 + 3530.548711 b4472 + 3530.548711 b4473 + 3530.548711 b4474 + 3530.548711 b4475 + 3530.548711 b4476 + 3530.548711 b4477 + 3530.548711 b4478 + 3530.548711 b4479 + 3530.548711 b4480 + 3530.548711 b4481 + 3530.548711 b4482 + 3530.548711 b4483 + 3530.548711 b4484 + 3530.548711 b4485 + 3530.548711 b4486 + 3530.548711 b4487 + 3530.548711 b4488 + 3530.548711 b4489 + 3139.855527 b4490 + 3139.855527 b4491 + 3139.855527 b4492 + 3139.855527 b4493 + 3139.855527 b4494 + 3139.855527 b4495 + 3139.855527 b4496 + 3139.855527 b4497 + 3139.855527 b4498 + 3139.855527 b4499 + 3139.855527 b4500 + 3139.855527 b4501 + 3139.855527 b4502 + 3139.855527 b4503 + 3139.855527 b4504 + 3139.855527 b4505 + 3139.855527 b4506 + 3139.855527 b4507 + 3139.855527 b4508 + 3139.855527 b4509 + 3139.855527 b4510 + 3139.855527 b4511 + 3139.855527 b4512 + 3139.855527 b4513 + 3169.456518 b4514 + 3169.456518 b4515 + 3169.456518 b4516 + 3169.456518 b4517 + 3169.456518 b4518 + 3169.456518 b4519 + 3169.456518 b4520 + 3169.456518 b4521 + 3169.456518 b4522 + 3169.456518 b4523 + 3169.456518 b4524 + 3169.456518 b4525 + 3169.456518 b4526 + 3169.456518 b4527 + 3169.456518 b4528 + 3169.456518 b4529 + 3169.456518 b4530 + 3169.456518 b4531 + 3169.456518 b4532 + 3169.456518 b4533 + 3169.456518 b4534 + 3169.456518 b4535 + 3169.456518 b4536 + 3169.456518 b4537 + 2915.825986 b4538 + 2915.825986 b4539 + 2915.825986 b4540 + 2915.825986 b4541 + 2915.825986 b4542 + 2915.825986 b4543 + 2915.825986 b4544 + 2915.825986 b4545 + 2915.825986 b4546 + 2915.825986 b4547 + 2915.825986 b4548 + 2915.825986 b4549 + 2915.825986 b4550 + 2915.825986 b4551 + 2915.825986 b4552 + 2915.825986 b4553 + 2915.825986 b4554 + 2915.825986 b4555 + 2915.825986 b4556 + 2915.825986 b4557 + 2915.825986 b4558 + 2915.825986 b4559 + 2915.825986 b4560 + 2915.825986 b4561 + 3163.300745 b4562 + 3163.300745 b4563 + 3163.300745 b4564 + 3163.300745 b4565 + 3163.300745 b4566 + 3163.300745 b4567 + 3163.300745 b4568 + 3163.300745 b4569 + 3163.300745 b4570 + 3163.300745 b4571 + 3163.300745 b4572 + 3163.300745 b4573 + 3163.300745 b4574 + 3163.300745 b4575 + 3163.300745 b4576 + 3163.300745 b4577 + 3163.300745 b4578 + 3163.300745 b4579 + 3163.300745 b4580 + 3163.300745 b4581 + 3163.300745 b4582 + 3163.300745 b4583 + 3163.300745 b4584 + 3163.300745 b4585 + 3881.545941 b4586 + 3881.545941 b4587 + 3881.545941 b4588 + 3881.545941 b4589 + 3881.545941 b4590 + 3881.545941 b4591 + 3881.545941 b4592 + 3881.545941 b4593 + 3881.545941 b4594 + 3881.545941 b4595 + 3881.545941 b4596 + 3881.545941 b4597 + 3881.545941 b4598 + 3881.545941 b4599 + 3881.545941 b4600 + 3881.545941 b4601 + 3881.545941 b4602 + 3881.545941 b4603 + 3881.545941 b4604 + 3881.545941 b4605 + 3881.545941 b4606 + 3881.545941 b4607 + 3881.545941 b4608 + 3881.545941 b4609 + 3367.914974 b4610 + 3367.914974 b4611 + 3367.914974 b4612 + 3367.914974 b4613 + 3367.914974 b4614 + 3367.914974 b4615 + 3367.914974 b4616 + 3367.914974 b4617 + 3367.914974 b4618 + 3367.914974 b4619 + 3367.914974 b4620 + 3367.914974 b4621 + 3367.914974 b4622 + 3367.914974 b4623 + 3367.914974 b4624 + 3367.914974 b4625 + 3367.914974 b4626 + 3367.914974 b4627 + 3367.914974 b4628 + 3367.914974 b4629 + 3367.914974 b4630 + 3367.914974 b4631 + 3367.914974 b4632 + 3367.914974 b4633 + 2962.736035 b4634 + 2962.736035 b4635 + 2962.736035 b4636 + 2962.736035 b4637 + 2962.736035 b4638 + 2962.736035 b4639 + 2962.736035 b4640 + 2962.736035 b4641 + 2962.736035 b4642 + 2962.736035 b4643 + 2962.736035 b4644 + 2962.736035 b4645 + 2962.736035 b4646 + 2962.736035 b4647 + 2962.736035 b4648 + 2962.736035 b4649 + 2962.736035 b4650 + 2962.736035 b4651 + 2962.736035 b4652 + 2962.736035 b4653 + 2962.736035 b4654 + 2962.736035 b4655 + 2962.736035 b4656 + 2962.736035 b4657 + 3832.983952 b4658 + 3832.983952 b4659 + 3832.983952 b4660 + 3832.983952 b4661 + 3832.983952 b4662 + 3832.983952 b4663 + 3832.983952 b4664 + 3832.983952 b4665 + 3832.983952 b4666 + 3832.983952 b4667 + 3832.983952 b4668 + 3832.983952 b4669 + 3832.983952 b4670 + 3832.983952 b4671 + 3832.983952 b4672 + 3832.983952 b4673 + 3832.983952 b4674 + 3832.983952 b4675 + 3832.983952 b4676 + 3832.983952 b4677 + 3832.983952 b4678 + 3832.983952 b4679 + 3832.983952 b4680 + 3832.983952 b4681 + 3714.349173 b4682 + 3714.349173 b4683 + 3714.349173 b4684 + 3714.349173 b4685 + 3714.349173 b4686 + 3714.349173 b4687 + 3714.349173 b4688 + 3714.349173 b4689 + 3714.349173 b4690 + 3714.349173 b4691 + 3714.349173 b4692 + 3714.349173 b4693 + 3714.349173 b4694 + 3714.349173 b4695 + 3714.349173 b4696 + 3714.349173 b4697 + 3714.349173 b4698 + 3714.349173 b4699 + 3714.349173 b4700 + 3714.349173 b4701 + 3714.349173 b4702 + 3714.349173 b4703 + 3714.349173 b4704 + 3714.349173 b4705 + 3003.059307 b4706 + 3003.059307 b4707 + 3003.059307 b4708 + 3003.059307 b4709 + 3003.059307 b4710 + 3003.059307 b4711 + 3003.059307 b4712 + 3003.059307 b4713 + 3003.059307 b4714 + 3003.059307 b4715 + 3003.059307 b4716 + 3003.059307 b4717 + 3003.059307 b4718 + 3003.059307 b4719 + 3003.059307 b4720 + 3003.059307 b4721 + 3003.059307 b4722 + 3003.059307 b4723 + 3003.059307 b4724 + 3003.059307 b4725 + 3003.059307 b4726 + 3003.059307 b4727 + 3003.059307 b4728 + 3003.059307 b4729 + 3552.046291 b4730 + 3552.046291 b4731 + 3552.046291 b4732 + 3552.046291 b4733 + 3552.046291 b4734 + 3552.046291 b4735 + 3552.046291 b4736 + 3552.046291 b4737 + 3552.046291 b4738 + 3552.046291 b4739 + 3552.046291 b4740 + 3552.046291 b4741 + 3552.046291 b4742 + 3552.046291 b4743 + 3552.046291 b4744 + 3552.046291 b4745 + 3552.046291 b4746 + 3552.046291 b4747 + 3552.046291 b4748 + 3552.046291 b4749 + 3552.046291 b4750 + 3552.046291 b4751 + 3552.046291 b4752 + 3552.046291 b4753 + 3615.820658 b4754 + 3615.820658 b4755 + 3615.820658 b4756 + 3615.820658 b4757 + 3615.820658 b4758 + 3615.820658 b4759 + 3615.820658 b4760 + 3615.820658 b4761 + 3615.820658 b4762 + 3615.820658 b4763 + 3615.820658 b4764 + 3615.820658 b4765 + 3615.820658 b4766 + 3615.820658 b4767 + 3615.820658 b4768 + 3615.820658 b4769 + 3615.820658 b4770 + 3615.820658 b4771 + 3615.820658 b4772 + 3615.820658 b4773 + 3615.820658 b4774 + 3615.820658 b4775 + 3615.820658 b4776 + 3615.820658 b4777 + 3780.475762 b4778 + 3780.475762 b4779 + 3780.475762 b4780 + 3780.475762 b4781 + 3780.475762 b4782 + 3780.475762 b4783 + 3780.475762 b4784 + 3780.475762 b4785 + 3780.475762 b4786 + 3780.475762 b4787 + 3780.475762 b4788 + 3780.475762 b4789 + 3780.475762 b4790 + 3780.475762 b4791 + 3780.475762 b4792 + 3780.475762 b4793 + 3780.475762 b4794 + 3780.475762 b4795 + 3780.475762 b4796 + 3780.475762 b4797 + 3780.475762 b4798 + 3780.475762 b4799 + 3780.475762 b4800 + 3780.475762 b4801 + 40.689064 x4802 + 40.689064 x4803 + 40.689064 x4804 + 40.689064 x4805 + 40.689064 x4806 + 40.689064 x4807 + 40.689064 x4808 + 40.689064 x4809 + 40.689064 x4810 + 40.689064 x4811 + 40.689064 x4812 + 40.689064 x4813 + 40.689064 x4814 + 40.689064 x4815 + 40.689064 x4816 + 40.689064 x4817 + 40.689064 x4818 + 40.689064 x4819 + 40.689064 x4820 + 40.689064 x4821 + 40.689064 x4822 + 40.689064 x4823 + 40.689064 x4824 + 40.689064 x4825 + 44.936095 x4826 + 44.936095 x4827 + 44.936095 x4828 + 44.936095 x4829 + 44.936095 x4830 + 44.936095 x4831 + 44.936095 x4832 + 44.936095 x4833 + 44.936095 x4834 + 44.936095 x4835 + 44.936095 x4836 + 44.936095 x4837 + 44.936095 x4838 + 44.936095 x4839 + 44.936095 x4840 + 44.936095 x4841 + 44.936095 x4842 + 44.936095 x4843 + 44.936095 x4844 + 44.936095 x4845 + 44.936095 x4846 + 44.936095 x4847 + 44.936095 x4848 + 44.936095 x4849 + 45.341134 x4850 + 45.341134 x4851 + 45.341134 x4852 + 45.341134 x4853 + 45.341134 x4854 + 45.341134 x4855 + 45.341134 x4856 + 45.341134 x4857 + 45.341134 x4858 + 45.341134 x4859 + 45.341134 x4860 + 45.341134 x4861 + 45.341134 x4862 + 45.341134 x4863 + 45.341134 x4864 + 45.341134 x4865 + 45.341134 x4866 + 45.341134 x4867 + 45.341134 x4868 + 45.341134 x4869 + 45.341134 x4870 + 45.341134 x4871 + 45.341134 x4872 + 45.341134 x4873 + 44.106092 x4874 + 44.106092 x4875 + 44.106092 x4876 + 44.106092 x4877 + 44.106092 x4878 + 44.106092 x4879 + 44.106092 x4880 + 44.106092 x4881 + 44.106092 x4882 + 44.106092 x4883 + 44.106092 x4884 + 44.106092 x4885 + 44.106092 x4886 + 44.106092 x4887 + 44.106092 x4888 + 44.106092 x4889 + 44.106092 x4890 + 44.106092 x4891 + 44.106092 x4892 + 44.106092 x4893 + 44.106092 x4894 + 44.106092 x4895 + 44.106092 x4896 + 44.106092 x4897 + 40.261707 x4898 + 40.261707 x4899 + 40.261707 x4900 + 40.261707 x4901 + 40.261707 x4902 + 40.261707 x4903 + 40.261707 x4904 + 40.261707 x4905 + 40.261707 x4906 + 40.261707 x4907 + 40.261707 x4908 + 40.261707 x4909 + 40.261707 x4910 + 40.261707 x4911 + 40.261707 x4912 + 40.261707 x4913 + 40.261707 x4914 + 40.261707 x4915 + 40.261707 x4916 + 40.261707 x4917 + 40.261707 x4918 + 40.261707 x4919 + 40.261707 x4920 + 40.261707 x4921 + 39.072132 x4922 + 39.072132 x4923 + 39.072132 x4924 + 39.072132 x4925 + 39.072132 x4926 + 39.072132 x4927 + 39.072132 x4928 + 39.072132 x4929 + 39.072132 x4930 + 39.072132 x4931 + 39.072132 x4932 + 39.072132 x4933 + 39.072132 x4934 + 39.072132 x4935 + 39.072132 x4936 + 39.072132 x4937 + 39.072132 x4938 + 39.072132 x4939 + 39.072132 x4940 + 39.072132 x4941 + 39.072132 x4942 + 39.072132 x4943 + 39.072132 x4944 + 39.072132 x4945 + 41.538139 x4946 + 41.538139 x4947 + 41.538139 x4948 + 41.538139 x4949 + 41.538139 x4950 + 41.538139 x4951 + 41.538139 x4952 + 41.538139 x4953 + 41.538139 x4954 + 41.538139 x4955 + 41.538139 x4956 + 41.538139 x4957 + 41.538139 x4958 + 41.538139 x4959 + 41.538139 x4960 + 41.538139 x4961 + 41.538139 x4962 + 41.538139 x4963 + 41.538139 x4964 + 41.538139 x4965 + 41.538139 x4966 + 41.538139 x4967 + 41.538139 x4968 + 41.538139 x4969 + 45.739575 x4970 + 45.739575 x4971 + 45.739575 x4972 + 45.739575 x4973 + 45.739575 x4974 + 45.739575 x4975 + 45.739575 x4976 + 45.739575 x4977 + 45.739575 x4978 + 45.739575 x4979 + 45.739575 x4980 + 45.739575 x4981 + 45.739575 x4982 + 45.739575 x4983 + 45.739575 x4984 + 45.739575 x4985 + 45.739575 x4986 + 45.739575 x4987 + 45.739575 x4988 + 45.739575 x4989 + 45.739575 x4990 + 45.739575 x4991 + 45.739575 x4992 + 45.739575 x4993 + 42.558169 x4994 + 42.558169 x4995 + 42.558169 x4996 + 42.558169 x4997 + 42.558169 x4998 + 42.558169 x4999 + 42.558169 x5000 + 42.558169 x5001 + 42.558169 x5002 + 42.558169 x5003 + 42.558169 x5004 + 42.558169 x5005 + 42.558169 x5006 + 42.558169 x5007 + 42.558169 x5008 + 42.558169 x5009 + 42.558169 x5010 + 42.558169 x5011 + 42.558169 x5012 + 42.558169 x5013 + 42.558169 x5014 + 42.558169 x5015 + 42.558169 x5016 + 42.558169 x5017 + 39.818671 x5018 + 39.818671 x5019 + 39.818671 x5020 + 39.818671 x5021 + 39.818671 x5022 + 39.818671 x5023 + 39.818671 x5024 + 39.818671 x5025 + 39.818671 x5026 + 39.818671 x5027 + 39.818671 x5028 + 39.818671 x5029 + 39.818671 x5030 + 39.818671 x5031 + 39.818671 x5032 + 39.818671 x5033 + 39.818671 x5034 + 39.818671 x5035 + 39.818671 x5036 + 39.818671 x5037 + 39.818671 x5038 + 39.818671 x5039 + 39.818671 x5040 + 39.818671 x5041 + 45.373452 x5042 + 45.373452 x5043 + 45.373452 x5044 + 45.373452 x5045 + 45.373452 x5046 + 45.373452 x5047 + 45.373452 x5048 + 45.373452 x5049 + 45.373452 x5050 + 45.373452 x5051 + 45.373452 x5052 + 45.373452 x5053 + 45.373452 x5054 + 45.373452 x5055 + 45.373452 x5056 + 45.373452 x5057 + 45.373452 x5058 + 45.373452 x5059 + 45.373452 x5060 + 45.373452 x5061 + 45.373452 x5062 + 45.373452 x5063 + 45.373452 x5064 + 45.373452 x5065 + 47.232346 x5066 + 47.232346 x5067 + 47.232346 x5068 + 47.232346 x5069 + 47.232346 x5070 + 47.232346 x5071 + 47.232346 x5072 + 47.232346 x5073 + 47.232346 x5074 + 47.232346 x5075 + 47.232346 x5076 + 47.232346 x5077 + 47.232346 x5078 + 47.232346 x5079 + 47.232346 x5080 + 47.232346 x5081 + 47.232346 x5082 + 47.232346 x5083 + 47.232346 x5084 + 47.232346 x5085 + 47.232346 x5086 + 47.232346 x5087 + 47.232346 x5088 + 47.232346 x5089 + 41.626169 x5090 + 41.626169 x5091 + 41.626169 x5092 + 41.626169 x5093 + 41.626169 x5094 + 41.626169 x5095 + 41.626169 x5096 + 41.626169 x5097 + 41.626169 x5098 + 41.626169 x5099 + 41.626169 x5100 + 41.626169 x5101 + 41.626169 x5102 + 41.626169 x5103 + 41.626169 x5104 + 41.626169 x5105 + 41.626169 x5106 + 41.626169 x5107 + 41.626169 x5108 + 41.626169 x5109 + 41.626169 x5110 + 41.626169 x5111 + 41.626169 x5112 + 41.626169 x5113 + 40.29458 x5114 + 40.29458 x5115 + 40.29458 x5116 + 40.29458 x5117 + 40.29458 x5118 + 40.29458 x5119 + 40.29458 x5120 + 40.29458 x5121 + 40.29458 x5122 + 40.29458 x5123 + 40.29458 x5124 + 40.29458 x5125 + 40.29458 x5126 + 40.29458 x5127 + 40.29458 x5128 + 40.29458 x5129 + 40.29458 x5130 + 40.29458 x5131 + 40.29458 x5132 + 40.29458 x5133 + 40.29458 x5134 + 40.29458 x5135 + 40.29458 x5136 + 40.29458 x5137 + 36.248877 x5138 + 36.248877 x5139 + 36.248877 x5140 + 36.248877 x5141 + 36.248877 x5142 + 36.248877 x5143 + 36.248877 x5144 + 36.248877 x5145 + 36.248877 x5146 + 36.248877 x5147 + 36.248877 x5148 + 36.248877 x5149 + 36.248877 x5150 + 36.248877 x5151 + 36.248877 x5152 + 36.248877 x5153 + 36.248877 x5154 + 36.248877 x5155 + 36.248877 x5156 + 36.248877 x5157 + 36.248877 x5158 + 36.248877 x5159 + 36.248877 x5160 + 36.248877 x5161 + 41.620134 x5162 + 41.620134 x5163 + 41.620134 x5164 + 41.620134 x5165 + 41.620134 x5166 + 41.620134 x5167 + 41.620134 x5168 + 41.620134 x5169 + 41.620134 x5170 + 41.620134 x5171 + 41.620134 x5172 + 41.620134 x5173 + 41.620134 x5174 + 41.620134 x5175 + 41.620134 x5176 + 41.620134 x5177 + 41.620134 x5178 + 41.620134 x5179 + 41.620134 x5180 + 41.620134 x5181 + 41.620134 x5182 + 41.620134 x5183 + 41.620134 x5184 + 41.620134 x5185 + 46.534384 x5186 + 46.534384 x5187 + 46.534384 x5188 + 46.534384 x5189 + 46.534384 x5190 + 46.534384 x5191 + 46.534384 x5192 + 46.534384 x5193 + 46.534384 x5194 + 46.534384 x5195 + 46.534384 x5196 + 46.534384 x5197 + 46.534384 x5198 + 46.534384 x5199 + 46.534384 x5200 + 46.534384 x5201 + 46.534384 x5202 + 46.534384 x5203 + 46.534384 x5204 + 46.534384 x5205 + 46.534384 x5206 + 46.534384 x5207 + 46.534384 x5208 + 46.534384 x5209 + 42.001961 x5210 + 42.001961 x5211 + 42.001961 x5212 + 42.001961 x5213 + 42.001961 x5214 + 42.001961 x5215 + 42.001961 x5216 + 42.001961 x5217 + 42.001961 x5218 + 42.001961 x5219 + 42.001961 x5220 + 42.001961 x5221 + 42.001961 x5222 + 42.001961 x5223 + 42.001961 x5224 + 42.001961 x5225 + 42.001961 x5226 + 42.001961 x5227 + 42.001961 x5228 + 42.001961 x5229 + 42.001961 x5230 + 42.001961 x5231 + 42.001961 x5232 + 42.001961 x5233 + 37.559957 x5234 + 37.559957 x5235 + 37.559957 x5236 + 37.559957 x5237 + 37.559957 x5238 + 37.559957 x5239 + 37.559957 x5240 + 37.559957 x5241 + 37.559957 x5242 + 37.559957 x5243 + 37.559957 x5244 + 37.559957 x5245 + 37.559957 x5246 + 37.559957 x5247 + 37.559957 x5248 + 37.559957 x5249 + 37.559957 x5250 + 37.559957 x5251 + 37.559957 x5252 + 37.559957 x5253 + 37.559957 x5254 + 37.559957 x5255 + 37.559957 x5256 + 37.559957 x5257 + 44.728694 x5258 + 44.728694 x5259 + 44.728694 x5260 + 44.728694 x5261 + 44.728694 x5262 + 44.728694 x5263 + 44.728694 x5264 + 44.728694 x5265 + 44.728694 x5266 + 44.728694 x5267 + 44.728694 x5268 + 44.728694 x5269 + 44.728694 x5270 + 44.728694 x5271 + 44.728694 x5272 + 44.728694 x5273 + 44.728694 x5274 + 44.728694 x5275 + 44.728694 x5276 + 44.728694 x5277 + 44.728694 x5278 + 44.728694 x5279 + 44.728694 x5280 + 44.728694 x5281 + 40.309307 x5282 + 40.309307 x5283 + 40.309307 x5284 + 40.309307 x5285 + 40.309307 x5286 + 40.309307 x5287 + 40.309307 x5288 + 40.309307 x5289 + 40.309307 x5290 + 40.309307 x5291 + 40.309307 x5292 + 40.309307 x5293 + 40.309307 x5294 + 40.309307 x5295 + 40.309307 x5296 + 40.309307 x5297 + 40.309307 x5298 + 40.309307 x5299 + 40.309307 x5300 + 40.309307 x5301 + 40.309307 x5302 + 40.309307 x5303 + 40.309307 x5304 + 40.309307 x5305 + 39.598943 x5306 + 39.598943 x5307 + 39.598943 x5308 + 39.598943 x5309 + 39.598943 x5310 + 39.598943 x5311 + 39.598943 x5312 + 39.598943 x5313 + 39.598943 x5314 + 39.598943 x5315 + 39.598943 x5316 + 39.598943 x5317 + 39.598943 x5318 + 39.598943 x5319 + 39.598943 x5320 + 39.598943 x5321 + 39.598943 x5322 + 39.598943 x5323 + 39.598943 x5324 + 39.598943 x5325 + 39.598943 x5326 + 39.598943 x5327 + 39.598943 x5328 + 39.598943 x5329 + 41.453501 x5330 + 41.453501 x5331 + 41.453501 x5332 + 41.453501 x5333 + 41.453501 x5334 + 41.453501 x5335 + 41.453501 x5336 + 41.453501 x5337 + 41.453501 x5338 + 41.453501 x5339 + 41.453501 x5340 + 41.453501 x5341 + 41.453501 x5342 + 41.453501 x5343 + 41.453501 x5344 + 41.453501 x5345 + 41.453501 x5346 + 41.453501 x5347 + 41.453501 x5348 + 41.453501 x5349 + 41.453501 x5350 + 41.453501 x5351 + 41.453501 x5352 + 41.453501 x5353 + 44.601107 x5354 + 44.601107 x5355 + 44.601107 x5356 + 44.601107 x5357 + 44.601107 x5358 + 44.601107 x5359 + 44.601107 x5360 + 44.601107 x5361 + 44.601107 x5362 + 44.601107 x5363 + 44.601107 x5364 + 44.601107 x5365 + 44.601107 x5366 + 44.601107 x5367 + 44.601107 x5368 + 44.601107 x5369 + 44.601107 x5370 + 44.601107 x5371 + 44.601107 x5372 + 44.601107 x5373 + 44.601107 x5374 + 44.601107 x5375 + 44.601107 x5376 + 44.601107 x5377 + 37.053009 x5378 + 37.053009 x5379 + 37.053009 x5380 + 37.053009 x5381 + 37.053009 x5382 + 37.053009 x5383 + 37.053009 x5384 + 37.053009 x5385 + 37.053009 x5386 + 37.053009 x5387 + 37.053009 x5388 + 37.053009 x5389 + 37.053009 x5390 + 37.053009 x5391 + 37.053009 x5392 + 37.053009 x5393 + 37.053009 x5394 + 37.053009 x5395 + 37.053009 x5396 + 37.053009 x5397 + 37.053009 x5398 + 37.053009 x5399 + 37.053009 x5400 + 37.053009 x5401 + 43.118779 x5402 + 43.118779 x5403 + 43.118779 x5404 + 43.118779 x5405 + 43.118779 x5406 + 43.118779 x5407 + 43.118779 x5408 + 43.118779 x5409 + 43.118779 x5410 + 43.118779 x5411 + 43.118779 x5412 + 43.118779 x5413 + 43.118779 x5414 + 43.118779 x5415 + 43.118779 x5416 + 43.118779 x5417 + 43.118779 x5418 + 43.118779 x5419 + 43.118779 x5420 + 43.118779 x5421 + 43.118779 x5422 + 43.118779 x5423 + 43.118779 x5424 + 43.118779 x5425 + 40.840238 x5426 + 40.840238 x5427 + 40.840238 x5428 + 40.840238 x5429 + 40.840238 x5430 + 40.840238 x5431 + 40.840238 x5432 + 40.840238 x5433 + 40.840238 x5434 + 40.840238 x5435 + 40.840238 x5436 + 40.840238 x5437 + 40.840238 x5438 + 40.840238 x5439 + 40.840238 x5440 + 40.840238 x5441 + 40.840238 x5442 + 40.840238 x5443 + 40.840238 x5444 + 40.840238 x5445 + 40.840238 x5446 + 40.840238 x5447 + 40.840238 x5448 + 40.840238 x5449 + 44.576808 x5450 + 44.576808 x5451 + 44.576808 x5452 + 44.576808 x5453 + 44.576808 x5454 + 44.576808 x5455 + 44.576808 x5456 + 44.576808 x5457 + 44.576808 x5458 + 44.576808 x5459 + 44.576808 x5460 + 44.576808 x5461 + 44.576808 x5462 + 44.576808 x5463 + 44.576808 x5464 + 44.576808 x5465 + 44.576808 x5466 + 44.576808 x5467 + 44.576808 x5468 + 44.576808 x5469 + 44.576808 x5470 + 44.576808 x5471 + 44.576808 x5472 + 44.576808 x5473 + 39.308594 x5474 + 39.308594 x5475 + 39.308594 x5476 + 39.308594 x5477 + 39.308594 x5478 + 39.308594 x5479 + 39.308594 x5480 + 39.308594 x5481 + 39.308594 x5482 + 39.308594 x5483 + 39.308594 x5484 + 39.308594 x5485 + 39.308594 x5486 + 39.308594 x5487 + 39.308594 x5488 + 39.308594 x5489 + 39.308594 x5490 + 39.308594 x5491 + 39.308594 x5492 + 39.308594 x5493 + 39.308594 x5494 + 39.308594 x5495 + 39.308594 x5496 + 39.308594 x5497 + 43.028173 x5498 + 43.028173 x5499 + 43.028173 x5500 + 43.028173 x5501 + 43.028173 x5502 + 43.028173 x5503 + 43.028173 x5504 + 43.028173 x5505 + 43.028173 x5506 + 43.028173 x5507 + 43.028173 x5508 + 43.028173 x5509 + 43.028173 x5510 + 43.028173 x5511 + 43.028173 x5512 + 43.028173 x5513 + 43.028173 x5514 + 43.028173 x5515 + 43.028173 x5516 + 43.028173 x5517 + 43.028173 x5518 + 43.028173 x5519 + 43.028173 x5520 + 43.028173 x5521 + 39.28549 x5522 + 39.28549 x5523 + 39.28549 x5524 + 39.28549 x5525 + 39.28549 x5526 + 39.28549 x5527 + 39.28549 x5528 + 39.28549 x5529 + 39.28549 x5530 + 39.28549 x5531 + 39.28549 x5532 + 39.28549 x5533 + 39.28549 x5534 + 39.28549 x5535 + 39.28549 x5536 + 39.28549 x5537 + 39.28549 x5538 + 39.28549 x5539 + 39.28549 x5540 + 39.28549 x5541 + 39.28549 x5542 + 39.28549 x5543 + 39.28549 x5544 + 39.28549 x5545 + 40.124001 x5546 + 40.124001 x5547 + 40.124001 x5548 + 40.124001 x5549 + 40.124001 x5550 + 40.124001 x5551 + 40.124001 x5552 + 40.124001 x5553 + 40.124001 x5554 + 40.124001 x5555 + 40.124001 x5556 + 40.124001 x5557 + 40.124001 x5558 + 40.124001 x5559 + 40.124001 x5560 + 40.124001 x5561 + 40.124001 x5562 + 40.124001 x5563 + 40.124001 x5564 + 40.124001 x5565 + 40.124001 x5566 + 40.124001 x5567 + 40.124001 x5568 + 40.124001 x5569 + 39.935711 x5570 + 39.935711 x5571 + 39.935711 x5572 + 39.935711 x5573 + 39.935711 x5574 + 39.935711 x5575 + 39.935711 x5576 + 39.935711 x5577 + 39.935711 x5578 + 39.935711 x5579 + 39.935711 x5580 + 39.935711 x5581 + 39.935711 x5582 + 39.935711 x5583 + 39.935711 x5584 + 39.935711 x5585 + 39.935711 x5586 + 39.935711 x5587 + 39.935711 x5588 + 39.935711 x5589 + 39.935711 x5590 + 39.935711 x5591 + 39.935711 x5592 + 39.935711 x5593 + 43.169602 x5594 + 43.169602 x5595 + 43.169602 x5596 + 43.169602 x5597 + 43.169602 x5598 + 43.169602 x5599 + 43.169602 x5600 + 43.169602 x5601 + 43.169602 x5602 + 43.169602 x5603 + 43.169602 x5604 + 43.169602 x5605 + 43.169602 x5606 + 43.169602 x5607 + 43.169602 x5608 + 43.169602 x5609 + 43.169602 x5610 + 43.169602 x5611 + 43.169602 x5612 + 43.169602 x5613 + 43.169602 x5614 + 43.169602 x5615 + 43.169602 x5616 + 43.169602 x5617 + 41.337817 x5618 + 41.337817 x5619 + 41.337817 x5620 + 41.337817 x5621 + 41.337817 x5622 + 41.337817 x5623 + 41.337817 x5624 + 41.337817 x5625 + 41.337817 x5626 + 41.337817 x5627 + 41.337817 x5628 + 41.337817 x5629 + 41.337817 x5630 + 41.337817 x5631 + 41.337817 x5632 + 41.337817 x5633 + 41.337817 x5634 + 41.337817 x5635 + 41.337817 x5636 + 41.337817 x5637 + 41.337817 x5638 + 41.337817 x5639 + 41.337817 x5640 + 41.337817 x5641 + 44.685441 x5642 + 44.685441 x5643 + 44.685441 x5644 + 44.685441 x5645 + 44.685441 x5646 + 44.685441 x5647 + 44.685441 x5648 + 44.685441 x5649 + 44.685441 x5650 + 44.685441 x5651 + 44.685441 x5652 + 44.685441 x5653 + 44.685441 x5654 + 44.685441 x5655 + 44.685441 x5656 + 44.685441 x5657 + 44.685441 x5658 + 44.685441 x5659 + 44.685441 x5660 + 44.685441 x5661 + 44.685441 x5662 + 44.685441 x5663 + 44.685441 x5664 + 44.685441 x5665 + 40.056097 x5666 + 40.056097 x5667 + 40.056097 x5668 + 40.056097 x5669 + 40.056097 x5670 + 40.056097 x5671 + 40.056097 x5672 + 40.056097 x5673 + 40.056097 x5674 + 40.056097 x5675 + 40.056097 x5676 + 40.056097 x5677 + 40.056097 x5678 + 40.056097 x5679 + 40.056097 x5680 + 40.056097 x5681 + 40.056097 x5682 + 40.056097 x5683 + 40.056097 x5684 + 40.056097 x5685 + 40.056097 x5686 + 40.056097 x5687 + 40.056097 x5688 + 40.056097 x5689 + 39.037926 x5690 + 39.037926 x5691 + 39.037926 x5692 + 39.037926 x5693 + 39.037926 x5694 + 39.037926 x5695 + 39.037926 x5696 + 39.037926 x5697 + 39.037926 x5698 + 39.037926 x5699 + 39.037926 x5700 + 39.037926 x5701 + 39.037926 x5702 + 39.037926 x5703 + 39.037926 x5704 + 39.037926 x5705 + 39.037926 x5706 + 39.037926 x5707 + 39.037926 x5708 + 39.037926 x5709 + 39.037926 x5710 + 39.037926 x5711 + 39.037926 x5712 + 39.037926 x5713 + 44.413417 x5714 + 44.413417 x5715 + 44.413417 x5716 + 44.413417 x5717 + 44.413417 x5718 + 44.413417 x5719 + 44.413417 x5720 + 44.413417 x5721 + 44.413417 x5722 + 44.413417 x5723 + 44.413417 x5724 + 44.413417 x5725 + 44.413417 x5726 + 44.413417 x5727 + 44.413417 x5728 + 44.413417 x5729 + 44.413417 x5730 + 44.413417 x5731 + 44.413417 x5732 + 44.413417 x5733 + 44.413417 x5734 + 44.413417 x5735 + 44.413417 x5736 + 44.413417 x5737 + 39.98686 x5738 + 39.98686 x5739 + 39.98686 x5740 + 39.98686 x5741 + 39.98686 x5742 + 39.98686 x5743 + 39.98686 x5744 + 39.98686 x5745 + 39.98686 x5746 + 39.98686 x5747 + 39.98686 x5748 + 39.98686 x5749 + 39.98686 x5750 + 39.98686 x5751 + 39.98686 x5752 + 39.98686 x5753 + 39.98686 x5754 + 39.98686 x5755 + 39.98686 x5756 + 39.98686 x5757 + 39.98686 x5758 + 39.98686 x5759 + 39.98686 x5760 + 39.98686 x5761 + 37.895876 x5762 + 37.895876 x5763 + 37.895876 x5764 + 37.895876 x5765 + 37.895876 x5766 + 37.895876 x5767 + 37.895876 x5768 + 37.895876 x5769 + 37.895876 x5770 + 37.895876 x5771 + 37.895876 x5772 + 37.895876 x5773 + 37.895876 x5774 + 37.895876 x5775 + 37.895876 x5776 + 37.895876 x5777 + 37.895876 x5778 + 37.895876 x5779 + 37.895876 x5780 + 37.895876 x5781 + 37.895876 x5782 + 37.895876 x5783 + 37.895876 x5784 + 37.895876 x5785 + 48.80177 x5786 + 48.80177 x5787 + 48.80177 x5788 + 48.80177 x5789 + 48.80177 x5790 + 48.80177 x5791 + 48.80177 x5792 + 48.80177 x5793 + 48.80177 x5794 + 48.80177 x5795 + 48.80177 x5796 + 48.80177 x5797 + 48.80177 x5798 + 48.80177 x5799 + 48.80177 x5800 + 48.80177 x5801 + 48.80177 x5802 + 48.80177 x5803 + 48.80177 x5804 + 48.80177 x5805 + 48.80177 x5806 + 48.80177 x5807 + 48.80177 x5808 + 48.80177 x5809 + 40.290764 x5810 + 40.290764 x5811 + 40.290764 x5812 + 40.290764 x5813 + 40.290764 x5814 + 40.290764 x5815 + 40.290764 x5816 + 40.290764 x5817 + 40.290764 x5818 + 40.290764 x5819 + 40.290764 x5820 + 40.290764 x5821 + 40.290764 x5822 + 40.290764 x5823 + 40.290764 x5824 + 40.290764 x5825 + 40.290764 x5826 + 40.290764 x5827 + 40.290764 x5828 + 40.290764 x5829 + 40.290764 x5830 + 40.290764 x5831 + 40.290764 x5832 + 40.290764 x5833 + 41.736993 x5834 + 41.736993 x5835 + 41.736993 x5836 + 41.736993 x5837 + 41.736993 x5838 + 41.736993 x5839 + 41.736993 x5840 + 41.736993 x5841 + 41.736993 x5842 + 41.736993 x5843 + 41.736993 x5844 + 41.736993 x5845 + 41.736993 x5846 + 41.736993 x5847 + 41.736993 x5848 + 41.736993 x5849 + 41.736993 x5850 + 41.736993 x5851 + 41.736993 x5852 + 41.736993 x5853 + 41.736993 x5854 + 41.736993 x5855 + 41.736993 x5856 + 41.736993 x5857 + 43.712327 x5858 + 43.712327 x5859 + 43.712327 x5860 + 43.712327 x5861 + 43.712327 x5862 + 43.712327 x5863 + 43.712327 x5864 + 43.712327 x5865 + 43.712327 x5866 + 43.712327 x5867 + 43.712327 x5868 + 43.712327 x5869 + 43.712327 x5870 + 43.712327 x5871 + 43.712327 x5872 + 43.712327 x5873 + 43.712327 x5874 + 43.712327 x5875 + 43.712327 x5876 + 43.712327 x5877 + 43.712327 x5878 + 43.712327 x5879 + 43.712327 x5880 + 43.712327 x5881 + 39.855372 x5882 + 39.855372 x5883 + 39.855372 x5884 + 39.855372 x5885 + 39.855372 x5886 + 39.855372 x5887 + 39.855372 x5888 + 39.855372 x5889 + 39.855372 x5890 + 39.855372 x5891 + 39.855372 x5892 + 39.855372 x5893 + 39.855372 x5894 + 39.855372 x5895 + 39.855372 x5896 + 39.855372 x5897 + 39.855372 x5898 + 39.855372 x5899 + 39.855372 x5900 + 39.855372 x5901 + 39.855372 x5902 + 39.855372 x5903 + 39.855372 x5904 + 39.855372 x5905 + 41.101031 x5906 + 41.101031 x5907 + 41.101031 x5908 + 41.101031 x5909 + 41.101031 x5910 + 41.101031 x5911 + 41.101031 x5912 + 41.101031 x5913 + 41.101031 x5914 + 41.101031 x5915 + 41.101031 x5916 + 41.101031 x5917 + 41.101031 x5918 + 41.101031 x5919 + 41.101031 x5920 + 41.101031 x5921 + 41.101031 x5922 + 41.101031 x5923 + 41.101031 x5924 + 41.101031 x5925 + 41.101031 x5926 + 41.101031 x5927 + 41.101031 x5928 + 41.101031 x5929 + 42.122301 x5930 + 42.122301 x5931 + 42.122301 x5932 + 42.122301 x5933 + 42.122301 x5934 + 42.122301 x5935 + 42.122301 x5936 + 42.122301 x5937 + 42.122301 x5938 + 42.122301 x5939 + 42.122301 x5940 + 42.122301 x5941 + 42.122301 x5942 + 42.122301 x5943 + 42.122301 x5944 + 42.122301 x5945 + 42.122301 x5946 + 42.122301 x5947 + 42.122301 x5948 + 42.122301 x5949 + 42.122301 x5950 + 42.122301 x5951 + 42.122301 x5952 + 42.122301 x5953 + 44.723352 x5954 + 44.723352 x5955 + 44.723352 x5956 + 44.723352 x5957 + 44.723352 x5958 + 44.723352 x5959 + 44.723352 x5960 + 44.723352 x5961 + 44.723352 x5962 + 44.723352 x5963 + 44.723352 x5964 + 44.723352 x5965 + 44.723352 x5966 + 44.723352 x5967 + 44.723352 x5968 + 44.723352 x5969 + 44.723352 x5970 + 44.723352 x5971 + 44.723352 x5972 + 44.723352 x5973 + 44.723352 x5974 + 44.723352 x5975 + 44.723352 x5976 + 44.723352 x5977 + 44.46621 x5978 + 44.46621 x5979 + 44.46621 x5980 + 44.46621 x5981 + 44.46621 x5982 + 44.46621 x5983 + 44.46621 x5984 + 44.46621 x5985 + 44.46621 x5986 + 44.46621 x5987 + 44.46621 x5988 + 44.46621 x5989 + 44.46621 x5990 + 44.46621 x5991 + 44.46621 x5992 + 44.46621 x5993 + 44.46621 x5994 + 44.46621 x5995 + 44.46621 x5996 + 44.46621 x5997 + 44.46621 x5998 + 44.46621 x5999 + 44.46621 x6000 + 44.46621 x6001 + 37.498384 x6002 + 37.498384 x6003 + 37.498384 x6004 + 37.498384 x6005 + 37.498384 x6006 + 37.498384 x6007 + 37.498384 x6008 + 37.498384 x6009 + 37.498384 x6010 + 37.498384 x6011 + 37.498384 x6012 + 37.498384 x6013 + 37.498384 x6014 + 37.498384 x6015 + 37.498384 x6016 + 37.498384 x6017 + 37.498384 x6018 + 37.498384 x6019 + 37.498384 x6020 + 37.498384 x6021 + 37.498384 x6022 + 37.498384 x6023 + 37.498384 x6024 + 37.498384 x6025 + 38.885275 x6026 + 38.885275 x6027 + 38.885275 x6028 + 38.885275 x6029 + 38.885275 x6030 + 38.885275 x6031 + 38.885275 x6032 + 38.885275 x6033 + 38.885275 x6034 + 38.885275 x6035 + 38.885275 x6036 + 38.885275 x6037 + 38.885275 x6038 + 38.885275 x6039 + 38.885275 x6040 + 38.885275 x6041 + 38.885275 x6042 + 38.885275 x6043 + 38.885275 x6044 + 38.885275 x6045 + 38.885275 x6046 + 38.885275 x6047 + 38.885275 x6048 + 38.885275 x6049 + 46.267088 x6050 + 46.267088 x6051 + 46.267088 x6052 + 46.267088 x6053 + 46.267088 x6054 + 46.267088 x6055 + 46.267088 x6056 + 46.267088 x6057 + 46.267088 x6058 + 46.267088 x6059 + 46.267088 x6060 + 46.267088 x6061 + 46.267088 x6062 + 46.267088 x6063 + 46.267088 x6064 + 46.267088 x6065 + 46.267088 x6066 + 46.267088 x6067 + 46.267088 x6068 + 46.267088 x6069 + 46.267088 x6070 + 46.267088 x6071 + 46.267088 x6072 + 46.267088 x6073 + 41.293847 x6074 + 41.293847 x6075 + 41.293847 x6076 + 41.293847 x6077 + 41.293847 x6078 + 41.293847 x6079 + 41.293847 x6080 + 41.293847 x6081 + 41.293847 x6082 + 41.293847 x6083 + 41.293847 x6084 + 41.293847 x6085 + 41.293847 x6086 + 41.293847 x6087 + 41.293847 x6088 + 41.293847 x6089 + 41.293847 x6090 + 41.293847 x6091 + 41.293847 x6092 + 41.293847 x6093 + 41.293847 x6094 + 41.293847 x6095 + 41.293847 x6096 + 41.293847 x6097 + 42.08928 x6098 + 42.08928 x6099 + 42.08928 x6100 + 42.08928 x6101 + 42.08928 x6102 + 42.08928 x6103 + 42.08928 x6104 + 42.08928 x6105 + 42.08928 x6106 + 42.08928 x6107 + 42.08928 x6108 + 42.08928 x6109 + 42.08928 x6110 + 42.08928 x6111 + 42.08928 x6112 + 42.08928 x6113 + 42.08928 x6114 + 42.08928 x6115 + 42.08928 x6116 + 42.08928 x6117 + 42.08928 x6118 + 42.08928 x6119 + 42.08928 x6120 + 42.08928 x6121 + 37.293613 x6122 + 37.293613 x6123 + 37.293613 x6124 + 37.293613 x6125 + 37.293613 x6126 + 37.293613 x6127 + 37.293613 x6128 + 37.293613 x6129 + 37.293613 x6130 + 37.293613 x6131 + 37.293613 x6132 + 37.293613 x6133 + 37.293613 x6134 + 37.293613 x6135 + 37.293613 x6136 + 37.293613 x6137 + 37.293613 x6138 + 37.293613 x6139 + 37.293613 x6140 + 37.293613 x6141 + 37.293613 x6142 + 37.293613 x6143 + 37.293613 x6144 + 37.293613 x6145 + 46.247345 x6146 + 46.247345 x6147 + 46.247345 x6148 + 46.247345 x6149 + 46.247345 x6150 + 46.247345 x6151 + 46.247345 x6152 + 46.247345 x6153 + 46.247345 x6154 + 46.247345 x6155 + 46.247345 x6156 + 46.247345 x6157 + 46.247345 x6158 + 46.247345 x6159 + 46.247345 x6160 + 46.247345 x6161 + 46.247345 x6162 + 46.247345 x6163 + 46.247345 x6164 + 46.247345 x6165 + 46.247345 x6166 + 46.247345 x6167 + 46.247345 x6168 + 46.247345 x6169 + 42.144071 x6170 + 42.144071 x6171 + 42.144071 x6172 + 42.144071 x6173 + 42.144071 x6174 + 42.144071 x6175 + 42.144071 x6176 + 42.144071 x6177 + 42.144071 x6178 + 42.144071 x6179 + 42.144071 x6180 + 42.144071 x6181 + 42.144071 x6182 + 42.144071 x6183 + 42.144071 x6184 + 42.144071 x6185 + 42.144071 x6186 + 42.144071 x6187 + 42.144071 x6188 + 42.144071 x6189 + 42.144071 x6190 + 42.144071 x6191 + 42.144071 x6192 + 42.144071 x6193 + 47.13844 x6194 + 47.13844 x6195 + 47.13844 x6196 + 47.13844 x6197 + 47.13844 x6198 + 47.13844 x6199 + 47.13844 x6200 + 47.13844 x6201 + 47.13844 x6202 + 47.13844 x6203 + 47.13844 x6204 + 47.13844 x6205 + 47.13844 x6206 + 47.13844 x6207 + 47.13844 x6208 + 47.13844 x6209 + 47.13844 x6210 + 47.13844 x6211 + 47.13844 x6212 + 47.13844 x6213 + 47.13844 x6214 + 47.13844 x6215 + 47.13844 x6216 + 47.13844 x6217 + 46.036887 x6218 + 46.036887 x6219 + 46.036887 x6220 + 46.036887 x6221 + 46.036887 x6222 + 46.036887 x6223 + 46.036887 x6224 + 46.036887 x6225 + 46.036887 x6226 + 46.036887 x6227 + 46.036887 x6228 + 46.036887 x6229 + 46.036887 x6230 + 46.036887 x6231 + 46.036887 x6232 + 46.036887 x6233 + 46.036887 x6234 + 46.036887 x6235 + 46.036887 x6236 + 46.036887 x6237 + 46.036887 x6238 + 46.036887 x6239 + 46.036887 x6240 + 46.036887 x6241 + 38.178227 x6242 + 38.178227 x6243 + 38.178227 x6244 + 38.178227 x6245 + 38.178227 x6246 + 38.178227 x6247 + 38.178227 x6248 + 38.178227 x6249 + 38.178227 x6250 + 38.178227 x6251 + 38.178227 x6252 + 38.178227 x6253 + 38.178227 x6254 + 38.178227 x6255 + 38.178227 x6256 + 38.178227 x6257 + 38.178227 x6258 + 38.178227 x6259 + 38.178227 x6260 + 38.178227 x6261 + 38.178227 x6262 + 38.178227 x6263 + 38.178227 x6264 + 38.178227 x6265 + 39.345069 x6266 + 39.345069 x6267 + 39.345069 x6268 + 39.345069 x6269 + 39.345069 x6270 + 39.345069 x6271 + 39.345069 x6272 + 39.345069 x6273 + 39.345069 x6274 + 39.345069 x6275 + 39.345069 x6276 + 39.345069 x6277 + 39.345069 x6278 + 39.345069 x6279 + 39.345069 x6280 + 39.345069 x6281 + 39.345069 x6282 + 39.345069 x6283 + 39.345069 x6284 + 39.345069 x6285 + 39.345069 x6286 + 39.345069 x6287 + 39.345069 x6288 + 39.345069 x6289 + 39.13779 x6290 + 39.13779 x6291 + 39.13779 x6292 + 39.13779 x6293 + 39.13779 x6294 + 39.13779 x6295 + 39.13779 x6296 + 39.13779 x6297 + 39.13779 x6298 + 39.13779 x6299 + 39.13779 x6300 + 39.13779 x6301 + 39.13779 x6302 + 39.13779 x6303 + 39.13779 x6304 + 39.13779 x6305 + 39.13779 x6306 + 39.13779 x6307 + 39.13779 x6308 + 39.13779 x6309 + 39.13779 x6310 + 39.13779 x6311 + 39.13779 x6312 + 39.13779 x6313 + 44.506287 x6314 + 44.506287 x6315 + 44.506287 x6316 + 44.506287 x6317 + 44.506287 x6318 + 44.506287 x6319 + 44.506287 x6320 + 44.506287 x6321 + 44.506287 x6322 + 44.506287 x6323 + 44.506287 x6324 + 44.506287 x6325 + 44.506287 x6326 + 44.506287 x6327 + 44.506287 x6328 + 44.506287 x6329 + 44.506287 x6330 + 44.506287 x6331 + 44.506287 x6332 + 44.506287 x6333 + 44.506287 x6334 + 44.506287 x6335 + 44.506287 x6336 + 44.506287 x6337 + 37.50052 x6338 + 37.50052 x6339 + 37.50052 x6340 + 37.50052 x6341 + 37.50052 x6342 + 37.50052 x6343 + 37.50052 x6344 + 37.50052 x6345 + 37.50052 x6346 + 37.50052 x6347 + 37.50052 x6348 + 37.50052 x6349 + 37.50052 x6350 + 37.50052 x6351 + 37.50052 x6352 + 37.50052 x6353 + 37.50052 x6354 + 37.50052 x6355 + 37.50052 x6356 + 37.50052 x6357 + 37.50052 x6358 + 37.50052 x6359 + 37.50052 x6360 + 37.50052 x6361 + 44.93706 x6362 + 44.93706 x6363 + 44.93706 x6364 + 44.93706 x6365 + 44.93706 x6366 + 44.93706 x6367 + 44.93706 x6368 + 44.93706 x6369 + 44.93706 x6370 + 44.93706 x6371 + 44.93706 x6372 + 44.93706 x6373 + 44.93706 x6374 + 44.93706 x6375 + 44.93706 x6376 + 44.93706 x6377 + 44.93706 x6378 + 44.93706 x6379 + 44.93706 x6380 + 44.93706 x6381 + 44.93706 x6382 + 44.93706 x6383 + 44.93706 x6384 + 44.93706 x6385 + 44.906904 x6386 + 44.906904 x6387 + 44.906904 x6388 + 44.906904 x6389 + 44.906904 x6390 + 44.906904 x6391 + 44.906904 x6392 + 44.906904 x6393 + 44.906904 x6394 + 44.906904 x6395 + 44.906904 x6396 + 44.906904 x6397 + 44.906904 x6398 + 44.906904 x6399 + 44.906904 x6400 + 44.906904 x6401 + 44.906904 x6402 + 44.906904 x6403 + 44.906904 x6404 + 44.906904 x6405 + 44.906904 x6406 + 44.906904 x6407 + 44.906904 x6408 + 44.906904 x6409 + 40.022505 x6410 + 40.022505 x6411 + 40.022505 x6412 + 40.022505 x6413 + 40.022505 x6414 + 40.022505 x6415 + 40.022505 x6416 + 40.022505 x6417 + 40.022505 x6418 + 40.022505 x6419 + 40.022505 x6420 + 40.022505 x6421 + 40.022505 x6422 + 40.022505 x6423 + 40.022505 x6424 + 40.022505 x6425 + 40.022505 x6426 + 40.022505 x6427 + 40.022505 x6428 + 40.022505 x6429 + 40.022505 x6430 + 40.022505 x6431 + 40.022505 x6432 + 40.022505 x6433 + 41.15357 x6434 + 41.15357 x6435 + 41.15357 x6436 + 41.15357 x6437 + 41.15357 x6438 + 41.15357 x6439 + 41.15357 x6440 + 41.15357 x6441 + 41.15357 x6442 + 41.15357 x6443 + 41.15357 x6444 + 41.15357 x6445 + 41.15357 x6446 + 41.15357 x6447 + 41.15357 x6448 + 41.15357 x6449 + 41.15357 x6450 + 41.15357 x6451 + 41.15357 x6452 + 41.15357 x6453 + 41.15357 x6454 + 41.15357 x6455 + 41.15357 x6456 + 41.15357 x6457 + 43.664896 x6458 + 43.664896 x6459 + 43.664896 x6460 + 43.664896 x6461 + 43.664896 x6462 + 43.664896 x6463 + 43.664896 x6464 + 43.664896 x6465 + 43.664896 x6466 + 43.664896 x6467 + 43.664896 x6468 + 43.664896 x6469 + 43.664896 x6470 + 43.664896 x6471 + 43.664896 x6472 + 43.664896 x6473 + 43.664896 x6474 + 43.664896 x6475 + 43.664896 x6476 + 43.664896 x6477 + 43.664896 x6478 + 43.664896 x6479 + 43.664896 x6480 + 43.664896 x6481 + 45.103738 x6482 + 45.103738 x6483 + 45.103738 x6484 + 45.103738 x6485 + 45.103738 x6486 + 45.103738 x6487 + 45.103738 x6488 + 45.103738 x6489 + 45.103738 x6490 + 45.103738 x6491 + 45.103738 x6492 + 45.103738 x6493 + 45.103738 x6494 + 45.103738 x6495 + 45.103738 x6496 + 45.103738 x6497 + 45.103738 x6498 + 45.103738 x6499 + 45.103738 x6500 + 45.103738 x6501 + 45.103738 x6502 + 45.103738 x6503 + 45.103738 x6504 + 45.103738 x6505 + 37.111989 x6506 + 37.111989 x6507 + 37.111989 x6508 + 37.111989 x6509 + 37.111989 x6510 + 37.111989 x6511 + 37.111989 x6512 + 37.111989 x6513 + 37.111989 x6514 + 37.111989 x6515 + 37.111989 x6516 + 37.111989 x6517 + 37.111989 x6518 + 37.111989 x6519 + 37.111989 x6520 + 37.111989 x6521 + 37.111989 x6522 + 37.111989 x6523 + 37.111989 x6524 + 37.111989 x6525 + 37.111989 x6526 + 37.111989 x6527 + 37.111989 x6528 + 37.111989 x6529 + 44.631375 x6530 + 44.631375 x6531 + 44.631375 x6532 + 44.631375 x6533 + 44.631375 x6534 + 44.631375 x6535 + 44.631375 x6536 + 44.631375 x6537 + 44.631375 x6538 + 44.631375 x6539 + 44.631375 x6540 + 44.631375 x6541 + 44.631375 x6542 + 44.631375 x6543 + 44.631375 x6544 + 44.631375 x6545 + 44.631375 x6546 + 44.631375 x6547 + 44.631375 x6548 + 44.631375 x6549 + 44.631375 x6550 + 44.631375 x6551 + 44.631375 x6552 + 44.631375 x6553 + 41.821643 x6554 + 41.821643 x6555 + 41.821643 x6556 + 41.821643 x6557 + 41.821643 x6558 + 41.821643 x6559 + 41.821643 x6560 + 41.821643 x6561 + 41.821643 x6562 + 41.821643 x6563 + 41.821643 x6564 + 41.821643 x6565 + 41.821643 x6566 + 41.821643 x6567 + 41.821643 x6568 + 41.821643 x6569 + 41.821643 x6570 + 41.821643 x6571 + 41.821643 x6572 + 41.821643 x6573 + 41.821643 x6574 + 41.821643 x6575 + 41.821643 x6576 + 41.821643 x6577 + 46.744856 x6578 + 46.744856 x6579 + 46.744856 x6580 + 46.744856 x6581 + 46.744856 x6582 + 46.744856 x6583 + 46.744856 x6584 + 46.744856 x6585 + 46.744856 x6586 + 46.744856 x6587 + 46.744856 x6588 + 46.744856 x6589 + 46.744856 x6590 + 46.744856 x6591 + 46.744856 x6592 + 46.744856 x6593 + 46.744856 x6594 + 46.744856 x6595 + 46.744856 x6596 + 46.744856 x6597 + 46.744856 x6598 + 46.744856 x6599 + 46.744856 x6600 + 46.744856 x6601 + 40.854885 x6602 + 40.854885 x6603 + 40.854885 x6604 + 40.854885 x6605 + 40.854885 x6606 + 40.854885 x6607 + 40.854885 x6608 + 40.854885 x6609 + 40.854885 x6610 + 40.854885 x6611 + 40.854885 x6612 + 40.854885 x6613 + 40.854885 x6614 + 40.854885 x6615 + 40.854885 x6616 + 40.854885 x6617 + 40.854885 x6618 + 40.854885 x6619 + 40.854885 x6620 + 40.854885 x6621 + 40.854885 x6622 + 40.854885 x6623 + 40.854885 x6624 + 40.854885 x6625 + 44.024544 x6626 + 44.024544 x6627 + 44.024544 x6628 + 44.024544 x6629 + 44.024544 x6630 + 44.024544 x6631 + 44.024544 x6632 + 44.024544 x6633 + 44.024544 x6634 + 44.024544 x6635 + 44.024544 x6636 + 44.024544 x6637 + 44.024544 x6638 + 44.024544 x6639 + 44.024544 x6640 + 44.024544 x6641 + 44.024544 x6642 + 44.024544 x6643 + 44.024544 x6644 + 44.024544 x6645 + 44.024544 x6646 + 44.024544 x6647 + 44.024544 x6648 + 44.024544 x6649 + 43.398774 x6650 + 43.398774 x6651 + 43.398774 x6652 + 43.398774 x6653 + 43.398774 x6654 + 43.398774 x6655 + 43.398774 x6656 + 43.398774 x6657 + 43.398774 x6658 + 43.398774 x6659 + 43.398774 x6660 + 43.398774 x6661 + 43.398774 x6662 + 43.398774 x6663 + 43.398774 x6664 + 43.398774 x6665 + 43.398774 x6666 + 43.398774 x6667 + 43.398774 x6668 + 43.398774 x6669 + 43.398774 x6670 + 43.398774 x6671 + 43.398774 x6672 + 43.398774 x6673 + 45.279788 x6674 + 45.279788 x6675 + 45.279788 x6676 + 45.279788 x6677 + 45.279788 x6678 + 45.279788 x6679 + 45.279788 x6680 + 45.279788 x6681 + 45.279788 x6682 + 45.279788 x6683 + 45.279788 x6684 + 45.279788 x6685 + 45.279788 x6686 + 45.279788 x6687 + 45.279788 x6688 + 45.279788 x6689 + 45.279788 x6690 + 45.279788 x6691 + 45.279788 x6692 + 45.279788 x6693 + 45.279788 x6694 + 45.279788 x6695 + 45.279788 x6696 + 45.279788 x6697 + 40.321997 x6698 + 40.321997 x6699 + 40.321997 x6700 + 40.321997 x6701 + 40.321997 x6702 + 40.321997 x6703 + 40.321997 x6704 + 40.321997 x6705 + 40.321997 x6706 + 40.321997 x6707 + 40.321997 x6708 + 40.321997 x6709 + 40.321997 x6710 + 40.321997 x6711 + 40.321997 x6712 + 40.321997 x6713 + 40.321997 x6714 + 40.321997 x6715 + 40.321997 x6716 + 40.321997 x6717 + 40.321997 x6718 + 40.321997 x6719 + 40.321997 x6720 + 40.321997 x6721 + 41.47854 x6722 + 41.47854 x6723 + 41.47854 x6724 + 41.47854 x6725 + 41.47854 x6726 + 41.47854 x6727 + 41.47854 x6728 + 41.47854 x6729 + 41.47854 x6730 + 41.47854 x6731 + 41.47854 x6732 + 41.47854 x6733 + 41.47854 x6734 + 41.47854 x6735 + 41.47854 x6736 + 41.47854 x6737 + 41.47854 x6738 + 41.47854 x6739 + 41.47854 x6740 + 41.47854 x6741 + 41.47854 x6742 + 41.47854 x6743 + 41.47854 x6744 + 41.47854 x6745 + 47.761153 x6746 + 47.761153 x6747 + 47.761153 x6748 + 47.761153 x6749 + 47.761153 x6750 + 47.761153 x6751 + 47.761153 x6752 + 47.761153 x6753 + 47.761153 x6754 + 47.761153 x6755 + 47.761153 x6756 + 47.761153 x6757 + 47.761153 x6758 + 47.761153 x6759 + 47.761153 x6760 + 47.761153 x6761 + 47.761153 x6762 + 47.761153 x6763 + 47.761153 x6764 + 47.761153 x6765 + 47.761153 x6766 + 47.761153 x6767 + 47.761153 x6768 + 47.761153 x6769 + 42.446906 x6770 + 42.446906 x6771 + 42.446906 x6772 + 42.446906 x6773 + 42.446906 x6774 + 42.446906 x6775 + 42.446906 x6776 + 42.446906 x6777 + 42.446906 x6778 + 42.446906 x6779 + 42.446906 x6780 + 42.446906 x6781 + 42.446906 x6782 + 42.446906 x6783 + 42.446906 x6784 + 42.446906 x6785 + 42.446906 x6786 + 42.446906 x6787 + 42.446906 x6788 + 42.446906 x6789 + 42.446906 x6790 + 42.446906 x6791 + 42.446906 x6792 + 42.446906 x6793 + 45.546209 x6794 + 45.546209 x6795 + 45.546209 x6796 + 45.546209 x6797 + 45.546209 x6798 + 45.546209 x6799 + 45.546209 x6800 + 45.546209 x6801 + 45.546209 x6802 + 45.546209 x6803 + 45.546209 x6804 + 45.546209 x6805 + 45.546209 x6806 + 45.546209 x6807 + 45.546209 x6808 + 45.546209 x6809 + 45.546209 x6810 + 45.546209 x6811 + 45.546209 x6812 + 45.546209 x6813 + 45.546209 x6814 + 45.546209 x6815 + 45.546209 x6816 + 45.546209 x6817 + 41.593232 x6818 + 41.593232 x6819 + 41.593232 x6820 + 41.593232 x6821 + 41.593232 x6822 + 41.593232 x6823 + 41.593232 x6824 + 41.593232 x6825 + 41.593232 x6826 + 41.593232 x6827 + 41.593232 x6828 + 41.593232 x6829 + 41.593232 x6830 + 41.593232 x6831 + 41.593232 x6832 + 41.593232 x6833 + 41.593232 x6834 + 41.593232 x6835 + 41.593232 x6836 + 41.593232 x6837 + 41.593232 x6838 + 41.593232 x6839 + 41.593232 x6840 + 41.593232 x6841 + 39.678706 x6842 + 39.678706 x6843 + 39.678706 x6844 + 39.678706 x6845 + 39.678706 x6846 + 39.678706 x6847 + 39.678706 x6848 + 39.678706 x6849 + 39.678706 x6850 + 39.678706 x6851 + 39.678706 x6852 + 39.678706 x6853 + 39.678706 x6854 + 39.678706 x6855 + 39.678706 x6856 + 39.678706 x6857 + 39.678706 x6858 + 39.678706 x6859 + 39.678706 x6860 + 39.678706 x6861 + 39.678706 x6862 + 39.678706 x6863 + 39.678706 x6864 + 39.678706 x6865 + 43.645455 x6866 + 43.645455 x6867 + 43.645455 x6868 + 43.645455 x6869 + 43.645455 x6870 + 43.645455 x6871 + 43.645455 x6872 + 43.645455 x6873 + 43.645455 x6874 + 43.645455 x6875 + 43.645455 x6876 + 43.645455 x6877 + 43.645455 x6878 + 43.645455 x6879 + 43.645455 x6880 + 43.645455 x6881 + 43.645455 x6882 + 43.645455 x6883 + 43.645455 x6884 + 43.645455 x6885 + 43.645455 x6886 + 43.645455 x6887 + 43.645455 x6888 + 43.645455 x6889 + 42.914367 x6890 + 42.914367 x6891 + 42.914367 x6892 + 42.914367 x6893 + 42.914367 x6894 + 42.914367 x6895 + 42.914367 x6896 + 42.914367 x6897 + 42.914367 x6898 + 42.914367 x6899 + 42.914367 x6900 + 42.914367 x6901 + 42.914367 x6902 + 42.914367 x6903 + 42.914367 x6904 + 42.914367 x6905 + 42.914367 x6906 + 42.914367 x6907 + 42.914367 x6908 + 42.914367 x6909 + 42.914367 x6910 + 42.914367 x6911 + 42.914367 x6912 + 42.914367 x6913 + 43.950301 x6914 + 43.950301 x6915 + 43.950301 x6916 + 43.950301 x6917 + 43.950301 x6918 + 43.950301 x6919 + 43.950301 x6920 + 43.950301 x6921 + 43.950301 x6922 + 43.950301 x6923 + 43.950301 x6924 + 43.950301 x6925 + 43.950301 x6926 + 43.950301 x6927 + 43.950301 x6928 + 43.950301 x6929 + 43.950301 x6930 + 43.950301 x6931 + 43.950301 x6932 + 43.950301 x6933 + 43.950301 x6934 + 43.950301 x6935 + 43.950301 x6936 + 43.950301 x6937 + 38.701851 x6938 + 38.701851 x6939 + 38.701851 x6940 + 38.701851 x6941 + 38.701851 x6942 + 38.701851 x6943 + 38.701851 x6944 + 38.701851 x6945 + 38.701851 x6946 + 38.701851 x6947 + 38.701851 x6948 + 38.701851 x6949 + 38.701851 x6950 + 38.701851 x6951 + 38.701851 x6952 + 38.701851 x6953 + 38.701851 x6954 + 38.701851 x6955 + 38.701851 x6956 + 38.701851 x6957 + 38.701851 x6958 + 38.701851 x6959 + 38.701851 x6960 + 38.701851 x6961 + 41.98466 x6962 + 41.98466 x6963 + 41.98466 x6964 + 41.98466 x6965 + 41.98466 x6966 + 41.98466 x6967 + 41.98466 x6968 + 41.98466 x6969 + 41.98466 x6970 + 41.98466 x6971 + 41.98466 x6972 + 41.98466 x6973 + 41.98466 x6974 + 41.98466 x6975 + 41.98466 x6976 + 41.98466 x6977 + 41.98466 x6978 + 41.98466 x6979 + 41.98466 x6980 + 41.98466 x6981 + 41.98466 x6982 + 41.98466 x6983 + 41.98466 x6984 + 41.98466 x6985 + 47.087244 x6986 + 47.087244 x6987 + 47.087244 x6988 + 47.087244 x6989 + 47.087244 x6990 + 47.087244 x6991 + 47.087244 x6992 + 47.087244 x6993 + 47.087244 x6994 + 47.087244 x6995 + 47.087244 x6996 + 47.087244 x6997 + 47.087244 x6998 + 47.087244 x6999 + 47.087244 x7000 + 47.087244 x7001 + 47.087244 x7002 + 47.087244 x7003 + 47.087244 x7004 + 47.087244 x7005 + 47.087244 x7006 + 47.087244 x7007 + 47.087244 x7008 + 47.087244 x7009 + 44.479711 x7010 + 44.479711 x7011 + 44.479711 x7012 + 44.479711 x7013 + 44.479711 x7014 + 44.479711 x7015 + 44.479711 x7016 + 44.479711 x7017 + 44.479711 x7018 + 44.479711 x7019 + 44.479711 x7020 + 44.479711 x7021 + 44.479711 x7022 + 44.479711 x7023 + 44.479711 x7024 + 44.479711 x7025 + 44.479711 x7026 + 44.479711 x7027 + 44.479711 x7028 + 44.479711 x7029 + 44.479711 x7030 + 44.479711 x7031 + 44.479711 x7032 + 44.479711 x7033 + 39.983298 x7034 + 39.983298 x7035 + 39.983298 x7036 + 39.983298 x7037 + 39.983298 x7038 + 39.983298 x7039 + 39.983298 x7040 + 39.983298 x7041 + 39.983298 x7042 + 39.983298 x7043 + 39.983298 x7044 + 39.983298 x7045 + 39.983298 x7046 + 39.983298 x7047 + 39.983298 x7048 + 39.983298 x7049 + 39.983298 x7050 + 39.983298 x7051 + 39.983298 x7052 + 39.983298 x7053 + 39.983298 x7054 + 39.983298 x7055 + 39.983298 x7056 + 39.983298 x7057 + 37.04884 x7058 + 37.04884 x7059 + 37.04884 x7060 + 37.04884 x7061 + 37.04884 x7062 + 37.04884 x7063 + 37.04884 x7064 + 37.04884 x7065 + 37.04884 x7066 + 37.04884 x7067 + 37.04884 x7068 + 37.04884 x7069 + 37.04884 x7070 + 37.04884 x7071 + 37.04884 x7072 + 37.04884 x7073 + 37.04884 x7074 + 37.04884 x7075 + 37.04884 x7076 + 37.04884 x7077 + 37.04884 x7078 + 37.04884 x7079 + 37.04884 x7080 + 37.04884 x7081 + 38.817874 x7082 + 38.817874 x7083 + 38.817874 x7084 + 38.817874 x7085 + 38.817874 x7086 + 38.817874 x7087 + 38.817874 x7088 + 38.817874 x7089 + 38.817874 x7090 + 38.817874 x7091 + 38.817874 x7092 + 38.817874 x7093 + 38.817874 x7094 + 38.817874 x7095 + 38.817874 x7096 + 38.817874 x7097 + 38.817874 x7098 + 38.817874 x7099 + 38.817874 x7100 + 38.817874 x7101 + 38.817874 x7102 + 38.817874 x7103 + 38.817874 x7104 + 38.817874 x7105 + 36.472665 x7106 + 36.472665 x7107 + 36.472665 x7108 + 36.472665 x7109 + 36.472665 x7110 + 36.472665 x7111 + 36.472665 x7112 + 36.472665 x7113 + 36.472665 x7114 + 36.472665 x7115 + 36.472665 x7116 + 36.472665 x7117 + 36.472665 x7118 + 36.472665 x7119 + 36.472665 x7120 + 36.472665 x7121 + 36.472665 x7122 + 36.472665 x7123 + 36.472665 x7124 + 36.472665 x7125 + 36.472665 x7126 + 36.472665 x7127 + 36.472665 x7128 + 36.472665 x7129 + 44.280474 x7130 + 44.280474 x7131 + 44.280474 x7132 + 44.280474 x7133 + 44.280474 x7134 + 44.280474 x7135 + 44.280474 x7136 + 44.280474 x7137 + 44.280474 x7138 + 44.280474 x7139 + 44.280474 x7140 + 44.280474 x7141 + 44.280474 x7142 + 44.280474 x7143 + 44.280474 x7144 + 44.280474 x7145 + 44.280474 x7146 + 44.280474 x7147 + 44.280474 x7148 + 44.280474 x7149 + 44.280474 x7150 + 44.280474 x7151 + 44.280474 x7152 + 44.280474 x7153 + 41.562023 x7154 + 41.562023 x7155 + 41.562023 x7156 + 41.562023 x7157 + 41.562023 x7158 + 41.562023 x7159 + 41.562023 x7160 + 41.562023 x7161 + 41.562023 x7162 + 41.562023 x7163 + 41.562023 x7164 + 41.562023 x7165 + 41.562023 x7166 + 41.562023 x7167 + 41.562023 x7168 + 41.562023 x7169 + 41.562023 x7170 + 41.562023 x7171 + 41.562023 x7172 + 41.562023 x7173 + 41.562023 x7174 + 41.562023 x7175 + 41.562023 x7176 + 41.562023 x7177 + 44.946953 x7178 + 44.946953 x7179 + 44.946953 x7180 + 44.946953 x7181 + 44.946953 x7182 + 44.946953 x7183 + 44.946953 x7184 + 44.946953 x7185 + 44.946953 x7186 + 44.946953 x7187 + 44.946953 x7188 + 44.946953 x7189 + 44.946953 x7190 + 44.946953 x7191 + 44.946953 x7192 + 44.946953 x7193 + 44.946953 x7194 + 44.946953 x7195 + 44.946953 x7196 + 44.946953 x7197 + 44.946953 x7198 + 44.946953 x7199 + 44.946953 x7200 + 44.946953 x7201 + 59.852755 x7202 + 59.852755 x7203 + 59.852755 x7204 + 59.852755 x7205 + 59.852755 x7206 + 59.852755 x7207 + 59.852755 x7208 + 59.852755 x7209 + 59.852755 x7210 + 59.852755 x7211 + 59.852755 x7212 + 59.852755 x7213 + 59.852755 x7214 + 59.852755 x7215 + 59.852755 x7216 + 59.852755 x7217 + 59.852755 x7218 + 59.852755 x7219 + 59.852755 x7220 + 59.852755 x7221 + 59.852755 x7222 + 59.852755 x7223 + 59.852755 x7224 + 59.852755 x7225 + 60.74166 x7226 + 60.74166 x7227 + 60.74166 x7228 + 60.74166 x7229 + 60.74166 x7230 + 60.74166 x7231 + 60.74166 x7232 + 60.74166 x7233 + 60.74166 x7234 + 60.74166 x7235 + 60.74166 x7236 + 60.74166 x7237 + 60.74166 x7238 + 60.74166 x7239 + 60.74166 x7240 + 60.74166 x7241 + 60.74166 x7242 + 60.74166 x7243 + 60.74166 x7244 + 60.74166 x7245 + 60.74166 x7246 + 60.74166 x7247 + 60.74166 x7248 + 60.74166 x7249 + 65.420074 x7250 + 65.420074 x7251 + 65.420074 x7252 + 65.420074 x7253 + 65.420074 x7254 + 65.420074 x7255 + 65.420074 x7256 + 65.420074 x7257 + 65.420074 x7258 + 65.420074 x7259 + 65.420074 x7260 + 65.420074 x7261 + 65.420074 x7262 + 65.420074 x7263 + 65.420074 x7264 + 65.420074 x7265 + 65.420074 x7266 + 65.420074 x7267 + 65.420074 x7268 + 65.420074 x7269 + 65.420074 x7270 + 65.420074 x7271 + 65.420074 x7272 + 65.420074 x7273 + 60.376573 x7274 + 60.376573 x7275 + 60.376573 x7276 + 60.376573 x7277 + 60.376573 x7278 + 60.376573 x7279 + 60.376573 x7280 + 60.376573 x7281 + 60.376573 x7282 + 60.376573 x7283 + 60.376573 x7284 + 60.376573 x7285 + 60.376573 x7286 + 60.376573 x7287 + 60.376573 x7288 + 60.376573 x7289 + 60.376573 x7290 + 60.376573 x7291 + 60.376573 x7292 + 60.376573 x7293 + 60.376573 x7294 + 60.376573 x7295 + 60.376573 x7296 + 60.376573 x7297 + 59.484069 x7298 + 59.484069 x7299 + 59.484069 x7300 + 59.484069 x7301 + 59.484069 x7302 + 59.484069 x7303 + 59.484069 x7304 + 59.484069 x7305 + 59.484069 x7306 + 59.484069 x7307 + 59.484069 x7308 + 59.484069 x7309 + 59.484069 x7310 + 59.484069 x7311 + 59.484069 x7312 + 59.484069 x7313 + 59.484069 x7314 + 59.484069 x7315 + 59.484069 x7316 + 59.484069 x7317 + 59.484069 x7318 + 59.484069 x7319 + 59.484069 x7320 + 59.484069 x7321 + 63.729931 x7322 + 63.729931 x7323 + 63.729931 x7324 + 63.729931 x7325 + 63.729931 x7326 + 63.729931 x7327 + 63.729931 x7328 + 63.729931 x7329 + 63.729931 x7330 + 63.729931 x7331 + 63.729931 x7332 + 63.729931 x7333 + 63.729931 x7334 + 63.729931 x7335 + 63.729931 x7336 + 63.729931 x7337 + 63.729931 x7338 + 63.729931 x7339 + 63.729931 x7340 + 63.729931 x7341 + 63.729931 x7342 + 63.729931 x7343 + 63.729931 x7344 + 63.729931 x7345 + 59.732284 x7346 + 59.732284 x7347 + 59.732284 x7348 + 59.732284 x7349 + 59.732284 x7350 + 59.732284 x7351 + 59.732284 x7352 + 59.732284 x7353 + 59.732284 x7354 + 59.732284 x7355 + 59.732284 x7356 + 59.732284 x7357 + 59.732284 x7358 + 59.732284 x7359 + 59.732284 x7360 + 59.732284 x7361 + 59.732284 x7362 + 59.732284 x7363 + 59.732284 x7364 + 59.732284 x7365 + 59.732284 x7366 + 59.732284 x7367 + 59.732284 x7368 + 59.732284 x7369 + 63.743526 x7370 + 63.743526 x7371 + 63.743526 x7372 + 63.743526 x7373 + 63.743526 x7374 + 63.743526 x7375 + 63.743526 x7376 + 63.743526 x7377 + 63.743526 x7378 + 63.743526 x7379 + 63.743526 x7380 + 63.743526 x7381 + 63.743526 x7382 + 63.743526 x7383 + 63.743526 x7384 + 63.743526 x7385 + 63.743526 x7386 + 63.743526 x7387 + 63.743526 x7388 + 63.743526 x7389 + 63.743526 x7390 + 63.743526 x7391 + 63.743526 x7392 + 63.743526 x7393 + 54.334616 x7394 + 54.334616 x7395 + 54.334616 x7396 + 54.334616 x7397 + 54.334616 x7398 + 54.334616 x7399 + 54.334616 x7400 + 54.334616 x7401 + 54.334616 x7402 + 54.334616 x7403 + 54.334616 x7404 + 54.334616 x7405 + 54.334616 x7406 + 54.334616 x7407 + 54.334616 x7408 + 54.334616 x7409 + 54.334616 x7410 + 54.334616 x7411 + 54.334616 x7412 + 54.334616 x7413 + 54.334616 x7414 + 54.334616 x7415 + 54.334616 x7416 + 54.334616 x7417 + 63.39235 x7418 + 63.39235 x7419 + 63.39235 x7420 + 63.39235 x7421 + 63.39235 x7422 + 63.39235 x7423 + 63.39235 x7424 + 63.39235 x7425 + 63.39235 x7426 + 63.39235 x7427 + 63.39235 x7428 + 63.39235 x7429 + 63.39235 x7430 + 63.39235 x7431 + 63.39235 x7432 + 63.39235 x7433 + 63.39235 x7434 + 63.39235 x7435 + 63.39235 x7436 + 63.39235 x7437 + 63.39235 x7438 + 63.39235 x7439 + 63.39235 x7440 + 63.39235 x7441 + 63.626759 x7442 + 63.626759 x7443 + 63.626759 x7444 + 63.626759 x7445 + 63.626759 x7446 + 63.626759 x7447 + 63.626759 x7448 + 63.626759 x7449 + 63.626759 x7450 + 63.626759 x7451 + 63.626759 x7452 + 63.626759 x7453 + 63.626759 x7454 + 63.626759 x7455 + 63.626759 x7456 + 63.626759 x7457 + 63.626759 x7458 + 63.626759 x7459 + 63.626759 x7460 + 63.626759 x7461 + 63.626759 x7462 + 63.626759 x7463 + 63.626759 x7464 + 63.626759 x7465 + 58.931149 x7466 + 58.931149 x7467 + 58.931149 x7468 + 58.931149 x7469 + 58.931149 x7470 + 58.931149 x7471 + 58.931149 x7472 + 58.931149 x7473 + 58.931149 x7474 + 58.931149 x7475 + 58.931149 x7476 + 58.931149 x7477 + 58.931149 x7478 + 58.931149 x7479 + 58.931149 x7480 + 58.931149 x7481 + 58.931149 x7482 + 58.931149 x7483 + 58.931149 x7484 + 58.931149 x7485 + 58.931149 x7486 + 58.931149 x7487 + 58.931149 x7488 + 58.931149 x7489 + 62.532496 x7490 + 62.532496 x7491 + 62.532496 x7492 + 62.532496 x7493 + 62.532496 x7494 + 62.532496 x7495 + 62.532496 x7496 + 62.532496 x7497 + 62.532496 x7498 + 62.532496 x7499 + 62.532496 x7500 + 62.532496 x7501 + 62.532496 x7502 + 62.532496 x7503 + 62.532496 x7504 + 62.532496 x7505 + 62.532496 x7506 + 62.532496 x7507 + 62.532496 x7508 + 62.532496 x7509 + 62.532496 x7510 + 62.532496 x7511 + 62.532496 x7512 + 62.532496 x7513 + 56.338404 x7514 + 56.338404 x7515 + 56.338404 x7516 + 56.338404 x7517 + 56.338404 x7518 + 56.338404 x7519 + 56.338404 x7520 + 56.338404 x7521 + 56.338404 x7522 + 56.338404 x7523 + 56.338404 x7524 + 56.338404 x7525 + 56.338404 x7526 + 56.338404 x7527 + 56.338404 x7528 + 56.338404 x7529 + 56.338404 x7530 + 56.338404 x7531 + 56.338404 x7532 + 56.338404 x7533 + 56.338404 x7534 + 56.338404 x7535 + 56.338404 x7536 + 56.338404 x7537 + 57.598805 x7538 + 57.598805 x7539 + 57.598805 x7540 + 57.598805 x7541 + 57.598805 x7542 + 57.598805 x7543 + 57.598805 x7544 + 57.598805 x7545 + 57.598805 x7546 + 57.598805 x7547 + 57.598805 x7548 + 57.598805 x7549 + 57.598805 x7550 + 57.598805 x7551 + 57.598805 x7552 + 57.598805 x7553 + 57.598805 x7554 + 57.598805 x7555 + 57.598805 x7556 + 57.598805 x7557 + 57.598805 x7558 + 57.598805 x7559 + 57.598805 x7560 + 57.598805 x7561 + 54.620926 x7562 + 54.620926 x7563 + 54.620926 x7564 + 54.620926 x7565 + 54.620926 x7566 + 54.620926 x7567 + 54.620926 x7568 + 54.620926 x7569 + 54.620926 x7570 + 54.620926 x7571 + 54.620926 x7572 + 54.620926 x7573 + 54.620926 x7574 + 54.620926 x7575 + 54.620926 x7576 + 54.620926 x7577 + 54.620926 x7578 + 54.620926 x7579 + 54.620926 x7580 + 54.620926 x7581 + 54.620926 x7582 + 54.620926 x7583 + 54.620926 x7584 + 54.620926 x7585 + 58.656142 x7586 + 58.656142 x7587 + 58.656142 x7588 + 58.656142 x7589 + 58.656142 x7590 + 58.656142 x7591 + 58.656142 x7592 + 58.656142 x7593 + 58.656142 x7594 + 58.656142 x7595 + 58.656142 x7596 + 58.656142 x7597 + 58.656142 x7598 + 58.656142 x7599 + 58.656142 x7600 + 58.656142 x7601 + 58.656142 x7602 + 58.656142 x7603 + 58.656142 x7604 + 58.656142 x7605 + 58.656142 x7606 + 58.656142 x7607 + 58.656142 x7608 + 58.656142 x7609 + 60.306664 x7610 + 60.306664 x7611 + 60.306664 x7612 + 60.306664 x7613 + 60.306664 x7614 + 60.306664 x7615 + 60.306664 x7616 + 60.306664 x7617 + 60.306664 x7618 + 60.306664 x7619 + 60.306664 x7620 + 60.306664 x7621 + 60.306664 x7622 + 60.306664 x7623 + 60.306664 x7624 + 60.306664 x7625 + 60.306664 x7626 + 60.306664 x7627 + 60.306664 x7628 + 60.306664 x7629 + 60.306664 x7630 + 60.306664 x7631 + 60.306664 x7632 + 60.306664 x7633 + 54.732428 x7634 + 54.732428 x7635 + 54.732428 x7636 + 54.732428 x7637 + 54.732428 x7638 + 54.732428 x7639 + 54.732428 x7640 + 54.732428 x7641 + 54.732428 x7642 + 54.732428 x7643 + 54.732428 x7644 + 54.732428 x7645 + 54.732428 x7646 + 54.732428 x7647 + 54.732428 x7648 + 54.732428 x7649 + 54.732428 x7650 + 54.732428 x7651 + 54.732428 x7652 + 54.732428 x7653 + 54.732428 x7654 + 54.732428 x7655 + 54.732428 x7656 + 54.732428 x7657 + 59.794496 x7658 + 59.794496 x7659 + 59.794496 x7660 + 59.794496 x7661 + 59.794496 x7662 + 59.794496 x7663 + 59.794496 x7664 + 59.794496 x7665 + 59.794496 x7666 + 59.794496 x7667 + 59.794496 x7668 + 59.794496 x7669 + 59.794496 x7670 + 59.794496 x7671 + 59.794496 x7672 + 59.794496 x7673 + 59.794496 x7674 + 59.794496 x7675 + 59.794496 x7676 + 59.794496 x7677 + 59.794496 x7678 + 59.794496 x7679 + 59.794496 x7680 + 59.794496 x7681 + 64.115604 x7682 + 64.115604 x7683 + 64.115604 x7684 + 64.115604 x7685 + 64.115604 x7686 + 64.115604 x7687 + 64.115604 x7688 + 64.115604 x7689 + 64.115604 x7690 + 64.115604 x7691 + 64.115604 x7692 + 64.115604 x7693 + 64.115604 x7694 + 64.115604 x7695 + 64.115604 x7696 + 64.115604 x7697 + 64.115604 x7698 + 64.115604 x7699 + 64.115604 x7700 + 64.115604 x7701 + 64.115604 x7702 + 64.115604 x7703 + 64.115604 x7704 + 64.115604 x7705 + 56.286557 x7706 + 56.286557 x7707 + 56.286557 x7708 + 56.286557 x7709 + 56.286557 x7710 + 56.286557 x7711 + 56.286557 x7712 + 56.286557 x7713 + 56.286557 x7714 + 56.286557 x7715 + 56.286557 x7716 + 56.286557 x7717 + 56.286557 x7718 + 56.286557 x7719 + 56.286557 x7720 + 56.286557 x7721 + 56.286557 x7722 + 56.286557 x7723 + 56.286557 x7724 + 56.286557 x7725 + 56.286557 x7726 + 56.286557 x7727 + 56.286557 x7728 + 56.286557 x7729 + 63.4284 x7730 + 63.4284 x7731 + 63.4284 x7732 + 63.4284 x7733 + 63.4284 x7734 + 63.4284 x7735 + 63.4284 x7736 + 63.4284 x7737 + 63.4284 x7738 + 63.4284 x7739 + 63.4284 x7740 + 63.4284 x7741 + 63.4284 x7742 + 63.4284 x7743 + 63.4284 x7744 + 63.4284 x7745 + 63.4284 x7746 + 63.4284 x7747 + 63.4284 x7748 + 63.4284 x7749 + 63.4284 x7750 + 63.4284 x7751 + 63.4284 x7752 + 63.4284 x7753 + 57.522545 x7754 + 57.522545 x7755 + 57.522545 x7756 + 57.522545 x7757 + 57.522545 x7758 + 57.522545 x7759 + 57.522545 x7760 + 57.522545 x7761 + 57.522545 x7762 + 57.522545 x7763 + 57.522545 x7764 + 57.522545 x7765 + 57.522545 x7766 + 57.522545 x7767 + 57.522545 x7768 + 57.522545 x7769 + 57.522545 x7770 + 57.522545 x7771 + 57.522545 x7772 + 57.522545 x7773 + 57.522545 x7774 + 57.522545 x7775 + 57.522545 x7776 + 57.522545 x7777 + 60.066446 x7778 + 60.066446 x7779 + 60.066446 x7780 + 60.066446 x7781 + 60.066446 x7782 + 60.066446 x7783 + 60.066446 x7784 + 60.066446 x7785 + 60.066446 x7786 + 60.066446 x7787 + 60.066446 x7788 + 60.066446 x7789 + 60.066446 x7790 + 60.066446 x7791 + 60.066446 x7792 + 60.066446 x7793 + 60.066446 x7794 + 60.066446 x7795 + 60.066446 x7796 + 60.066446 x7797 + 60.066446 x7798 + 60.066446 x7799 + 60.066446 x7800 + 60.066446 x7801 + 60.486337 x7802 + 60.486337 x7803 + 60.486337 x7804 + 60.486337 x7805 + 60.486337 x7806 + 60.486337 x7807 + 60.486337 x7808 + 60.486337 x7809 + 60.486337 x7810 + 60.486337 x7811 + 60.486337 x7812 + 60.486337 x7813 + 60.486337 x7814 + 60.486337 x7815 + 60.486337 x7816 + 60.486337 x7817 + 60.486337 x7818 + 60.486337 x7819 + 60.486337 x7820 + 60.486337 x7821 + 60.486337 x7822 + 60.486337 x7823 + 60.486337 x7824 + 60.486337 x7825 + 59.87644 x7826 + 59.87644 x7827 + 59.87644 x7828 + 59.87644 x7829 + 59.87644 x7830 + 59.87644 x7831 + 59.87644 x7832 + 59.87644 x7833 + 59.87644 x7834 + 59.87644 x7835 + 59.87644 x7836 + 59.87644 x7837 + 59.87644 x7838 + 59.87644 x7839 + 59.87644 x7840 + 59.87644 x7841 + 59.87644 x7842 + 59.87644 x7843 + 59.87644 x7844 + 59.87644 x7845 + 59.87644 x7846 + 59.87644 x7847 + 59.87644 x7848 + 59.87644 x7849 + 57.750197 x7850 + 57.750197 x7851 + 57.750197 x7852 + 57.750197 x7853 + 57.750197 x7854 + 57.750197 x7855 + 57.750197 x7856 + 57.750197 x7857 + 57.750197 x7858 + 57.750197 x7859 + 57.750197 x7860 + 57.750197 x7861 + 57.750197 x7862 + 57.750197 x7863 + 57.750197 x7864 + 57.750197 x7865 + 57.750197 x7866 + 57.750197 x7867 + 57.750197 x7868 + 57.750197 x7869 + 57.750197 x7870 + 57.750197 x7871 + 57.750197 x7872 + 57.750197 x7873 + 58.842207 x7874 + 58.842207 x7875 + 58.842207 x7876 + 58.842207 x7877 + 58.842207 x7878 + 58.842207 x7879 + 58.842207 x7880 + 58.842207 x7881 + 58.842207 x7882 + 58.842207 x7883 + 58.842207 x7884 + 58.842207 x7885 + 58.842207 x7886 + 58.842207 x7887 + 58.842207 x7888 + 58.842207 x7889 + 58.842207 x7890 + 58.842207 x7891 + 58.842207 x7892 + 58.842207 x7893 + 58.842207 x7894 + 58.842207 x7895 + 58.842207 x7896 + 58.842207 x7897 + 55.098288 x7898 + 55.098288 x7899 + 55.098288 x7900 + 55.098288 x7901 + 55.098288 x7902 + 55.098288 x7903 + 55.098288 x7904 + 55.098288 x7905 + 55.098288 x7906 + 55.098288 x7907 + 55.098288 x7908 + 55.098288 x7909 + 55.098288 x7910 + 55.098288 x7911 + 55.098288 x7912 + 55.098288 x7913 + 55.098288 x7914 + 55.098288 x7915 + 55.098288 x7916 + 55.098288 x7917 + 55.098288 x7918 + 55.098288 x7919 + 55.098288 x7920 + 55.098288 x7921 + 58.597054 x7922 + 58.597054 x7923 + 58.597054 x7924 + 58.597054 x7925 + 58.597054 x7926 + 58.597054 x7927 + 58.597054 x7928 + 58.597054 x7929 + 58.597054 x7930 + 58.597054 x7931 + 58.597054 x7932 + 58.597054 x7933 + 58.597054 x7934 + 58.597054 x7935 + 58.597054 x7936 + 58.597054 x7937 + 58.597054 x7938 + 58.597054 x7939 + 58.597054 x7940 + 58.597054 x7941 + 58.597054 x7942 + 58.597054 x7943 + 58.597054 x7944 + 58.597054 x7945 + 59.852363 x7946 + 59.852363 x7947 + 59.852363 x7948 + 59.852363 x7949 + 59.852363 x7950 + 59.852363 x7951 + 59.852363 x7952 + 59.852363 x7953 + 59.852363 x7954 + 59.852363 x7955 + 59.852363 x7956 + 59.852363 x7957 + 59.852363 x7958 + 59.852363 x7959 + 59.852363 x7960 + 59.852363 x7961 + 59.852363 x7962 + 59.852363 x7963 + 59.852363 x7964 + 59.852363 x7965 + 59.852363 x7966 + 59.852363 x7967 + 59.852363 x7968 + 59.852363 x7969 + 60.570717 x7970 + 60.570717 x7971 + 60.570717 x7972 + 60.570717 x7973 + 60.570717 x7974 + 60.570717 x7975 + 60.570717 x7976 + 60.570717 x7977 + 60.570717 x7978 + 60.570717 x7979 + 60.570717 x7980 + 60.570717 x7981 + 60.570717 x7982 + 60.570717 x7983 + 60.570717 x7984 + 60.570717 x7985 + 60.570717 x7986 + 60.570717 x7987 + 60.570717 x7988 + 60.570717 x7989 + 60.570717 x7990 + 60.570717 x7991 + 60.570717 x7992 + 60.570717 x7993 + 57.423571 x7994 + 57.423571 x7995 + 57.423571 x7996 + 57.423571 x7997 + 57.423571 x7998 + 57.423571 x7999 + 57.423571 x8000 + 57.423571 x8001 + 57.423571 x8002 + 57.423571 x8003 + 57.423571 x8004 + 57.423571 x8005 + 57.423571 x8006 + 57.423571 x8007 + 57.423571 x8008 + 57.423571 x8009 + 57.423571 x8010 + 57.423571 x8011 + 57.423571 x8012 + 57.423571 x8013 + 57.423571 x8014 + 57.423571 x8015 + 57.423571 x8016 + 57.423571 x8017 + 64.486675 x8018 + 64.486675 x8019 + 64.486675 x8020 + 64.486675 x8021 + 64.486675 x8022 + 64.486675 x8023 + 64.486675 x8024 + 64.486675 x8025 + 64.486675 x8026 + 64.486675 x8027 + 64.486675 x8028 + 64.486675 x8029 + 64.486675 x8030 + 64.486675 x8031 + 64.486675 x8032 + 64.486675 x8033 + 64.486675 x8034 + 64.486675 x8035 + 64.486675 x8036 + 64.486675 x8037 + 64.486675 x8038 + 64.486675 x8039 + 64.486675 x8040 + 64.486675 x8041 + 63.897755 x8042 + 63.897755 x8043 + 63.897755 x8044 + 63.897755 x8045 + 63.897755 x8046 + 63.897755 x8047 + 63.897755 x8048 + 63.897755 x8049 + 63.897755 x8050 + 63.897755 x8051 + 63.897755 x8052 + 63.897755 x8053 + 63.897755 x8054 + 63.897755 x8055 + 63.897755 x8056 + 63.897755 x8057 + 63.897755 x8058 + 63.897755 x8059 + 63.897755 x8060 + 63.897755 x8061 + 63.897755 x8062 + 63.897755 x8063 + 63.897755 x8064 + 63.897755 x8065 + 63.743569 x8066 + 63.743569 x8067 + 63.743569 x8068 + 63.743569 x8069 + 63.743569 x8070 + 63.743569 x8071 + 63.743569 x8072 + 63.743569 x8073 + 63.743569 x8074 + 63.743569 x8075 + 63.743569 x8076 + 63.743569 x8077 + 63.743569 x8078 + 63.743569 x8079 + 63.743569 x8080 + 63.743569 x8081 + 63.743569 x8082 + 63.743569 x8083 + 63.743569 x8084 + 63.743569 x8085 + 63.743569 x8086 + 63.743569 x8087 + 63.743569 x8088 + 63.743569 x8089 + 60.002169 x8090 + 60.002169 x8091 + 60.002169 x8092 + 60.002169 x8093 + 60.002169 x8094 + 60.002169 x8095 + 60.002169 x8096 + 60.002169 x8097 + 60.002169 x8098 + 60.002169 x8099 + 60.002169 x8100 + 60.002169 x8101 + 60.002169 x8102 + 60.002169 x8103 + 60.002169 x8104 + 60.002169 x8105 + 60.002169 x8106 + 60.002169 x8107 + 60.002169 x8108 + 60.002169 x8109 + 60.002169 x8110 + 60.002169 x8111 + 60.002169 x8112 + 60.002169 x8113 + 59.275034 x8114 + 59.275034 x8115 + 59.275034 x8116 + 59.275034 x8117 + 59.275034 x8118 + 59.275034 x8119 + 59.275034 x8120 + 59.275034 x8121 + 59.275034 x8122 + 59.275034 x8123 + 59.275034 x8124 + 59.275034 x8125 + 59.275034 x8126 + 59.275034 x8127 + 59.275034 x8128 + 59.275034 x8129 + 59.275034 x8130 + 59.275034 x8131 + 59.275034 x8132 + 59.275034 x8133 + 59.275034 x8134 + 59.275034 x8135 + 59.275034 x8136 + 59.275034 x8137 + 62.23793 x8138 + 62.23793 x8139 + 62.23793 x8140 + 62.23793 x8141 + 62.23793 x8142 + 62.23793 x8143 + 62.23793 x8144 + 62.23793 x8145 + 62.23793 x8146 + 62.23793 x8147 + 62.23793 x8148 + 62.23793 x8149 + 62.23793 x8150 + 62.23793 x8151 + 62.23793 x8152 + 62.23793 x8153 + 62.23793 x8154 + 62.23793 x8155 + 62.23793 x8156 + 62.23793 x8157 + 62.23793 x8158 + 62.23793 x8159 + 62.23793 x8160 + 62.23793 x8161 + 59.291064 x8162 + 59.291064 x8163 + 59.291064 x8164 + 59.291064 x8165 + 59.291064 x8166 + 59.291064 x8167 + 59.291064 x8168 + 59.291064 x8169 + 59.291064 x8170 + 59.291064 x8171 + 59.291064 x8172 + 59.291064 x8173 + 59.291064 x8174 + 59.291064 x8175 + 59.291064 x8176 + 59.291064 x8177 + 59.291064 x8178 + 59.291064 x8179 + 59.291064 x8180 + 59.291064 x8181 + 59.291064 x8182 + 59.291064 x8183 + 59.291064 x8184 + 59.291064 x8185 + 61.279614 x8186 + 61.279614 x8187 + 61.279614 x8188 + 61.279614 x8189 + 61.279614 x8190 + 61.279614 x8191 + 61.279614 x8192 + 61.279614 x8193 + 61.279614 x8194 + 61.279614 x8195 + 61.279614 x8196 + 61.279614 x8197 + 61.279614 x8198 + 61.279614 x8199 + 61.279614 x8200 + 61.279614 x8201 + 61.279614 x8202 + 61.279614 x8203 + 61.279614 x8204 + 61.279614 x8205 + 61.279614 x8206 + 61.279614 x8207 + 61.279614 x8208 + 61.279614 x8209 + 59.511355 x8210 + 59.511355 x8211 + 59.511355 x8212 + 59.511355 x8213 + 59.511355 x8214 + 59.511355 x8215 + 59.511355 x8216 + 59.511355 x8217 + 59.511355 x8218 + 59.511355 x8219 + 59.511355 x8220 + 59.511355 x8221 + 59.511355 x8222 + 59.511355 x8223 + 59.511355 x8224 + 59.511355 x8225 + 59.511355 x8226 + 59.511355 x8227 + 59.511355 x8228 + 59.511355 x8229 + 59.511355 x8230 + 59.511355 x8231 + 59.511355 x8232 + 59.511355 x8233 + 58.178099 x8234 + 58.178099 x8235 + 58.178099 x8236 + 58.178099 x8237 + 58.178099 x8238 + 58.178099 x8239 + 58.178099 x8240 + 58.178099 x8241 + 58.178099 x8242 + 58.178099 x8243 + 58.178099 x8244 + 58.178099 x8245 + 58.178099 x8246 + 58.178099 x8247 + 58.178099 x8248 + 58.178099 x8249 + 58.178099 x8250 + 58.178099 x8251 + 58.178099 x8252 + 58.178099 x8253 + 58.178099 x8254 + 58.178099 x8255 + 58.178099 x8256 + 58.178099 x8257 + 65.656055 x8258 + 65.656055 x8259 + 65.656055 x8260 + 65.656055 x8261 + 65.656055 x8262 + 65.656055 x8263 + 65.656055 x8264 + 65.656055 x8265 + 65.656055 x8266 + 65.656055 x8267 + 65.656055 x8268 + 65.656055 x8269 + 65.656055 x8270 + 65.656055 x8271 + 65.656055 x8272 + 65.656055 x8273 + 65.656055 x8274 + 65.656055 x8275 + 65.656055 x8276 + 65.656055 x8277 + 65.656055 x8278 + 65.656055 x8279 + 65.656055 x8280 + 65.656055 x8281 + 59.006093 x8282 + 59.006093 x8283 + 59.006093 x8284 + 59.006093 x8285 + 59.006093 x8286 + 59.006093 x8287 + 59.006093 x8288 + 59.006093 x8289 + 59.006093 x8290 + 59.006093 x8291 + 59.006093 x8292 + 59.006093 x8293 + 59.006093 x8294 + 59.006093 x8295 + 59.006093 x8296 + 59.006093 x8297 + 59.006093 x8298 + 59.006093 x8299 + 59.006093 x8300 + 59.006093 x8301 + 59.006093 x8302 + 59.006093 x8303 + 59.006093 x8304 + 59.006093 x8305 + 62.802496 x8306 + 62.802496 x8307 + 62.802496 x8308 + 62.802496 x8309 + 62.802496 x8310 + 62.802496 x8311 + 62.802496 x8312 + 62.802496 x8313 + 62.802496 x8314 + 62.802496 x8315 + 62.802496 x8316 + 62.802496 x8317 + 62.802496 x8318 + 62.802496 x8319 + 62.802496 x8320 + 62.802496 x8321 + 62.802496 x8322 + 62.802496 x8323 + 62.802496 x8324 + 62.802496 x8325 + 62.802496 x8326 + 62.802496 x8327 + 62.802496 x8328 + 62.802496 x8329 + 63.729586 x8330 + 63.729586 x8331 + 63.729586 x8332 + 63.729586 x8333 + 63.729586 x8334 + 63.729586 x8335 + 63.729586 x8336 + 63.729586 x8337 + 63.729586 x8338 + 63.729586 x8339 + 63.729586 x8340 + 63.729586 x8341 + 63.729586 x8342 + 63.729586 x8343 + 63.729586 x8344 + 63.729586 x8345 + 63.729586 x8346 + 63.729586 x8347 + 63.729586 x8348 + 63.729586 x8349 + 63.729586 x8350 + 63.729586 x8351 + 63.729586 x8352 + 63.729586 x8353 + 58.873849 x8354 + 58.873849 x8355 + 58.873849 x8356 + 58.873849 x8357 + 58.873849 x8358 + 58.873849 x8359 + 58.873849 x8360 + 58.873849 x8361 + 58.873849 x8362 + 58.873849 x8363 + 58.873849 x8364 + 58.873849 x8365 + 58.873849 x8366 + 58.873849 x8367 + 58.873849 x8368 + 58.873849 x8369 + 58.873849 x8370 + 58.873849 x8371 + 58.873849 x8372 + 58.873849 x8373 + 58.873849 x8374 + 58.873849 x8375 + 58.873849 x8376 + 58.873849 x8377 + 63.01083 x8378 + 63.01083 x8379 + 63.01083 x8380 + 63.01083 x8381 + 63.01083 x8382 + 63.01083 x8383 + 63.01083 x8384 + 63.01083 x8385 + 63.01083 x8386 + 63.01083 x8387 + 63.01083 x8388 + 63.01083 x8389 + 63.01083 x8390 + 63.01083 x8391 + 63.01083 x8392 + 63.01083 x8393 + 63.01083 x8394 + 63.01083 x8395 + 63.01083 x8396 + 63.01083 x8397 + 63.01083 x8398 + 63.01083 x8399 + 63.01083 x8400 + 63.01083 x8401 + 58.315167 x8402 + 58.315167 x8403 + 58.315167 x8404 + 58.315167 x8405 + 58.315167 x8406 + 58.315167 x8407 + 58.315167 x8408 + 58.315167 x8409 + 58.315167 x8410 + 58.315167 x8411 + 58.315167 x8412 + 58.315167 x8413 + 58.315167 x8414 + 58.315167 x8415 + 58.315167 x8416 + 58.315167 x8417 + 58.315167 x8418 + 58.315167 x8419 + 58.315167 x8420 + 58.315167 x8421 + 58.315167 x8422 + 58.315167 x8423 + 58.315167 x8424 + 58.315167 x8425 + 57.744334 x8426 + 57.744334 x8427 + 57.744334 x8428 + 57.744334 x8429 + 57.744334 x8430 + 57.744334 x8431 + 57.744334 x8432 + 57.744334 x8433 + 57.744334 x8434 + 57.744334 x8435 + 57.744334 x8436 + 57.744334 x8437 + 57.744334 x8438 + 57.744334 x8439 + 57.744334 x8440 + 57.744334 x8441 + 57.744334 x8442 + 57.744334 x8443 + 57.744334 x8444 + 57.744334 x8445 + 57.744334 x8446 + 57.744334 x8447 + 57.744334 x8448 + 57.744334 x8449 + 60.902204 x8450 + 60.902204 x8451 + 60.902204 x8452 + 60.902204 x8453 + 60.902204 x8454 + 60.902204 x8455 + 60.902204 x8456 + 60.902204 x8457 + 60.902204 x8458 + 60.902204 x8459 + 60.902204 x8460 + 60.902204 x8461 + 60.902204 x8462 + 60.902204 x8463 + 60.902204 x8464 + 60.902204 x8465 + 60.902204 x8466 + 60.902204 x8467 + 60.902204 x8468 + 60.902204 x8469 + 60.902204 x8470 + 60.902204 x8471 + 60.902204 x8472 + 60.902204 x8473 + 57.057796 x8474 + 57.057796 x8475 + 57.057796 x8476 + 57.057796 x8477 + 57.057796 x8478 + 57.057796 x8479 + 57.057796 x8480 + 57.057796 x8481 + 57.057796 x8482 + 57.057796 x8483 + 57.057796 x8484 + 57.057796 x8485 + 57.057796 x8486 + 57.057796 x8487 + 57.057796 x8488 + 57.057796 x8489 + 57.057796 x8490 + 57.057796 x8491 + 57.057796 x8492 + 57.057796 x8493 + 57.057796 x8494 + 57.057796 x8495 + 57.057796 x8496 + 57.057796 x8497 + 60.286269 x8498 + 60.286269 x8499 + 60.286269 x8500 + 60.286269 x8501 + 60.286269 x8502 + 60.286269 x8503 + 60.286269 x8504 + 60.286269 x8505 + 60.286269 x8506 + 60.286269 x8507 + 60.286269 x8508 + 60.286269 x8509 + 60.286269 x8510 + 60.286269 x8511 + 60.286269 x8512 + 60.286269 x8513 + 60.286269 x8514 + 60.286269 x8515 + 60.286269 x8516 + 60.286269 x8517 + 60.286269 x8518 + 60.286269 x8519 + 60.286269 x8520 + 60.286269 x8521 + 57.183016 x8522 + 57.183016 x8523 + 57.183016 x8524 + 57.183016 x8525 + 57.183016 x8526 + 57.183016 x8527 + 57.183016 x8528 + 57.183016 x8529 + 57.183016 x8530 + 57.183016 x8531 + 57.183016 x8532 + 57.183016 x8533 + 57.183016 x8534 + 57.183016 x8535 + 57.183016 x8536 + 57.183016 x8537 + 57.183016 x8538 + 57.183016 x8539 + 57.183016 x8540 + 57.183016 x8541 + 57.183016 x8542 + 57.183016 x8543 + 57.183016 x8544 + 57.183016 x8545 + 58.043014 x8546 + 58.043014 x8547 + 58.043014 x8548 + 58.043014 x8549 + 58.043014 x8550 + 58.043014 x8551 + 58.043014 x8552 + 58.043014 x8553 + 58.043014 x8554 + 58.043014 x8555 + 58.043014 x8556 + 58.043014 x8557 + 58.043014 x8558 + 58.043014 x8559 + 58.043014 x8560 + 58.043014 x8561 + 58.043014 x8562 + 58.043014 x8563 + 58.043014 x8564 + 58.043014 x8565 + 58.043014 x8566 + 58.043014 x8567 + 58.043014 x8568 + 58.043014 x8569 + 62.69259 x8570 + 62.69259 x8571 + 62.69259 x8572 + 62.69259 x8573 + 62.69259 x8574 + 62.69259 x8575 + 62.69259 x8576 + 62.69259 x8577 + 62.69259 x8578 + 62.69259 x8579 + 62.69259 x8580 + 62.69259 x8581 + 62.69259 x8582 + 62.69259 x8583 + 62.69259 x8584 + 62.69259 x8585 + 62.69259 x8586 + 62.69259 x8587 + 62.69259 x8588 + 62.69259 x8589 + 62.69259 x8590 + 62.69259 x8591 + 62.69259 x8592 + 62.69259 x8593 + 56.787695 x8594 + 56.787695 x8595 + 56.787695 x8596 + 56.787695 x8597 + 56.787695 x8598 + 56.787695 x8599 + 56.787695 x8600 + 56.787695 x8601 + 56.787695 x8602 + 56.787695 x8603 + 56.787695 x8604 + 56.787695 x8605 + 56.787695 x8606 + 56.787695 x8607 + 56.787695 x8608 + 56.787695 x8609 + 56.787695 x8610 + 56.787695 x8611 + 56.787695 x8612 + 56.787695 x8613 + 56.787695 x8614 + 56.787695 x8615 + 56.787695 x8616 + 56.787695 x8617 + 61.997628 x8618 + 61.997628 x8619 + 61.997628 x8620 + 61.997628 x8621 + 61.997628 x8622 + 61.997628 x8623 + 61.997628 x8624 + 61.997628 x8625 + 61.997628 x8626 + 61.997628 x8627 + 61.997628 x8628 + 61.997628 x8629 + 61.997628 x8630 + 61.997628 x8631 + 61.997628 x8632 + 61.997628 x8633 + 61.997628 x8634 + 61.997628 x8635 + 61.997628 x8636 + 61.997628 x8637 + 61.997628 x8638 + 61.997628 x8639 + 61.997628 x8640 + 61.997628 x8641 + 64.348707 x8642 + 64.348707 x8643 + 64.348707 x8644 + 64.348707 x8645 + 64.348707 x8646 + 64.348707 x8647 + 64.348707 x8648 + 64.348707 x8649 + 64.348707 x8650 + 64.348707 x8651 + 64.348707 x8652 + 64.348707 x8653 + 64.348707 x8654 + 64.348707 x8655 + 64.348707 x8656 + 64.348707 x8657 + 64.348707 x8658 + 64.348707 x8659 + 64.348707 x8660 + 64.348707 x8661 + 64.348707 x8662 + 64.348707 x8663 + 64.348707 x8664 + 64.348707 x8665 + 58.72418 x8666 + 58.72418 x8667 + 58.72418 x8668 + 58.72418 x8669 + 58.72418 x8670 + 58.72418 x8671 + 58.72418 x8672 + 58.72418 x8673 + 58.72418 x8674 + 58.72418 x8675 + 58.72418 x8676 + 58.72418 x8677 + 58.72418 x8678 + 58.72418 x8679 + 58.72418 x8680 + 58.72418 x8681 + 58.72418 x8682 + 58.72418 x8683 + 58.72418 x8684 + 58.72418 x8685 + 58.72418 x8686 + 58.72418 x8687 + 58.72418 x8688 + 58.72418 x8689 + 58.471143 x8690 + 58.471143 x8691 + 58.471143 x8692 + 58.471143 x8693 + 58.471143 x8694 + 58.471143 x8695 + 58.471143 x8696 + 58.471143 x8697 + 58.471143 x8698 + 58.471143 x8699 + 58.471143 x8700 + 58.471143 x8701 + 58.471143 x8702 + 58.471143 x8703 + 58.471143 x8704 + 58.471143 x8705 + 58.471143 x8706 + 58.471143 x8707 + 58.471143 x8708 + 58.471143 x8709 + 58.471143 x8710 + 58.471143 x8711 + 58.471143 x8712 + 58.471143 x8713 + 62.255414 x8714 + 62.255414 x8715 + 62.255414 x8716 + 62.255414 x8717 + 62.255414 x8718 + 62.255414 x8719 + 62.255414 x8720 + 62.255414 x8721 + 62.255414 x8722 + 62.255414 x8723 + 62.255414 x8724 + 62.255414 x8725 + 62.255414 x8726 + 62.255414 x8727 + 62.255414 x8728 + 62.255414 x8729 + 62.255414 x8730 + 62.255414 x8731 + 62.255414 x8732 + 62.255414 x8733 + 62.255414 x8734 + 62.255414 x8735 + 62.255414 x8736 + 62.255414 x8737 + 64.365988 x8738 + 64.365988 x8739 + 64.365988 x8740 + 64.365988 x8741 + 64.365988 x8742 + 64.365988 x8743 + 64.365988 x8744 + 64.365988 x8745 + 64.365988 x8746 + 64.365988 x8747 + 64.365988 x8748 + 64.365988 x8749 + 64.365988 x8750 + 64.365988 x8751 + 64.365988 x8752 + 64.365988 x8753 + 64.365988 x8754 + 64.365988 x8755 + 64.365988 x8756 + 64.365988 x8757 + 64.365988 x8758 + 64.365988 x8759 + 64.365988 x8760 + 64.365988 x8761 + 60.070316 x8762 + 60.070316 x8763 + 60.070316 x8764 + 60.070316 x8765 + 60.070316 x8766 + 60.070316 x8767 + 60.070316 x8768 + 60.070316 x8769 + 60.070316 x8770 + 60.070316 x8771 + 60.070316 x8772 + 60.070316 x8773 + 60.070316 x8774 + 60.070316 x8775 + 60.070316 x8776 + 60.070316 x8777 + 60.070316 x8778 + 60.070316 x8779 + 60.070316 x8780 + 60.070316 x8781 + 60.070316 x8782 + 60.070316 x8783 + 60.070316 x8784 + 60.070316 x8785 + 58.265868 x8786 + 58.265868 x8787 + 58.265868 x8788 + 58.265868 x8789 + 58.265868 x8790 + 58.265868 x8791 + 58.265868 x8792 + 58.265868 x8793 + 58.265868 x8794 + 58.265868 x8795 + 58.265868 x8796 + 58.265868 x8797 + 58.265868 x8798 + 58.265868 x8799 + 58.265868 x8800 + 58.265868 x8801 + 58.265868 x8802 + 58.265868 x8803 + 58.265868 x8804 + 58.265868 x8805 + 58.265868 x8806 + 58.265868 x8807 + 58.265868 x8808 + 58.265868 x8809 + 50.817206 x8810 + 50.817206 x8811 + 50.817206 x8812 + 50.817206 x8813 + 50.817206 x8814 + 50.817206 x8815 + 50.817206 x8816 + 50.817206 x8817 + 50.817206 x8818 + 50.817206 x8819 + 50.817206 x8820 + 50.817206 x8821 + 50.817206 x8822 + 50.817206 x8823 + 50.817206 x8824 + 50.817206 x8825 + 50.817206 x8826 + 50.817206 x8827 + 50.817206 x8828 + 50.817206 x8829 + 50.817206 x8830 + 50.817206 x8831 + 50.817206 x8832 + 50.817206 x8833 + 58.743741 x8834 + 58.743741 x8835 + 58.743741 x8836 + 58.743741 x8837 + 58.743741 x8838 + 58.743741 x8839 + 58.743741 x8840 + 58.743741 x8841 + 58.743741 x8842 + 58.743741 x8843 + 58.743741 x8844 + 58.743741 x8845 + 58.743741 x8846 + 58.743741 x8847 + 58.743741 x8848 + 58.743741 x8849 + 58.743741 x8850 + 58.743741 x8851 + 58.743741 x8852 + 58.743741 x8853 + 58.743741 x8854 + 58.743741 x8855 + 58.743741 x8856 + 58.743741 x8857 + 56.195963 x8858 + 56.195963 x8859 + 56.195963 x8860 + 56.195963 x8861 + 56.195963 x8862 + 56.195963 x8863 + 56.195963 x8864 + 56.195963 x8865 + 56.195963 x8866 + 56.195963 x8867 + 56.195963 x8868 + 56.195963 x8869 + 56.195963 x8870 + 56.195963 x8871 + 56.195963 x8872 + 56.195963 x8873 + 56.195963 x8874 + 56.195963 x8875 + 56.195963 x8876 + 56.195963 x8877 + 56.195963 x8878 + 56.195963 x8879 + 56.195963 x8880 + 56.195963 x8881 + 53.548936 x8882 + 53.548936 x8883 + 53.548936 x8884 + 53.548936 x8885 + 53.548936 x8886 + 53.548936 x8887 + 53.548936 x8888 + 53.548936 x8889 + 53.548936 x8890 + 53.548936 x8891 + 53.548936 x8892 + 53.548936 x8893 + 53.548936 x8894 + 53.548936 x8895 + 53.548936 x8896 + 53.548936 x8897 + 53.548936 x8898 + 53.548936 x8899 + 53.548936 x8900 + 53.548936 x8901 + 53.548936 x8902 + 53.548936 x8903 + 53.548936 x8904 + 53.548936 x8905 + 52.992948 x8906 + 52.992948 x8907 + 52.992948 x8908 + 52.992948 x8909 + 52.992948 x8910 + 52.992948 x8911 + 52.992948 x8912 + 52.992948 x8913 + 52.992948 x8914 + 52.992948 x8915 + 52.992948 x8916 + 52.992948 x8917 + 52.992948 x8918 + 52.992948 x8919 + 52.992948 x8920 + 52.992948 x8921 + 52.992948 x8922 + 52.992948 x8923 + 52.992948 x8924 + 52.992948 x8925 + 52.992948 x8926 + 52.992948 x8927 + 52.992948 x8928 + 52.992948 x8929 + 52.682831 x8930 + 52.682831 x8931 + 52.682831 x8932 + 52.682831 x8933 + 52.682831 x8934 + 52.682831 x8935 + 52.682831 x8936 + 52.682831 x8937 + 52.682831 x8938 + 52.682831 x8939 + 52.682831 x8940 + 52.682831 x8941 + 52.682831 x8942 + 52.682831 x8943 + 52.682831 x8944 + 52.682831 x8945 + 52.682831 x8946 + 52.682831 x8947 + 52.682831 x8948 + 52.682831 x8949 + 52.682831 x8950 + 52.682831 x8951 + 52.682831 x8952 + 52.682831 x8953 + 58.561604 x8954 + 58.561604 x8955 + 58.561604 x8956 + 58.561604 x8957 + 58.561604 x8958 + 58.561604 x8959 + 58.561604 x8960 + 58.561604 x8961 + 58.561604 x8962 + 58.561604 x8963 + 58.561604 x8964 + 58.561604 x8965 + 58.561604 x8966 + 58.561604 x8967 + 58.561604 x8968 + 58.561604 x8969 + 58.561604 x8970 + 58.561604 x8971 + 58.561604 x8972 + 58.561604 x8973 + 58.561604 x8974 + 58.561604 x8975 + 58.561604 x8976 + 58.561604 x8977 + 58.288345 x8978 + 58.288345 x8979 + 58.288345 x8980 + 58.288345 x8981 + 58.288345 x8982 + 58.288345 x8983 + 58.288345 x8984 + 58.288345 x8985 + 58.288345 x8986 + 58.288345 x8987 + 58.288345 x8988 + 58.288345 x8989 + 58.288345 x8990 + 58.288345 x8991 + 58.288345 x8992 + 58.288345 x8993 + 58.288345 x8994 + 58.288345 x8995 + 58.288345 x8996 + 58.288345 x8997 + 58.288345 x8998 + 58.288345 x8999 + 58.288345 x9000 + 58.288345 x9001 + 50.944434 x9002 + 50.944434 x9003 + 50.944434 x9004 + 50.944434 x9005 + 50.944434 x9006 + 50.944434 x9007 + 50.944434 x9008 + 50.944434 x9009 + 50.944434 x9010 + 50.944434 x9011 + 50.944434 x9012 + 50.944434 x9013 + 50.944434 x9014 + 50.944434 x9015 + 50.944434 x9016 + 50.944434 x9017 + 50.944434 x9018 + 50.944434 x9019 + 50.944434 x9020 + 50.944434 x9021 + 50.944434 x9022 + 50.944434 x9023 + 50.944434 x9024 + 50.944434 x9025 + 56.727449 x9026 + 56.727449 x9027 + 56.727449 x9028 + 56.727449 x9029 + 56.727449 x9030 + 56.727449 x9031 + 56.727449 x9032 + 56.727449 x9033 + 56.727449 x9034 + 56.727449 x9035 + 56.727449 x9036 + 56.727449 x9037 + 56.727449 x9038 + 56.727449 x9039 + 56.727449 x9040 + 56.727449 x9041 + 56.727449 x9042 + 56.727449 x9043 + 56.727449 x9044 + 56.727449 x9045 + 56.727449 x9046 + 56.727449 x9047 + 56.727449 x9048 + 56.727449 x9049 + 56.018275 x9050 + 56.018275 x9051 + 56.018275 x9052 + 56.018275 x9053 + 56.018275 x9054 + 56.018275 x9055 + 56.018275 x9056 + 56.018275 x9057 + 56.018275 x9058 + 56.018275 x9059 + 56.018275 x9060 + 56.018275 x9061 + 56.018275 x9062 + 56.018275 x9063 + 56.018275 x9064 + 56.018275 x9065 + 56.018275 x9066 + 56.018275 x9067 + 56.018275 x9068 + 56.018275 x9069 + 56.018275 x9070 + 56.018275 x9071 + 56.018275 x9072 + 56.018275 x9073 + 55.64607 x9074 + 55.64607 x9075 + 55.64607 x9076 + 55.64607 x9077 + 55.64607 x9078 + 55.64607 x9079 + 55.64607 x9080 + 55.64607 x9081 + 55.64607 x9082 + 55.64607 x9083 + 55.64607 x9084 + 55.64607 x9085 + 55.64607 x9086 + 55.64607 x9087 + 55.64607 x9088 + 55.64607 x9089 + 55.64607 x9090 + 55.64607 x9091 + 55.64607 x9092 + 55.64607 x9093 + 55.64607 x9094 + 55.64607 x9095 + 55.64607 x9096 + 55.64607 x9097 + 51.59609 x9098 + 51.59609 x9099 + 51.59609 x9100 + 51.59609 x9101 + 51.59609 x9102 + 51.59609 x9103 + 51.59609 x9104 + 51.59609 x9105 + 51.59609 x9106 + 51.59609 x9107 + 51.59609 x9108 + 51.59609 x9109 + 51.59609 x9110 + 51.59609 x9111 + 51.59609 x9112 + 51.59609 x9113 + 51.59609 x9114 + 51.59609 x9115 + 51.59609 x9116 + 51.59609 x9117 + 51.59609 x9118 + 51.59609 x9119 + 51.59609 x9120 + 51.59609 x9121 + 60.327067 x9122 + 60.327067 x9123 + 60.327067 x9124 + 60.327067 x9125 + 60.327067 x9126 + 60.327067 x9127 + 60.327067 x9128 + 60.327067 x9129 + 60.327067 x9130 + 60.327067 x9131 + 60.327067 x9132 + 60.327067 x9133 + 60.327067 x9134 + 60.327067 x9135 + 60.327067 x9136 + 60.327067 x9137 + 60.327067 x9138 + 60.327067 x9139 + 60.327067 x9140 + 60.327067 x9141 + 60.327067 x9142 + 60.327067 x9143 + 60.327067 x9144 + 60.327067 x9145 + 50.766098 x9146 + 50.766098 x9147 + 50.766098 x9148 + 50.766098 x9149 + 50.766098 x9150 + 50.766098 x9151 + 50.766098 x9152 + 50.766098 x9153 + 50.766098 x9154 + 50.766098 x9155 + 50.766098 x9156 + 50.766098 x9157 + 50.766098 x9158 + 50.766098 x9159 + 50.766098 x9160 + 50.766098 x9161 + 50.766098 x9162 + 50.766098 x9163 + 50.766098 x9164 + 50.766098 x9165 + 50.766098 x9166 + 50.766098 x9167 + 50.766098 x9168 + 50.766098 x9169 + 51.511949 x9170 + 51.511949 x9171 + 51.511949 x9172 + 51.511949 x9173 + 51.511949 x9174 + 51.511949 x9175 + 51.511949 x9176 + 51.511949 x9177 + 51.511949 x9178 + 51.511949 x9179 + 51.511949 x9180 + 51.511949 x9181 + 51.511949 x9182 + 51.511949 x9183 + 51.511949 x9184 + 51.511949 x9185 + 51.511949 x9186 + 51.511949 x9187 + 51.511949 x9188 + 51.511949 x9189 + 51.511949 x9190 + 51.511949 x9191 + 51.511949 x9192 + 51.511949 x9193 + 52.676074 x9194 + 52.676074 x9195 + 52.676074 x9196 + 52.676074 x9197 + 52.676074 x9198 + 52.676074 x9199 + 52.676074 x9200 + 52.676074 x9201 + 52.676074 x9202 + 52.676074 x9203 + 52.676074 x9204 + 52.676074 x9205 + 52.676074 x9206 + 52.676074 x9207 + 52.676074 x9208 + 52.676074 x9209 + 52.676074 x9210 + 52.676074 x9211 + 52.676074 x9212 + 52.676074 x9213 + 52.676074 x9214 + 52.676074 x9215 + 52.676074 x9216 + 52.676074 x9217 + 50.307417 x9218 + 50.307417 x9219 + 50.307417 x9220 + 50.307417 x9221 + 50.307417 x9222 + 50.307417 x9223 + 50.307417 x9224 + 50.307417 x9225 + 50.307417 x9226 + 50.307417 x9227 + 50.307417 x9228 + 50.307417 x9229 + 50.307417 x9230 + 50.307417 x9231 + 50.307417 x9232 + 50.307417 x9233 + 50.307417 x9234 + 50.307417 x9235 + 50.307417 x9236 + 50.307417 x9237 + 50.307417 x9238 + 50.307417 x9239 + 50.307417 x9240 + 50.307417 x9241 + 52.209174 x9242 + 52.209174 x9243 + 52.209174 x9244 + 52.209174 x9245 + 52.209174 x9246 + 52.209174 x9247 + 52.209174 x9248 + 52.209174 x9249 + 52.209174 x9250 + 52.209174 x9251 + 52.209174 x9252 + 52.209174 x9253 + 52.209174 x9254 + 52.209174 x9255 + 52.209174 x9256 + 52.209174 x9257 + 52.209174 x9258 + 52.209174 x9259 + 52.209174 x9260 + 52.209174 x9261 + 52.209174 x9262 + 52.209174 x9263 + 52.209174 x9264 + 52.209174 x9265 + 53.848861 x9266 + 53.848861 x9267 + 53.848861 x9268 + 53.848861 x9269 + 53.848861 x9270 + 53.848861 x9271 + 53.848861 x9272 + 53.848861 x9273 + 53.848861 x9274 + 53.848861 x9275 + 53.848861 x9276 + 53.848861 x9277 + 53.848861 x9278 + 53.848861 x9279 + 53.848861 x9280 + 53.848861 x9281 + 53.848861 x9282 + 53.848861 x9283 + 53.848861 x9284 + 53.848861 x9285 + 53.848861 x9286 + 53.848861 x9287 + 53.848861 x9288 + 53.848861 x9289 + 53.160306 x9290 + 53.160306 x9291 + 53.160306 x9292 + 53.160306 x9293 + 53.160306 x9294 + 53.160306 x9295 + 53.160306 x9296 + 53.160306 x9297 + 53.160306 x9298 + 53.160306 x9299 + 53.160306 x9300 + 53.160306 x9301 + 53.160306 x9302 + 53.160306 x9303 + 53.160306 x9304 + 53.160306 x9305 + 53.160306 x9306 + 53.160306 x9307 + 53.160306 x9308 + 53.160306 x9309 + 53.160306 x9310 + 53.160306 x9311 + 53.160306 x9312 + 53.160306 x9313 + 59.525512 x9314 + 59.525512 x9315 + 59.525512 x9316 + 59.525512 x9317 + 59.525512 x9318 + 59.525512 x9319 + 59.525512 x9320 + 59.525512 x9321 + 59.525512 x9322 + 59.525512 x9323 + 59.525512 x9324 + 59.525512 x9325 + 59.525512 x9326 + 59.525512 x9327 + 59.525512 x9328 + 59.525512 x9329 + 59.525512 x9330 + 59.525512 x9331 + 59.525512 x9332 + 59.525512 x9333 + 59.525512 x9334 + 59.525512 x9335 + 59.525512 x9336 + 59.525512 x9337 + 56.607797 x9338 + 56.607797 x9339 + 56.607797 x9340 + 56.607797 x9341 + 56.607797 x9342 + 56.607797 x9343 + 56.607797 x9344 + 56.607797 x9345 + 56.607797 x9346 + 56.607797 x9347 + 56.607797 x9348 + 56.607797 x9349 + 56.607797 x9350 + 56.607797 x9351 + 56.607797 x9352 + 56.607797 x9353 + 56.607797 x9354 + 56.607797 x9355 + 56.607797 x9356 + 56.607797 x9357 + 56.607797 x9358 + 56.607797 x9359 + 56.607797 x9360 + 56.607797 x9361 + 53.75006 x9362 + 53.75006 x9363 + 53.75006 x9364 + 53.75006 x9365 + 53.75006 x9366 + 53.75006 x9367 + 53.75006 x9368 + 53.75006 x9369 + 53.75006 x9370 + 53.75006 x9371 + 53.75006 x9372 + 53.75006 x9373 + 53.75006 x9374 + 53.75006 x9375 + 53.75006 x9376 + 53.75006 x9377 + 53.75006 x9378 + 53.75006 x9379 + 53.75006 x9380 + 53.75006 x9381 + 53.75006 x9382 + 53.75006 x9383 + 53.75006 x9384 + 53.75006 x9385 + 58.618398 x9386 + 58.618398 x9387 + 58.618398 x9388 + 58.618398 x9389 + 58.618398 x9390 + 58.618398 x9391 + 58.618398 x9392 + 58.618398 x9393 + 58.618398 x9394 + 58.618398 x9395 + 58.618398 x9396 + 58.618398 x9397 + 58.618398 x9398 + 58.618398 x9399 + 58.618398 x9400 + 58.618398 x9401 + 58.618398 x9402 + 58.618398 x9403 + 58.618398 x9404 + 58.618398 x9405 + 58.618398 x9406 + 58.618398 x9407 + 58.618398 x9408 + 58.618398 x9409 + 54.706082 x9410 + 54.706082 x9411 + 54.706082 x9412 + 54.706082 x9413 + 54.706082 x9414 + 54.706082 x9415 + 54.706082 x9416 + 54.706082 x9417 + 54.706082 x9418 + 54.706082 x9419 + 54.706082 x9420 + 54.706082 x9421 + 54.706082 x9422 + 54.706082 x9423 + 54.706082 x9424 + 54.706082 x9425 + 54.706082 x9426 + 54.706082 x9427 + 54.706082 x9428 + 54.706082 x9429 + 54.706082 x9430 + 54.706082 x9431 + 54.706082 x9432 + 54.706082 x9433 + 58.917192 x9434 + 58.917192 x9435 + 58.917192 x9436 + 58.917192 x9437 + 58.917192 x9438 + 58.917192 x9439 + 58.917192 x9440 + 58.917192 x9441 + 58.917192 x9442 + 58.917192 x9443 + 58.917192 x9444 + 58.917192 x9445 + 58.917192 x9446 + 58.917192 x9447 + 58.917192 x9448 + 58.917192 x9449 + 58.917192 x9450 + 58.917192 x9451 + 58.917192 x9452 + 58.917192 x9453 + 58.917192 x9454 + 58.917192 x9455 + 58.917192 x9456 + 58.917192 x9457 + 58.088863 x9458 + 58.088863 x9459 + 58.088863 x9460 + 58.088863 x9461 + 58.088863 x9462 + 58.088863 x9463 + 58.088863 x9464 + 58.088863 x9465 + 58.088863 x9466 + 58.088863 x9467 + 58.088863 x9468 + 58.088863 x9469 + 58.088863 x9470 + 58.088863 x9471 + 58.088863 x9472 + 58.088863 x9473 + 58.088863 x9474 + 58.088863 x9475 + 58.088863 x9476 + 58.088863 x9477 + 58.088863 x9478 + 58.088863 x9479 + 58.088863 x9480 + 58.088863 x9481 + 57.322687 x9482 + 57.322687 x9483 + 57.322687 x9484 + 57.322687 x9485 + 57.322687 x9486 + 57.322687 x9487 + 57.322687 x9488 + 57.322687 x9489 + 57.322687 x9490 + 57.322687 x9491 + 57.322687 x9492 + 57.322687 x9493 + 57.322687 x9494 + 57.322687 x9495 + 57.322687 x9496 + 57.322687 x9497 + 57.322687 x9498 + 57.322687 x9499 + 57.322687 x9500 + 57.322687 x9501 + 57.322687 x9502 + 57.322687 x9503 + 57.322687 x9504 + 57.322687 x9505 + 61.013771 x9506 + 61.013771 x9507 + 61.013771 x9508 + 61.013771 x9509 + 61.013771 x9510 + 61.013771 x9511 + 61.013771 x9512 + 61.013771 x9513 + 61.013771 x9514 + 61.013771 x9515 + 61.013771 x9516 + 61.013771 x9517 + 61.013771 x9518 + 61.013771 x9519 + 61.013771 x9520 + 61.013771 x9521 + 61.013771 x9522 + 61.013771 x9523 + 61.013771 x9524 + 61.013771 x9525 + 61.013771 x9526 + 61.013771 x9527 + 61.013771 x9528 + 61.013771 x9529 + 59.203127 x9530 + 59.203127 x9531 + 59.203127 x9532 + 59.203127 x9533 + 59.203127 x9534 + 59.203127 x9535 + 59.203127 x9536 + 59.203127 x9537 + 59.203127 x9538 + 59.203127 x9539 + 59.203127 x9540 + 59.203127 x9541 + 59.203127 x9542 + 59.203127 x9543 + 59.203127 x9544 + 59.203127 x9545 + 59.203127 x9546 + 59.203127 x9547 + 59.203127 x9548 + 59.203127 x9549 + 59.203127 x9550 + 59.203127 x9551 + 59.203127 x9552 + 59.203127 x9553 + 57.844792 x9554 + 57.844792 x9555 + 57.844792 x9556 + 57.844792 x9557 + 57.844792 x9558 + 57.844792 x9559 + 57.844792 x9560 + 57.844792 x9561 + 57.844792 x9562 + 57.844792 x9563 + 57.844792 x9564 + 57.844792 x9565 + 57.844792 x9566 + 57.844792 x9567 + 57.844792 x9568 + 57.844792 x9569 + 57.844792 x9570 + 57.844792 x9571 + 57.844792 x9572 + 57.844792 x9573 + 57.844792 x9574 + 57.844792 x9575 + 57.844792 x9576 + 57.844792 x9577 + 53.777301 x9578 + 53.777301 x9579 + 53.777301 x9580 + 53.777301 x9581 + 53.777301 x9582 + 53.777301 x9583 + 53.777301 x9584 + 53.777301 x9585 + 53.777301 x9586 + 53.777301 x9587 + 53.777301 x9588 + 53.777301 x9589 + 53.777301 x9590 + 53.777301 x9591 + 53.777301 x9592 + 53.777301 x9593 + 53.777301 x9594 + 53.777301 x9595 + 53.777301 x9596 + 53.777301 x9597 + 53.777301 x9598 + 53.777301 x9599 + 53.777301 x9600 + 53.777301 x9601 + 177.327529 x9602 + 177.327529 x9603 + 177.327529 x9604 + 177.327529 x9605 + 177.327529 x9606 + 177.327529 x9607 + 177.327529 x9608 + 177.327529 x9609 + 177.327529 x9610 + 177.327529 x9611 + 177.327529 x9612 + 177.327529 x9613 + 177.327529 x9614 + 177.327529 x9615 + 177.327529 x9616 + 177.327529 x9617 + 177.327529 x9618 + 177.327529 x9619 + 177.327529 x9620 + 177.327529 x9621 + 177.327529 x9622 + 177.327529 x9623 + 177.327529 x9624 + 177.327529 x9625 + 156.976724 x9626 + 156.976724 x9627 + 156.976724 x9628 + 156.976724 x9629 + 156.976724 x9630 + 156.976724 x9631 + 156.976724 x9632 + 156.976724 x9633 + 156.976724 x9634 + 156.976724 x9635 + 156.976724 x9636 + 156.976724 x9637 + 156.976724 x9638 + 156.976724 x9639 + 156.976724 x9640 + 156.976724 x9641 + 156.976724 x9642 + 156.976724 x9643 + 156.976724 x9644 + 156.976724 x9645 + 156.976724 x9646 + 156.976724 x9647 + 156.976724 x9648 + 156.976724 x9649 + 137.823856 x9650 + 137.823856 x9651 + 137.823856 x9652 + 137.823856 x9653 + 137.823856 x9654 + 137.823856 x9655 + 137.823856 x9656 + 137.823856 x9657 + 137.823856 x9658 + 137.823856 x9659 + 137.823856 x9660 + 137.823856 x9661 + 137.823856 x9662 + 137.823856 x9663 + 137.823856 x9664 + 137.823856 x9665 + 137.823856 x9666 + 137.823856 x9667 + 137.823856 x9668 + 137.823856 x9669 + 137.823856 x9670 + 137.823856 x9671 + 137.823856 x9672 + 137.823856 x9673 + 183.417405 x9674 + 183.417405 x9675 + 183.417405 x9676 + 183.417405 x9677 + 183.417405 x9678 + 183.417405 x9679 + 183.417405 x9680 + 183.417405 x9681 + 183.417405 x9682 + 183.417405 x9683 + 183.417405 x9684 + 183.417405 x9685 + 183.417405 x9686 + 183.417405 x9687 + 183.417405 x9688 + 183.417405 x9689 + 183.417405 x9690 + 183.417405 x9691 + 183.417405 x9692 + 183.417405 x9693 + 183.417405 x9694 + 183.417405 x9695 + 183.417405 x9696 + 183.417405 x9697 + 138.105838 x9698 + 138.105838 x9699 + 138.105838 x9700 + 138.105838 x9701 + 138.105838 x9702 + 138.105838 x9703 + 138.105838 x9704 + 138.105838 x9705 + 138.105838 x9706 + 138.105838 x9707 + 138.105838 x9708 + 138.105838 x9709 + 138.105838 x9710 + 138.105838 x9711 + 138.105838 x9712 + 138.105838 x9713 + 138.105838 x9714 + 138.105838 x9715 + 138.105838 x9716 + 138.105838 x9717 + 138.105838 x9718 + 138.105838 x9719 + 138.105838 x9720 + 138.105838 x9721 + 161.515641 x9722 + 161.515641 x9723 + 161.515641 x9724 + 161.515641 x9725 + 161.515641 x9726 + 161.515641 x9727 + 161.515641 x9728 + 161.515641 x9729 + 161.515641 x9730 + 161.515641 x9731 + 161.515641 x9732 + 161.515641 x9733 + 161.515641 x9734 + 161.515641 x9735 + 161.515641 x9736 + 161.515641 x9737 + 161.515641 x9738 + 161.515641 x9739 + 161.515641 x9740 + 161.515641 x9741 + 161.515641 x9742 + 161.515641 x9743 + 161.515641 x9744 + 161.515641 x9745 + 185.916315 x9746 + 185.916315 x9747 + 185.916315 x9748 + 185.916315 x9749 + 185.916315 x9750 + 185.916315 x9751 + 185.916315 x9752 + 185.916315 x9753 + 185.916315 x9754 + 185.916315 x9755 + 185.916315 x9756 + 185.916315 x9757 + 185.916315 x9758 + 185.916315 x9759 + 185.916315 x9760 + 185.916315 x9761 + 185.916315 x9762 + 185.916315 x9763 + 185.916315 x9764 + 185.916315 x9765 + 185.916315 x9766 + 185.916315 x9767 + 185.916315 x9768 + 185.916315 x9769 + 153.697578 x9770 + 153.697578 x9771 + 153.697578 x9772 + 153.697578 x9773 + 153.697578 x9774 + 153.697578 x9775 + 153.697578 x9776 + 153.697578 x9777 + 153.697578 x9778 + 153.697578 x9779 + 153.697578 x9780 + 153.697578 x9781 + 153.697578 x9782 + 153.697578 x9783 + 153.697578 x9784 + 153.697578 x9785 + 153.697578 x9786 + 153.697578 x9787 + 153.697578 x9788 + 153.697578 x9789 + 153.697578 x9790 + 153.697578 x9791 + 153.697578 x9792 + 153.697578 x9793 + 178.112317 x9794 + 178.112317 x9795 + 178.112317 x9796 + 178.112317 x9797 + 178.112317 x9798 + 178.112317 x9799 + 178.112317 x9800 + 178.112317 x9801 + 178.112317 x9802 + 178.112317 x9803 + 178.112317 x9804 + 178.112317 x9805 + 178.112317 x9806 + 178.112317 x9807 + 178.112317 x9808 + 178.112317 x9809 + 178.112317 x9810 + 178.112317 x9811 + 178.112317 x9812 + 178.112317 x9813 + 178.112317 x9814 + 178.112317 x9815 + 178.112317 x9816 + 178.112317 x9817 + 158.474291 x9818 + 158.474291 x9819 + 158.474291 x9820 + 158.474291 x9821 + 158.474291 x9822 + 158.474291 x9823 + 158.474291 x9824 + 158.474291 x9825 + 158.474291 x9826 + 158.474291 x9827 + 158.474291 x9828 + 158.474291 x9829 + 158.474291 x9830 + 158.474291 x9831 + 158.474291 x9832 + 158.474291 x9833 + 158.474291 x9834 + 158.474291 x9835 + 158.474291 x9836 + 158.474291 x9837 + 158.474291 x9838 + 158.474291 x9839 + 158.474291 x9840 + 158.474291 x9841 + 153.283558 x9842 + 153.283558 x9843 + 153.283558 x9844 + 153.283558 x9845 + 153.283558 x9846 + 153.283558 x9847 + 153.283558 x9848 + 153.283558 x9849 + 153.283558 x9850 + 153.283558 x9851 + 153.283558 x9852 + 153.283558 x9853 + 153.283558 x9854 + 153.283558 x9855 + 153.283558 x9856 + 153.283558 x9857 + 153.283558 x9858 + 153.283558 x9859 + 153.283558 x9860 + 153.283558 x9861 + 153.283558 x9862 + 153.283558 x9863 + 153.283558 x9864 + 153.283558 x9865 + 192.191929 x9866 + 192.191929 x9867 + 192.191929 x9868 + 192.191929 x9869 + 192.191929 x9870 + 192.191929 x9871 + 192.191929 x9872 + 192.191929 x9873 + 192.191929 x9874 + 192.191929 x9875 + 192.191929 x9876 + 192.191929 x9877 + 192.191929 x9878 + 192.191929 x9879 + 192.191929 x9880 + 192.191929 x9881 + 192.191929 x9882 + 192.191929 x9883 + 192.191929 x9884 + 192.191929 x9885 + 192.191929 x9886 + 192.191929 x9887 + 192.191929 x9888 + 192.191929 x9889 + 185.35186 x9890 + 185.35186 x9891 + 185.35186 x9892 + 185.35186 x9893 + 185.35186 x9894 + 185.35186 x9895 + 185.35186 x9896 + 185.35186 x9897 + 185.35186 x9898 + 185.35186 x9899 + 185.35186 x9900 + 185.35186 x9901 + 185.35186 x9902 + 185.35186 x9903 + 185.35186 x9904 + 185.35186 x9905 + 185.35186 x9906 + 185.35186 x9907 + 185.35186 x9908 + 185.35186 x9909 + 185.35186 x9910 + 185.35186 x9911 + 185.35186 x9912 + 185.35186 x9913 + 142.118807 x9914 + 142.118807 x9915 + 142.118807 x9916 + 142.118807 x9917 + 142.118807 x9918 + 142.118807 x9919 + 142.118807 x9920 + 142.118807 x9921 + 142.118807 x9922 + 142.118807 x9923 + 142.118807 x9924 + 142.118807 x9925 + 142.118807 x9926 + 142.118807 x9927 + 142.118807 x9928 + 142.118807 x9929 + 142.118807 x9930 + 142.118807 x9931 + 142.118807 x9932 + 142.118807 x9933 + 142.118807 x9934 + 142.118807 x9935 + 142.118807 x9936 + 142.118807 x9937 + 180.716303 x9938 + 180.716303 x9939 + 180.716303 x9940 + 180.716303 x9941 + 180.716303 x9942 + 180.716303 x9943 + 180.716303 x9944 + 180.716303 x9945 + 180.716303 x9946 + 180.716303 x9947 + 180.716303 x9948 + 180.716303 x9949 + 180.716303 x9950 + 180.716303 x9951 + 180.716303 x9952 + 180.716303 x9953 + 180.716303 x9954 + 180.716303 x9955 + 180.716303 x9956 + 180.716303 x9957 + 180.716303 x9958 + 180.716303 x9959 + 180.716303 x9960 + 180.716303 x9961 + 175.01157 x9962 + 175.01157 x9963 + 175.01157 x9964 + 175.01157 x9965 + 175.01157 x9966 + 175.01157 x9967 + 175.01157 x9968 + 175.01157 x9969 + 175.01157 x9970 + 175.01157 x9971 + 175.01157 x9972 + 175.01157 x9973 + 175.01157 x9974 + 175.01157 x9975 + 175.01157 x9976 + 175.01157 x9977 + 175.01157 x9978 + 175.01157 x9979 + 175.01157 x9980 + 175.01157 x9981 + 175.01157 x9982 + 175.01157 x9983 + 175.01157 x9984 + 175.01157 x9985 + 159.346001 x9986 + 159.346001 x9987 + 159.346001 x9988 + 159.346001 x9989 + 159.346001 x9990 + 159.346001 x9991 + 159.346001 x9992 + 159.346001 x9993 + 159.346001 x9994 + 159.346001 x9995 + 159.346001 x9996 + 159.346001 x9997 + 159.346001 x9998 + 159.346001 x9999 + 159.346001 x10000 + 159.346001 x10001 + 159.346001 x10002 + 159.346001 x10003 + 159.346001 x10004 + 159.346001 x10005 + 159.346001 x10006 + 159.346001 x10007 + 159.346001 x10008 + 159.346001 x10009 + 178.353251 x10010 + 178.353251 x10011 + 178.353251 x10012 + 178.353251 x10013 + 178.353251 x10014 + 178.353251 x10015 + 178.353251 x10016 + 178.353251 x10017 + 178.353251 x10018 + 178.353251 x10019 + 178.353251 x10020 + 178.353251 x10021 + 178.353251 x10022 + 178.353251 x10023 + 178.353251 x10024 + 178.353251 x10025 + 178.353251 x10026 + 178.353251 x10027 + 178.353251 x10028 + 178.353251 x10029 + 178.353251 x10030 + 178.353251 x10031 + 178.353251 x10032 + 178.353251 x10033 + 171.234342 x10034 + 171.234342 x10035 + 171.234342 x10036 + 171.234342 x10037 + 171.234342 x10038 + 171.234342 x10039 + 171.234342 x10040 + 171.234342 x10041 + 171.234342 x10042 + 171.234342 x10043 + 171.234342 x10044 + 171.234342 x10045 + 171.234342 x10046 + 171.234342 x10047 + 171.234342 x10048 + 171.234342 x10049 + 171.234342 x10050 + 171.234342 x10051 + 171.234342 x10052 + 171.234342 x10053 + 171.234342 x10054 + 171.234342 x10055 + 171.234342 x10056 + 171.234342 x10057 + 183.21265 x10058 + 183.21265 x10059 + 183.21265 x10060 + 183.21265 x10061 + 183.21265 x10062 + 183.21265 x10063 + 183.21265 x10064 + 183.21265 x10065 + 183.21265 x10066 + 183.21265 x10067 + 183.21265 x10068 + 183.21265 x10069 + 183.21265 x10070 + 183.21265 x10071 + 183.21265 x10072 + 183.21265 x10073 + 183.21265 x10074 + 183.21265 x10075 + 183.21265 x10076 + 183.21265 x10077 + 183.21265 x10078 + 183.21265 x10079 + 183.21265 x10080 + 183.21265 x10081 + 170.148612 x10082 + 170.148612 x10083 + 170.148612 x10084 + 170.148612 x10085 + 170.148612 x10086 + 170.148612 x10087 + 170.148612 x10088 + 170.148612 x10089 + 170.148612 x10090 + 170.148612 x10091 + 170.148612 x10092 + 170.148612 x10093 + 170.148612 x10094 + 170.148612 x10095 + 170.148612 x10096 + 170.148612 x10097 + 170.148612 x10098 + 170.148612 x10099 + 170.148612 x10100 + 170.148612 x10101 + 170.148612 x10102 + 170.148612 x10103 + 170.148612 x10104 + 170.148612 x10105 + 158.733415 x10106 + 158.733415 x10107 + 158.733415 x10108 + 158.733415 x10109 + 158.733415 x10110 + 158.733415 x10111 + 158.733415 x10112 + 158.733415 x10113 + 158.733415 x10114 + 158.733415 x10115 + 158.733415 x10116 + 158.733415 x10117 + 158.733415 x10118 + 158.733415 x10119 + 158.733415 x10120 + 158.733415 x10121 + 158.733415 x10122 + 158.733415 x10123 + 158.733415 x10124 + 158.733415 x10125 + 158.733415 x10126 + 158.733415 x10127 + 158.733415 x10128 + 158.733415 x10129 + 174.010746 x10130 + 174.010746 x10131 + 174.010746 x10132 + 174.010746 x10133 + 174.010746 x10134 + 174.010746 x10135 + 174.010746 x10136 + 174.010746 x10137 + 174.010746 x10138 + 174.010746 x10139 + 174.010746 x10140 + 174.010746 x10141 + 174.010746 x10142 + 174.010746 x10143 + 174.010746 x10144 + 174.010746 x10145 + 174.010746 x10146 + 174.010746 x10147 + 174.010746 x10148 + 174.010746 x10149 + 174.010746 x10150 + 174.010746 x10151 + 174.010746 x10152 + 174.010746 x10153 + 171.439223 x10154 + 171.439223 x10155 + 171.439223 x10156 + 171.439223 x10157 + 171.439223 x10158 + 171.439223 x10159 + 171.439223 x10160 + 171.439223 x10161 + 171.439223 x10162 + 171.439223 x10163 + 171.439223 x10164 + 171.439223 x10165 + 171.439223 x10166 + 171.439223 x10167 + 171.439223 x10168 + 171.439223 x10169 + 171.439223 x10170 + 171.439223 x10171 + 171.439223 x10172 + 171.439223 x10173 + 171.439223 x10174 + 171.439223 x10175 + 171.439223 x10176 + 171.439223 x10177 + 199.936656 x10178 + 199.936656 x10179 + 199.936656 x10180 + 199.936656 x10181 + 199.936656 x10182 + 199.936656 x10183 + 199.936656 x10184 + 199.936656 x10185 + 199.936656 x10186 + 199.936656 x10187 + 199.936656 x10188 + 199.936656 x10189 + 199.936656 x10190 + 199.936656 x10191 + 199.936656 x10192 + 199.936656 x10193 + 199.936656 x10194 + 199.936656 x10195 + 199.936656 x10196 + 199.936656 x10197 + 199.936656 x10198 + 199.936656 x10199 + 199.936656 x10200 + 199.936656 x10201 + 140.605985 x10202 + 140.605985 x10203 + 140.605985 x10204 + 140.605985 x10205 + 140.605985 x10206 + 140.605985 x10207 + 140.605985 x10208 + 140.605985 x10209 + 140.605985 x10210 + 140.605985 x10211 + 140.605985 x10212 + 140.605985 x10213 + 140.605985 x10214 + 140.605985 x10215 + 140.605985 x10216 + 140.605985 x10217 + 140.605985 x10218 + 140.605985 x10219 + 140.605985 x10220 + 140.605985 x10221 + 140.605985 x10222 + 140.605985 x10223 + 140.605985 x10224 + 140.605985 x10225 + 190.499547 x10226 + 190.499547 x10227 + 190.499547 x10228 + 190.499547 x10229 + 190.499547 x10230 + 190.499547 x10231 + 190.499547 x10232 + 190.499547 x10233 + 190.499547 x10234 + 190.499547 x10235 + 190.499547 x10236 + 190.499547 x10237 + 190.499547 x10238 + 190.499547 x10239 + 190.499547 x10240 + 190.499547 x10241 + 190.499547 x10242 + 190.499547 x10243 + 190.499547 x10244 + 190.499547 x10245 + 190.499547 x10246 + 190.499547 x10247 + 190.499547 x10248 + 190.499547 x10249 + 182.168179 x10250 + 182.168179 x10251 + 182.168179 x10252 + 182.168179 x10253 + 182.168179 x10254 + 182.168179 x10255 + 182.168179 x10256 + 182.168179 x10257 + 182.168179 x10258 + 182.168179 x10259 + 182.168179 x10260 + 182.168179 x10261 + 182.168179 x10262 + 182.168179 x10263 + 182.168179 x10264 + 182.168179 x10265 + 182.168179 x10266 + 182.168179 x10267 + 182.168179 x10268 + 182.168179 x10269 + 182.168179 x10270 + 182.168179 x10271 + 182.168179 x10272 + 182.168179 x10273 + 165.282129 x10274 + 165.282129 x10275 + 165.282129 x10276 + 165.282129 x10277 + 165.282129 x10278 + 165.282129 x10279 + 165.282129 x10280 + 165.282129 x10281 + 165.282129 x10282 + 165.282129 x10283 + 165.282129 x10284 + 165.282129 x10285 + 165.282129 x10286 + 165.282129 x10287 + 165.282129 x10288 + 165.282129 x10289 + 165.282129 x10290 + 165.282129 x10291 + 165.282129 x10292 + 165.282129 x10293 + 165.282129 x10294 + 165.282129 x10295 + 165.282129 x10296 + 165.282129 x10297 + 159.182454 x10298 + 159.182454 x10299 + 159.182454 x10300 + 159.182454 x10301 + 159.182454 x10302 + 159.182454 x10303 + 159.182454 x10304 + 159.182454 x10305 + 159.182454 x10306 + 159.182454 x10307 + 159.182454 x10308 + 159.182454 x10309 + 159.182454 x10310 + 159.182454 x10311 + 159.182454 x10312 + 159.182454 x10313 + 159.182454 x10314 + 159.182454 x10315 + 159.182454 x10316 + 159.182454 x10317 + 159.182454 x10318 + 159.182454 x10319 + 159.182454 x10320 + 159.182454 x10321 + 178.076263 x10322 + 178.076263 x10323 + 178.076263 x10324 + 178.076263 x10325 + 178.076263 x10326 + 178.076263 x10327 + 178.076263 x10328 + 178.076263 x10329 + 178.076263 x10330 + 178.076263 x10331 + 178.076263 x10332 + 178.076263 x10333 + 178.076263 x10334 + 178.076263 x10335 + 178.076263 x10336 + 178.076263 x10337 + 178.076263 x10338 + 178.076263 x10339 + 178.076263 x10340 + 178.076263 x10341 + 178.076263 x10342 + 178.076263 x10343 + 178.076263 x10344 + 178.076263 x10345 + 167.43289 x10346 + 167.43289 x10347 + 167.43289 x10348 + 167.43289 x10349 + 167.43289 x10350 + 167.43289 x10351 + 167.43289 x10352 + 167.43289 x10353 + 167.43289 x10354 + 167.43289 x10355 + 167.43289 x10356 + 167.43289 x10357 + 167.43289 x10358 + 167.43289 x10359 + 167.43289 x10360 + 167.43289 x10361 + 167.43289 x10362 + 167.43289 x10363 + 167.43289 x10364 + 167.43289 x10365 + 167.43289 x10366 + 167.43289 x10367 + 167.43289 x10368 + 167.43289 x10369 + 139.642077 x10370 + 139.642077 x10371 + 139.642077 x10372 + 139.642077 x10373 + 139.642077 x10374 + 139.642077 x10375 + 139.642077 x10376 + 139.642077 x10377 + 139.642077 x10378 + 139.642077 x10379 + 139.642077 x10380 + 139.642077 x10381 + 139.642077 x10382 + 139.642077 x10383 + 139.642077 x10384 + 139.642077 x10385 + 139.642077 x10386 + 139.642077 x10387 + 139.642077 x10388 + 139.642077 x10389 + 139.642077 x10390 + 139.642077 x10391 + 139.642077 x10392 + 139.642077 x10393 + 174.378838 x10394 + 174.378838 x10395 + 174.378838 x10396 + 174.378838 x10397 + 174.378838 x10398 + 174.378838 x10399 + 174.378838 x10400 + 174.378838 x10401 + 174.378838 x10402 + 174.378838 x10403 + 174.378838 x10404 + 174.378838 x10405 + 174.378838 x10406 + 174.378838 x10407 + 174.378838 x10408 + 174.378838 x10409 + 174.378838 x10410 + 174.378838 x10411 + 174.378838 x10412 + 174.378838 x10413 + 174.378838 x10414 + 174.378838 x10415 + 174.378838 x10416 + 174.378838 x10417 + 147.857641 x10418 + 147.857641 x10419 + 147.857641 x10420 + 147.857641 x10421 + 147.857641 x10422 + 147.857641 x10423 + 147.857641 x10424 + 147.857641 x10425 + 147.857641 x10426 + 147.857641 x10427 + 147.857641 x10428 + 147.857641 x10429 + 147.857641 x10430 + 147.857641 x10431 + 147.857641 x10432 + 147.857641 x10433 + 147.857641 x10434 + 147.857641 x10435 + 147.857641 x10436 + 147.857641 x10437 + 147.857641 x10438 + 147.857641 x10439 + 147.857641 x10440 + 147.857641 x10441 + 158.938864 x10442 + 158.938864 x10443 + 158.938864 x10444 + 158.938864 x10445 + 158.938864 x10446 + 158.938864 x10447 + 158.938864 x10448 + 158.938864 x10449 + 158.938864 x10450 + 158.938864 x10451 + 158.938864 x10452 + 158.938864 x10453 + 158.938864 x10454 + 158.938864 x10455 + 158.938864 x10456 + 158.938864 x10457 + 158.938864 x10458 + 158.938864 x10459 + 158.938864 x10460 + 158.938864 x10461 + 158.938864 x10462 + 158.938864 x10463 + 158.938864 x10464 + 158.938864 x10465 + 176.532922 x10466 + 176.532922 x10467 + 176.532922 x10468 + 176.532922 x10469 + 176.532922 x10470 + 176.532922 x10471 + 176.532922 x10472 + 176.532922 x10473 + 176.532922 x10474 + 176.532922 x10475 + 176.532922 x10476 + 176.532922 x10477 + 176.532922 x10478 + 176.532922 x10479 + 176.532922 x10480 + 176.532922 x10481 + 176.532922 x10482 + 176.532922 x10483 + 176.532922 x10484 + 176.532922 x10485 + 176.532922 x10486 + 176.532922 x10487 + 176.532922 x10488 + 176.532922 x10489 + 154.217512 x10490 + 154.217512 x10491 + 154.217512 x10492 + 154.217512 x10493 + 154.217512 x10494 + 154.217512 x10495 + 154.217512 x10496 + 154.217512 x10497 + 154.217512 x10498 + 154.217512 x10499 + 154.217512 x10500 + 154.217512 x10501 + 154.217512 x10502 + 154.217512 x10503 + 154.217512 x10504 + 154.217512 x10505 + 154.217512 x10506 + 154.217512 x10507 + 154.217512 x10508 + 154.217512 x10509 + 154.217512 x10510 + 154.217512 x10511 + 154.217512 x10512 + 154.217512 x10513 + 152.930799 x10514 + 152.930799 x10515 + 152.930799 x10516 + 152.930799 x10517 + 152.930799 x10518 + 152.930799 x10519 + 152.930799 x10520 + 152.930799 x10521 + 152.930799 x10522 + 152.930799 x10523 + 152.930799 x10524 + 152.930799 x10525 + 152.930799 x10526 + 152.930799 x10527 + 152.930799 x10528 + 152.930799 x10529 + 152.930799 x10530 + 152.930799 x10531 + 152.930799 x10532 + 152.930799 x10533 + 152.930799 x10534 + 152.930799 x10535 + 152.930799 x10536 + 152.930799 x10537 + 144.444328 x10538 + 144.444328 x10539 + 144.444328 x10540 + 144.444328 x10541 + 144.444328 x10542 + 144.444328 x10543 + 144.444328 x10544 + 144.444328 x10545 + 144.444328 x10546 + 144.444328 x10547 + 144.444328 x10548 + 144.444328 x10549 + 144.444328 x10550 + 144.444328 x10551 + 144.444328 x10552 + 144.444328 x10553 + 144.444328 x10554 + 144.444328 x10555 + 144.444328 x10556 + 144.444328 x10557 + 144.444328 x10558 + 144.444328 x10559 + 144.444328 x10560 + 144.444328 x10561 + 169.971497 x10562 + 169.971497 x10563 + 169.971497 x10564 + 169.971497 x10565 + 169.971497 x10566 + 169.971497 x10567 + 169.971497 x10568 + 169.971497 x10569 + 169.971497 x10570 + 169.971497 x10571 + 169.971497 x10572 + 169.971497 x10573 + 169.971497 x10574 + 169.971497 x10575 + 169.971497 x10576 + 169.971497 x10577 + 169.971497 x10578 + 169.971497 x10579 + 169.971497 x10580 + 169.971497 x10581 + 169.971497 x10582 + 169.971497 x10583 + 169.971497 x10584 + 169.971497 x10585 + 155.062938 x10586 + 155.062938 x10587 + 155.062938 x10588 + 155.062938 x10589 + 155.062938 x10590 + 155.062938 x10591 + 155.062938 x10592 + 155.062938 x10593 + 155.062938 x10594 + 155.062938 x10595 + 155.062938 x10596 + 155.062938 x10597 + 155.062938 x10598 + 155.062938 x10599 + 155.062938 x10600 + 155.062938 x10601 + 155.062938 x10602 + 155.062938 x10603 + 155.062938 x10604 + 155.062938 x10605 + 155.062938 x10606 + 155.062938 x10607 + 155.062938 x10608 + 155.062938 x10609 + 163.946385 x10610 + 163.946385 x10611 + 163.946385 x10612 + 163.946385 x10613 + 163.946385 x10614 + 163.946385 x10615 + 163.946385 x10616 + 163.946385 x10617 + 163.946385 x10618 + 163.946385 x10619 + 163.946385 x10620 + 163.946385 x10621 + 163.946385 x10622 + 163.946385 x10623 + 163.946385 x10624 + 163.946385 x10625 + 163.946385 x10626 + 163.946385 x10627 + 163.946385 x10628 + 163.946385 x10629 + 163.946385 x10630 + 163.946385 x10631 + 163.946385 x10632 + 163.946385 x10633 + 133.094232 x10634 + 133.094232 x10635 + 133.094232 x10636 + 133.094232 x10637 + 133.094232 x10638 + 133.094232 x10639 + 133.094232 x10640 + 133.094232 x10641 + 133.094232 x10642 + 133.094232 x10643 + 133.094232 x10644 + 133.094232 x10645 + 133.094232 x10646 + 133.094232 x10647 + 133.094232 x10648 + 133.094232 x10649 + 133.094232 x10650 + 133.094232 x10651 + 133.094232 x10652 + 133.094232 x10653 + 133.094232 x10654 + 133.094232 x10655 + 133.094232 x10656 + 133.094232 x10657 + 135.672554 x10658 + 135.672554 x10659 + 135.672554 x10660 + 135.672554 x10661 + 135.672554 x10662 + 135.672554 x10663 + 135.672554 x10664 + 135.672554 x10665 + 135.672554 x10666 + 135.672554 x10667 + 135.672554 x10668 + 135.672554 x10669 + 135.672554 x10670 + 135.672554 x10671 + 135.672554 x10672 + 135.672554 x10673 + 135.672554 x10674 + 135.672554 x10675 + 135.672554 x10676 + 135.672554 x10677 + 135.672554 x10678 + 135.672554 x10679 + 135.672554 x10680 + 135.672554 x10681 + 200.936728 x10682 + 200.936728 x10683 + 200.936728 x10684 + 200.936728 x10685 + 200.936728 x10686 + 200.936728 x10687 + 200.936728 x10688 + 200.936728 x10689 + 200.936728 x10690 + 200.936728 x10691 + 200.936728 x10692 + 200.936728 x10693 + 200.936728 x10694 + 200.936728 x10695 + 200.936728 x10696 + 200.936728 x10697 + 200.936728 x10698 + 200.936728 x10699 + 200.936728 x10700 + 200.936728 x10701 + 200.936728 x10702 + 200.936728 x10703 + 200.936728 x10704 + 200.936728 x10705 + 159.058965 x10706 + 159.058965 x10707 + 159.058965 x10708 + 159.058965 x10709 + 159.058965 x10710 + 159.058965 x10711 + 159.058965 x10712 + 159.058965 x10713 + 159.058965 x10714 + 159.058965 x10715 + 159.058965 x10716 + 159.058965 x10717 + 159.058965 x10718 + 159.058965 x10719 + 159.058965 x10720 + 159.058965 x10721 + 159.058965 x10722 + 159.058965 x10723 + 159.058965 x10724 + 159.058965 x10725 + 159.058965 x10726 + 159.058965 x10727 + 159.058965 x10728 + 159.058965 x10729 + 154.919332 x10730 + 154.919332 x10731 + 154.919332 x10732 + 154.919332 x10733 + 154.919332 x10734 + 154.919332 x10735 + 154.919332 x10736 + 154.919332 x10737 + 154.919332 x10738 + 154.919332 x10739 + 154.919332 x10740 + 154.919332 x10741 + 154.919332 x10742 + 154.919332 x10743 + 154.919332 x10744 + 154.919332 x10745 + 154.919332 x10746 + 154.919332 x10747 + 154.919332 x10748 + 154.919332 x10749 + 154.919332 x10750 + 154.919332 x10751 + 154.919332 x10752 + 154.919332 x10753 + 195.658435 x10754 + 195.658435 x10755 + 195.658435 x10756 + 195.658435 x10757 + 195.658435 x10758 + 195.658435 x10759 + 195.658435 x10760 + 195.658435 x10761 + 195.658435 x10762 + 195.658435 x10763 + 195.658435 x10764 + 195.658435 x10765 + 195.658435 x10766 + 195.658435 x10767 + 195.658435 x10768 + 195.658435 x10769 + 195.658435 x10770 + 195.658435 x10771 + 195.658435 x10772 + 195.658435 x10773 + 195.658435 x10774 + 195.658435 x10775 + 195.658435 x10776 + 195.658435 x10777 + 158.497575 x10778 + 158.497575 x10779 + 158.497575 x10780 + 158.497575 x10781 + 158.497575 x10782 + 158.497575 x10783 + 158.497575 x10784 + 158.497575 x10785 + 158.497575 x10786 + 158.497575 x10787 + 158.497575 x10788 + 158.497575 x10789 + 158.497575 x10790 + 158.497575 x10791 + 158.497575 x10792 + 158.497575 x10793 + 158.497575 x10794 + 158.497575 x10795 + 158.497575 x10796 + 158.497575 x10797 + 158.497575 x10798 + 158.497575 x10799 + 158.497575 x10800 + 158.497575 x10801 + 171.272331 x10802 + 171.272331 x10803 + 171.272331 x10804 + 171.272331 x10805 + 171.272331 x10806 + 171.272331 x10807 + 171.272331 x10808 + 171.272331 x10809 + 171.272331 x10810 + 171.272331 x10811 + 171.272331 x10812 + 171.272331 x10813 + 171.272331 x10814 + 171.272331 x10815 + 171.272331 x10816 + 171.272331 x10817 + 171.272331 x10818 + 171.272331 x10819 + 171.272331 x10820 + 171.272331 x10821 + 171.272331 x10822 + 171.272331 x10823 + 171.272331 x10824 + 171.272331 x10825 + 146.991787 x10826 + 146.991787 x10827 + 146.991787 x10828 + 146.991787 x10829 + 146.991787 x10830 + 146.991787 x10831 + 146.991787 x10832 + 146.991787 x10833 + 146.991787 x10834 + 146.991787 x10835 + 146.991787 x10836 + 146.991787 x10837 + 146.991787 x10838 + 146.991787 x10839 + 146.991787 x10840 + 146.991787 x10841 + 146.991787 x10842 + 146.991787 x10843 + 146.991787 x10844 + 146.991787 x10845 + 146.991787 x10846 + 146.991787 x10847 + 146.991787 x10848 + 146.991787 x10849 + 182.827015 x10850 + 182.827015 x10851 + 182.827015 x10852 + 182.827015 x10853 + 182.827015 x10854 + 182.827015 x10855 + 182.827015 x10856 + 182.827015 x10857 + 182.827015 x10858 + 182.827015 x10859 + 182.827015 x10860 + 182.827015 x10861 + 182.827015 x10862 + 182.827015 x10863 + 182.827015 x10864 + 182.827015 x10865 + 182.827015 x10866 + 182.827015 x10867 + 182.827015 x10868 + 182.827015 x10869 + 182.827015 x10870 + 182.827015 x10871 + 182.827015 x10872 + 182.827015 x10873 + 187.296137 x10874 + 187.296137 x10875 + 187.296137 x10876 + 187.296137 x10877 + 187.296137 x10878 + 187.296137 x10879 + 187.296137 x10880 + 187.296137 x10881 + 187.296137 x10882 + 187.296137 x10883 + 187.296137 x10884 + 187.296137 x10885 + 187.296137 x10886 + 187.296137 x10887 + 187.296137 x10888 + 187.296137 x10889 + 187.296137 x10890 + 187.296137 x10891 + 187.296137 x10892 + 187.296137 x10893 + 187.296137 x10894 + 187.296137 x10895 + 187.296137 x10896 + 187.296137 x10897 + 158.248814 x10898 + 158.248814 x10899 + 158.248814 x10900 + 158.248814 x10901 + 158.248814 x10902 + 158.248814 x10903 + 158.248814 x10904 + 158.248814 x10905 + 158.248814 x10906 + 158.248814 x10907 + 158.248814 x10908 + 158.248814 x10909 + 158.248814 x10910 + 158.248814 x10911 + 158.248814 x10912 + 158.248814 x10913 + 158.248814 x10914 + 158.248814 x10915 + 158.248814 x10916 + 158.248814 x10917 + 158.248814 x10918 + 158.248814 x10919 + 158.248814 x10920 + 158.248814 x10921 + 182.874701 x10922 + 182.874701 x10923 + 182.874701 x10924 + 182.874701 x10925 + 182.874701 x10926 + 182.874701 x10927 + 182.874701 x10928 + 182.874701 x10929 + 182.874701 x10930 + 182.874701 x10931 + 182.874701 x10932 + 182.874701 x10933 + 182.874701 x10934 + 182.874701 x10935 + 182.874701 x10936 + 182.874701 x10937 + 182.874701 x10938 + 182.874701 x10939 + 182.874701 x10940 + 182.874701 x10941 + 182.874701 x10942 + 182.874701 x10943 + 182.874701 x10944 + 182.874701 x10945 + 162.46364 x10946 + 162.46364 x10947 + 162.46364 x10948 + 162.46364 x10949 + 162.46364 x10950 + 162.46364 x10951 + 162.46364 x10952 + 162.46364 x10953 + 162.46364 x10954 + 162.46364 x10955 + 162.46364 x10956 + 162.46364 x10957 + 162.46364 x10958 + 162.46364 x10959 + 162.46364 x10960 + 162.46364 x10961 + 162.46364 x10962 + 162.46364 x10963 + 162.46364 x10964 + 162.46364 x10965 + 162.46364 x10966 + 162.46364 x10967 + 162.46364 x10968 + 162.46364 x10969 + 162.678379 x10970 + 162.678379 x10971 + 162.678379 x10972 + 162.678379 x10973 + 162.678379 x10974 + 162.678379 x10975 + 162.678379 x10976 + 162.678379 x10977 + 162.678379 x10978 + 162.678379 x10979 + 162.678379 x10980 + 162.678379 x10981 + 162.678379 x10982 + 162.678379 x10983 + 162.678379 x10984 + 162.678379 x10985 + 162.678379 x10986 + 162.678379 x10987 + 162.678379 x10988 + 162.678379 x10989 + 162.678379 x10990 + 162.678379 x10991 + 162.678379 x10992 + 162.678379 x10993 + 165.707568 x10994 + 165.707568 x10995 + 165.707568 x10996 + 165.707568 x10997 + 165.707568 x10998 + 165.707568 x10999 + 165.707568 x11000 + 165.707568 x11001 + 165.707568 x11002 + 165.707568 x11003 + 165.707568 x11004 + 165.707568 x11005 + 165.707568 x11006 + 165.707568 x11007 + 165.707568 x11008 + 165.707568 x11009 + 165.707568 x11010 + 165.707568 x11011 + 165.707568 x11012 + 165.707568 x11013 + 165.707568 x11014 + 165.707568 x11015 + 165.707568 x11016 + 165.707568 x11017 + 171.734123 x11018 + 171.734123 x11019 + 171.734123 x11020 + 171.734123 x11021 + 171.734123 x11022 + 171.734123 x11023 + 171.734123 x11024 + 171.734123 x11025 + 171.734123 x11026 + 171.734123 x11027 + 171.734123 x11028 + 171.734123 x11029 + 171.734123 x11030 + 171.734123 x11031 + 171.734123 x11032 + 171.734123 x11033 + 171.734123 x11034 + 171.734123 x11035 + 171.734123 x11036 + 171.734123 x11037 + 171.734123 x11038 + 171.734123 x11039 + 171.734123 x11040 + 171.734123 x11041 + 143.397275 x11042 + 143.397275 x11043 + 143.397275 x11044 + 143.397275 x11045 + 143.397275 x11046 + 143.397275 x11047 + 143.397275 x11048 + 143.397275 x11049 + 143.397275 x11050 + 143.397275 x11051 + 143.397275 x11052 + 143.397275 x11053 + 143.397275 x11054 + 143.397275 x11055 + 143.397275 x11056 + 143.397275 x11057 + 143.397275 x11058 + 143.397275 x11059 + 143.397275 x11060 + 143.397275 x11061 + 143.397275 x11062 + 143.397275 x11063 + 143.397275 x11064 + 143.397275 x11065 + 188.624708 x11066 + 188.624708 x11067 + 188.624708 x11068 + 188.624708 x11069 + 188.624708 x11070 + 188.624708 x11071 + 188.624708 x11072 + 188.624708 x11073 + 188.624708 x11074 + 188.624708 x11075 + 188.624708 x11076 + 188.624708 x11077 + 188.624708 x11078 + 188.624708 x11079 + 188.624708 x11080 + 188.624708 x11081 + 188.624708 x11082 + 188.624708 x11083 + 188.624708 x11084 + 188.624708 x11085 + 188.624708 x11086 + 188.624708 x11087 + 188.624708 x11088 + 188.624708 x11089 + 164.132949 x11090 + 164.132949 x11091 + 164.132949 x11092 + 164.132949 x11093 + 164.132949 x11094 + 164.132949 x11095 + 164.132949 x11096 + 164.132949 x11097 + 164.132949 x11098 + 164.132949 x11099 + 164.132949 x11100 + 164.132949 x11101 + 164.132949 x11102 + 164.132949 x11103 + 164.132949 x11104 + 164.132949 x11105 + 164.132949 x11106 + 164.132949 x11107 + 164.132949 x11108 + 164.132949 x11109 + 164.132949 x11110 + 164.132949 x11111 + 164.132949 x11112 + 164.132949 x11113 + 164.025046 x11114 + 164.025046 x11115 + 164.025046 x11116 + 164.025046 x11117 + 164.025046 x11118 + 164.025046 x11119 + 164.025046 x11120 + 164.025046 x11121 + 164.025046 x11122 + 164.025046 x11123 + 164.025046 x11124 + 164.025046 x11125 + 164.025046 x11126 + 164.025046 x11127 + 164.025046 x11128 + 164.025046 x11129 + 164.025046 x11130 + 164.025046 x11131 + 164.025046 x11132 + 164.025046 x11133 + 164.025046 x11134 + 164.025046 x11135 + 164.025046 x11136 + 164.025046 x11137 + 187.301205 x11138 + 187.301205 x11139 + 187.301205 x11140 + 187.301205 x11141 + 187.301205 x11142 + 187.301205 x11143 + 187.301205 x11144 + 187.301205 x11145 + 187.301205 x11146 + 187.301205 x11147 + 187.301205 x11148 + 187.301205 x11149 + 187.301205 x11150 + 187.301205 x11151 + 187.301205 x11152 + 187.301205 x11153 + 187.301205 x11154 + 187.301205 x11155 + 187.301205 x11156 + 187.301205 x11157 + 187.301205 x11158 + 187.301205 x11159 + 187.301205 x11160 + 187.301205 x11161 + 188.588356 x11162 + 188.588356 x11163 + 188.588356 x11164 + 188.588356 x11165 + 188.588356 x11166 + 188.588356 x11167 + 188.588356 x11168 + 188.588356 x11169 + 188.588356 x11170 + 188.588356 x11171 + 188.588356 x11172 + 188.588356 x11173 + 188.588356 x11174 + 188.588356 x11175 + 188.588356 x11176 + 188.588356 x11177 + 188.588356 x11178 + 188.588356 x11179 + 188.588356 x11180 + 188.588356 x11181 + 188.588356 x11182 + 188.588356 x11183 + 188.588356 x11184 + 188.588356 x11185 + 160.193724 x11186 + 160.193724 x11187 + 160.193724 x11188 + 160.193724 x11189 + 160.193724 x11190 + 160.193724 x11191 + 160.193724 x11192 + 160.193724 x11193 + 160.193724 x11194 + 160.193724 x11195 + 160.193724 x11196 + 160.193724 x11197 + 160.193724 x11198 + 160.193724 x11199 + 160.193724 x11200 + 160.193724 x11201 + 160.193724 x11202 + 160.193724 x11203 + 160.193724 x11204 + 160.193724 x11205 + 160.193724 x11206 + 160.193724 x11207 + 160.193724 x11208 + 160.193724 x11209 + 182.838719 x11210 + 182.838719 x11211 + 182.838719 x11212 + 182.838719 x11213 + 182.838719 x11214 + 182.838719 x11215 + 182.838719 x11216 + 182.838719 x11217 + 182.838719 x11218 + 182.838719 x11219 + 182.838719 x11220 + 182.838719 x11221 + 182.838719 x11222 + 182.838719 x11223 + 182.838719 x11224 + 182.838719 x11225 + 182.838719 x11226 + 182.838719 x11227 + 182.838719 x11228 + 182.838719 x11229 + 182.838719 x11230 + 182.838719 x11231 + 182.838719 x11232 + 182.838719 x11233 + 138.23284 x11234 + 138.23284 x11235 + 138.23284 x11236 + 138.23284 x11237 + 138.23284 x11238 + 138.23284 x11239 + 138.23284 x11240 + 138.23284 x11241 + 138.23284 x11242 + 138.23284 x11243 + 138.23284 x11244 + 138.23284 x11245 + 138.23284 x11246 + 138.23284 x11247 + 138.23284 x11248 + 138.23284 x11249 + 138.23284 x11250 + 138.23284 x11251 + 138.23284 x11252 + 138.23284 x11253 + 138.23284 x11254 + 138.23284 x11255 + 138.23284 x11256 + 138.23284 x11257 + 165.940262 x11258 + 165.940262 x11259 + 165.940262 x11260 + 165.940262 x11261 + 165.940262 x11262 + 165.940262 x11263 + 165.940262 x11264 + 165.940262 x11265 + 165.940262 x11266 + 165.940262 x11267 + 165.940262 x11268 + 165.940262 x11269 + 165.940262 x11270 + 165.940262 x11271 + 165.940262 x11272 + 165.940262 x11273 + 165.940262 x11274 + 165.940262 x11275 + 165.940262 x11276 + 165.940262 x11277 + 165.940262 x11278 + 165.940262 x11279 + 165.940262 x11280 + 165.940262 x11281 + 174.016736 x11282 + 174.016736 x11283 + 174.016736 x11284 + 174.016736 x11285 + 174.016736 x11286 + 174.016736 x11287 + 174.016736 x11288 + 174.016736 x11289 + 174.016736 x11290 + 174.016736 x11291 + 174.016736 x11292 + 174.016736 x11293 + 174.016736 x11294 + 174.016736 x11295 + 174.016736 x11296 + 174.016736 x11297 + 174.016736 x11298 + 174.016736 x11299 + 174.016736 x11300 + 174.016736 x11301 + 174.016736 x11302 + 174.016736 x11303 + 174.016736 x11304 + 174.016736 x11305 + 158.267697 x11306 + 158.267697 x11307 + 158.267697 x11308 + 158.267697 x11309 + 158.267697 x11310 + 158.267697 x11311 + 158.267697 x11312 + 158.267697 x11313 + 158.267697 x11314 + 158.267697 x11315 + 158.267697 x11316 + 158.267697 x11317 + 158.267697 x11318 + 158.267697 x11319 + 158.267697 x11320 + 158.267697 x11321 + 158.267697 x11322 + 158.267697 x11323 + 158.267697 x11324 + 158.267697 x11325 + 158.267697 x11326 + 158.267697 x11327 + 158.267697 x11328 + 158.267697 x11329 + 198.690375 x11330 + 198.690375 x11331 + 198.690375 x11332 + 198.690375 x11333 + 198.690375 x11334 + 198.690375 x11335 + 198.690375 x11336 + 198.690375 x11337 + 198.690375 x11338 + 198.690375 x11339 + 198.690375 x11340 + 198.690375 x11341 + 198.690375 x11342 + 198.690375 x11343 + 198.690375 x11344 + 198.690375 x11345 + 198.690375 x11346 + 198.690375 x11347 + 198.690375 x11348 + 198.690375 x11349 + 198.690375 x11350 + 198.690375 x11351 + 198.690375 x11352 + 198.690375 x11353 + 167.089578 x11354 + 167.089578 x11355 + 167.089578 x11356 + 167.089578 x11357 + 167.089578 x11358 + 167.089578 x11359 + 167.089578 x11360 + 167.089578 x11361 + 167.089578 x11362 + 167.089578 x11363 + 167.089578 x11364 + 167.089578 x11365 + 167.089578 x11366 + 167.089578 x11367 + 167.089578 x11368 + 167.089578 x11369 + 167.089578 x11370 + 167.089578 x11371 + 167.089578 x11372 + 167.089578 x11373 + 167.089578 x11374 + 167.089578 x11375 + 167.089578 x11376 + 167.089578 x11377 + 162.332373 x11378 + 162.332373 x11379 + 162.332373 x11380 + 162.332373 x11381 + 162.332373 x11382 + 162.332373 x11383 + 162.332373 x11384 + 162.332373 x11385 + 162.332373 x11386 + 162.332373 x11387 + 162.332373 x11388 + 162.332373 x11389 + 162.332373 x11390 + 162.332373 x11391 + 162.332373 x11392 + 162.332373 x11393 + 162.332373 x11394 + 162.332373 x11395 + 162.332373 x11396 + 162.332373 x11397 + 162.332373 x11398 + 162.332373 x11399 + 162.332373 x11400 + 162.332373 x11401 + 134.838073 x11402 + 134.838073 x11403 + 134.838073 x11404 + 134.838073 x11405 + 134.838073 x11406 + 134.838073 x11407 + 134.838073 x11408 + 134.838073 x11409 + 134.838073 x11410 + 134.838073 x11411 + 134.838073 x11412 + 134.838073 x11413 + 134.838073 x11414 + 134.838073 x11415 + 134.838073 x11416 + 134.838073 x11417 + 134.838073 x11418 + 134.838073 x11419 + 134.838073 x11420 + 134.838073 x11421 + 134.838073 x11422 + 134.838073 x11423 + 134.838073 x11424 + 134.838073 x11425 + 149.392938 x11426 + 149.392938 x11427 + 149.392938 x11428 + 149.392938 x11429 + 149.392938 x11430 + 149.392938 x11431 + 149.392938 x11432 + 149.392938 x11433 + 149.392938 x11434 + 149.392938 x11435 + 149.392938 x11436 + 149.392938 x11437 + 149.392938 x11438 + 149.392938 x11439 + 149.392938 x11440 + 149.392938 x11441 + 149.392938 x11442 + 149.392938 x11443 + 149.392938 x11444 + 149.392938 x11445 + 149.392938 x11446 + 149.392938 x11447 + 149.392938 x11448 + 149.392938 x11449 + 138.33019 x11450 + 138.33019 x11451 + 138.33019 x11452 + 138.33019 x11453 + 138.33019 x11454 + 138.33019 x11455 + 138.33019 x11456 + 138.33019 x11457 + 138.33019 x11458 + 138.33019 x11459 + 138.33019 x11460 + 138.33019 x11461 + 138.33019 x11462 + 138.33019 x11463 + 138.33019 x11464 + 138.33019 x11465 + 138.33019 x11466 + 138.33019 x11467 + 138.33019 x11468 + 138.33019 x11469 + 138.33019 x11470 + 138.33019 x11471 + 138.33019 x11472 + 138.33019 x11473 + 178.026442 x11474 + 178.026442 x11475 + 178.026442 x11476 + 178.026442 x11477 + 178.026442 x11478 + 178.026442 x11479 + 178.026442 x11480 + 178.026442 x11481 + 178.026442 x11482 + 178.026442 x11483 + 178.026442 x11484 + 178.026442 x11485 + 178.026442 x11486 + 178.026442 x11487 + 178.026442 x11488 + 178.026442 x11489 + 178.026442 x11490 + 178.026442 x11491 + 178.026442 x11492 + 178.026442 x11493 + 178.026442 x11494 + 178.026442 x11495 + 178.026442 x11496 + 178.026442 x11497 + 144.146266 x11498 + 144.146266 x11499 + 144.146266 x11500 + 144.146266 x11501 + 144.146266 x11502 + 144.146266 x11503 + 144.146266 x11504 + 144.146266 x11505 + 144.146266 x11506 + 144.146266 x11507 + 144.146266 x11508 + 144.146266 x11509 + 144.146266 x11510 + 144.146266 x11511 + 144.146266 x11512 + 144.146266 x11513 + 144.146266 x11514 + 144.146266 x11515 + 144.146266 x11516 + 144.146266 x11517 + 144.146266 x11518 + 144.146266 x11519 + 144.146266 x11520 + 144.146266 x11521 + 144.528651 x11522 + 144.528651 x11523 + 144.528651 x11524 + 144.528651 x11525 + 144.528651 x11526 + 144.528651 x11527 + 144.528651 x11528 + 144.528651 x11529 + 144.528651 x11530 + 144.528651 x11531 + 144.528651 x11532 + 144.528651 x11533 + 144.528651 x11534 + 144.528651 x11535 + 144.528651 x11536 + 144.528651 x11537 + 144.528651 x11538 + 144.528651 x11539 + 144.528651 x11540 + 144.528651 x11541 + 144.528651 x11542 + 144.528651 x11543 + 144.528651 x11544 + 144.528651 x11545 + 170.473469 x11546 + 170.473469 x11547 + 170.473469 x11548 + 170.473469 x11549 + 170.473469 x11550 + 170.473469 x11551 + 170.473469 x11552 + 170.473469 x11553 + 170.473469 x11554 + 170.473469 x11555 + 170.473469 x11556 + 170.473469 x11557 + 170.473469 x11558 + 170.473469 x11559 + 170.473469 x11560 + 170.473469 x11561 + 170.473469 x11562 + 170.473469 x11563 + 170.473469 x11564 + 170.473469 x11565 + 170.473469 x11566 + 170.473469 x11567 + 170.473469 x11568 + 170.473469 x11569 + 169.770014 x11570 + 169.770014 x11571 + 169.770014 x11572 + 169.770014 x11573 + 169.770014 x11574 + 169.770014 x11575 + 169.770014 x11576 + 169.770014 x11577 + 169.770014 x11578 + 169.770014 x11579 + 169.770014 x11580 + 169.770014 x11581 + 169.770014 x11582 + 169.770014 x11583 + 169.770014 x11584 + 169.770014 x11585 + 169.770014 x11586 + 169.770014 x11587 + 169.770014 x11588 + 169.770014 x11589 + 169.770014 x11590 + 169.770014 x11591 + 169.770014 x11592 + 169.770014 x11593 + 178.105175 x11594 + 178.105175 x11595 + 178.105175 x11596 + 178.105175 x11597 + 178.105175 x11598 + 178.105175 x11599 + 178.105175 x11600 + 178.105175 x11601 + 178.105175 x11602 + 178.105175 x11603 + 178.105175 x11604 + 178.105175 x11605 + 178.105175 x11606 + 178.105175 x11607 + 178.105175 x11608 + 178.105175 x11609 + 178.105175 x11610 + 178.105175 x11611 + 178.105175 x11612 + 178.105175 x11613 + 178.105175 x11614 + 178.105175 x11615 + 178.105175 x11616 + 178.105175 x11617 + 142.818067 x11618 + 142.818067 x11619 + 142.818067 x11620 + 142.818067 x11621 + 142.818067 x11622 + 142.818067 x11623 + 142.818067 x11624 + 142.818067 x11625 + 142.818067 x11626 + 142.818067 x11627 + 142.818067 x11628 + 142.818067 x11629 + 142.818067 x11630 + 142.818067 x11631 + 142.818067 x11632 + 142.818067 x11633 + 142.818067 x11634 + 142.818067 x11635 + 142.818067 x11636 + 142.818067 x11637 + 142.818067 x11638 + 142.818067 x11639 + 142.818067 x11640 + 142.818067 x11641 + 177.299518 x11642 + 177.299518 x11643 + 177.299518 x11644 + 177.299518 x11645 + 177.299518 x11646 + 177.299518 x11647 + 177.299518 x11648 + 177.299518 x11649 + 177.299518 x11650 + 177.299518 x11651 + 177.299518 x11652 + 177.299518 x11653 + 177.299518 x11654 + 177.299518 x11655 + 177.299518 x11656 + 177.299518 x11657 + 177.299518 x11658 + 177.299518 x11659 + 177.299518 x11660 + 177.299518 x11661 + 177.299518 x11662 + 177.299518 x11663 + 177.299518 x11664 + 177.299518 x11665 + 190.791616 x11666 + 190.791616 x11667 + 190.791616 x11668 + 190.791616 x11669 + 190.791616 x11670 + 190.791616 x11671 + 190.791616 x11672 + 190.791616 x11673 + 190.791616 x11674 + 190.791616 x11675 + 190.791616 x11676 + 190.791616 x11677 + 190.791616 x11678 + 190.791616 x11679 + 190.791616 x11680 + 190.791616 x11681 + 190.791616 x11682 + 190.791616 x11683 + 190.791616 x11684 + 190.791616 x11685 + 190.791616 x11686 + 190.791616 x11687 + 190.791616 x11688 + 190.791616 x11689 + 172.320611 x11690 + 172.320611 x11691 + 172.320611 x11692 + 172.320611 x11693 + 172.320611 x11694 + 172.320611 x11695 + 172.320611 x11696 + 172.320611 x11697 + 172.320611 x11698 + 172.320611 x11699 + 172.320611 x11700 + 172.320611 x11701 + 172.320611 x11702 + 172.320611 x11703 + 172.320611 x11704 + 172.320611 x11705 + 172.320611 x11706 + 172.320611 x11707 + 172.320611 x11708 + 172.320611 x11709 + 172.320611 x11710 + 172.320611 x11711 + 172.320611 x11712 + 172.320611 x11713 + 156.8921 x11714 + 156.8921 x11715 + 156.8921 x11716 + 156.8921 x11717 + 156.8921 x11718 + 156.8921 x11719 + 156.8921 x11720 + 156.8921 x11721 + 156.8921 x11722 + 156.8921 x11723 + 156.8921 x11724 + 156.8921 x11725 + 156.8921 x11726 + 156.8921 x11727 + 156.8921 x11728 + 156.8921 x11729 + 156.8921 x11730 + 156.8921 x11731 + 156.8921 x11732 + 156.8921 x11733 + 156.8921 x11734 + 156.8921 x11735 + 156.8921 x11736 + 156.8921 x11737 + 159.453126 x11738 + 159.453126 x11739 + 159.453126 x11740 + 159.453126 x11741 + 159.453126 x11742 + 159.453126 x11743 + 159.453126 x11744 + 159.453126 x11745 + 159.453126 x11746 + 159.453126 x11747 + 159.453126 x11748 + 159.453126 x11749 + 159.453126 x11750 + 159.453126 x11751 + 159.453126 x11752 + 159.453126 x11753 + 159.453126 x11754 + 159.453126 x11755 + 159.453126 x11756 + 159.453126 x11757 + 159.453126 x11758 + 159.453126 x11759 + 159.453126 x11760 + 159.453126 x11761 + 168.197607 x11762 + 168.197607 x11763 + 168.197607 x11764 + 168.197607 x11765 + 168.197607 x11766 + 168.197607 x11767 + 168.197607 x11768 + 168.197607 x11769 + 168.197607 x11770 + 168.197607 x11771 + 168.197607 x11772 + 168.197607 x11773 + 168.197607 x11774 + 168.197607 x11775 + 168.197607 x11776 + 168.197607 x11777 + 168.197607 x11778 + 168.197607 x11779 + 168.197607 x11780 + 168.197607 x11781 + 168.197607 x11782 + 168.197607 x11783 + 168.197607 x11784 + 168.197607 x11785 + 150.847093 x11786 + 150.847093 x11787 + 150.847093 x11788 + 150.847093 x11789 + 150.847093 x11790 + 150.847093 x11791 + 150.847093 x11792 + 150.847093 x11793 + 150.847093 x11794 + 150.847093 x11795 + 150.847093 x11796 + 150.847093 x11797 + 150.847093 x11798 + 150.847093 x11799 + 150.847093 x11800 + 150.847093 x11801 + 150.847093 x11802 + 150.847093 x11803 + 150.847093 x11804 + 150.847093 x11805 + 150.847093 x11806 + 150.847093 x11807 + 150.847093 x11808 + 150.847093 x11809 + 167.545398 x11810 + 167.545398 x11811 + 167.545398 x11812 + 167.545398 x11813 + 167.545398 x11814 + 167.545398 x11815 + 167.545398 x11816 + 167.545398 x11817 + 167.545398 x11818 + 167.545398 x11819 + 167.545398 x11820 + 167.545398 x11821 + 167.545398 x11822 + 167.545398 x11823 + 167.545398 x11824 + 167.545398 x11825 + 167.545398 x11826 + 167.545398 x11827 + 167.545398 x11828 + 167.545398 x11829 + 167.545398 x11830 + 167.545398 x11831 + 167.545398 x11832 + 167.545398 x11833 + 163.181336 x11834 + 163.181336 x11835 + 163.181336 x11836 + 163.181336 x11837 + 163.181336 x11838 + 163.181336 x11839 + 163.181336 x11840 + 163.181336 x11841 + 163.181336 x11842 + 163.181336 x11843 + 163.181336 x11844 + 163.181336 x11845 + 163.181336 x11846 + 163.181336 x11847 + 163.181336 x11848 + 163.181336 x11849 + 163.181336 x11850 + 163.181336 x11851 + 163.181336 x11852 + 163.181336 x11853 + 163.181336 x11854 + 163.181336 x11855 + 163.181336 x11856 + 163.181336 x11857 + 151.464128 x11858 + 151.464128 x11859 + 151.464128 x11860 + 151.464128 x11861 + 151.464128 x11862 + 151.464128 x11863 + 151.464128 x11864 + 151.464128 x11865 + 151.464128 x11866 + 151.464128 x11867 + 151.464128 x11868 + 151.464128 x11869 + 151.464128 x11870 + 151.464128 x11871 + 151.464128 x11872 + 151.464128 x11873 + 151.464128 x11874 + 151.464128 x11875 + 151.464128 x11876 + 151.464128 x11877 + 151.464128 x11878 + 151.464128 x11879 + 151.464128 x11880 + 151.464128 x11881 + 160.838821 x11882 + 160.838821 x11883 + 160.838821 x11884 + 160.838821 x11885 + 160.838821 x11886 + 160.838821 x11887 + 160.838821 x11888 + 160.838821 x11889 + 160.838821 x11890 + 160.838821 x11891 + 160.838821 x11892 + 160.838821 x11893 + 160.838821 x11894 + 160.838821 x11895 + 160.838821 x11896 + 160.838821 x11897 + 160.838821 x11898 + 160.838821 x11899 + 160.838821 x11900 + 160.838821 x11901 + 160.838821 x11902 + 160.838821 x11903 + 160.838821 x11904 + 160.838821 x11905 + 172.609607 x11906 + 172.609607 x11907 + 172.609607 x11908 + 172.609607 x11909 + 172.609607 x11910 + 172.609607 x11911 + 172.609607 x11912 + 172.609607 x11913 + 172.609607 x11914 + 172.609607 x11915 + 172.609607 x11916 + 172.609607 x11917 + 172.609607 x11918 + 172.609607 x11919 + 172.609607 x11920 + 172.609607 x11921 + 172.609607 x11922 + 172.609607 x11923 + 172.609607 x11924 + 172.609607 x11925 + 172.609607 x11926 + 172.609607 x11927 + 172.609607 x11928 + 172.609607 x11929 + 171.338933 x11930 + 171.338933 x11931 + 171.338933 x11932 + 171.338933 x11933 + 171.338933 x11934 + 171.338933 x11935 + 171.338933 x11936 + 171.338933 x11937 + 171.338933 x11938 + 171.338933 x11939 + 171.338933 x11940 + 171.338933 x11941 + 171.338933 x11942 + 171.338933 x11943 + 171.338933 x11944 + 171.338933 x11945 + 171.338933 x11946 + 171.338933 x11947 + 171.338933 x11948 + 171.338933 x11949 + 171.338933 x11950 + 171.338933 x11951 + 171.338933 x11952 + 171.338933 x11953 + 161.831711 x11954 + 161.831711 x11955 + 161.831711 x11956 + 161.831711 x11957 + 161.831711 x11958 + 161.831711 x11959 + 161.831711 x11960 + 161.831711 x11961 + 161.831711 x11962 + 161.831711 x11963 + 161.831711 x11964 + 161.831711 x11965 + 161.831711 x11966 + 161.831711 x11967 + 161.831711 x11968 + 161.831711 x11969 + 161.831711 x11970 + 161.831711 x11971 + 161.831711 x11972 + 161.831711 x11973 + 161.831711 x11974 + 161.831711 x11975 + 161.831711 x11976 + 161.831711 x11977 + 155.462229 x11978 + 155.462229 x11979 + 155.462229 x11980 + 155.462229 x11981 + 155.462229 x11982 + 155.462229 x11983 + 155.462229 x11984 + 155.462229 x11985 + 155.462229 x11986 + 155.462229 x11987 + 155.462229 x11988 + 155.462229 x11989 + 155.462229 x11990 + 155.462229 x11991 + 155.462229 x11992 + 155.462229 x11993 + 155.462229 x11994 + 155.462229 x11995 + 155.462229 x11996 + 155.462229 x11997 + 155.462229 x11998 + 155.462229 x11999 + 155.462229 x12000 + 155.462229 x12001 + 233.805837 x12002 + 233.805837 x12003 + 233.805837 x12004 + 233.805837 x12005 + 233.805837 x12006 + 233.805837 x12007 + 233.805837 x12008 + 233.805837 x12009 + 233.805837 x12010 + 233.805837 x12011 + 233.805837 x12012 + 233.805837 x12013 + 233.805837 x12014 + 233.805837 x12015 + 233.805837 x12016 + 233.805837 x12017 + 233.805837 x12018 + 233.805837 x12019 + 233.805837 x12020 + 233.805837 x12021 + 233.805837 x12022 + 233.805837 x12023 + 233.805837 x12024 + 233.805837 x12025 + 304.931222 x12026 + 304.931222 x12027 + 304.931222 x12028 + 304.931222 x12029 + 304.931222 x12030 + 304.931222 x12031 + 304.931222 x12032 + 304.931222 x12033 + 304.931222 x12034 + 304.931222 x12035 + 304.931222 x12036 + 304.931222 x12037 + 304.931222 x12038 + 304.931222 x12039 + 304.931222 x12040 + 304.931222 x12041 + 304.931222 x12042 + 304.931222 x12043 + 304.931222 x12044 + 304.931222 x12045 + 304.931222 x12046 + 304.931222 x12047 + 304.931222 x12048 + 304.931222 x12049 + 285.310761 x12050 + 285.310761 x12051 + 285.310761 x12052 + 285.310761 x12053 + 285.310761 x12054 + 285.310761 x12055 + 285.310761 x12056 + 285.310761 x12057 + 285.310761 x12058 + 285.310761 x12059 + 285.310761 x12060 + 285.310761 x12061 + 285.310761 x12062 + 285.310761 x12063 + 285.310761 x12064 + 285.310761 x12065 + 285.310761 x12066 + 285.310761 x12067 + 285.310761 x12068 + 285.310761 x12069 + 285.310761 x12070 + 285.310761 x12071 + 285.310761 x12072 + 285.310761 x12073 + 273.522039 x12074 + 273.522039 x12075 + 273.522039 x12076 + 273.522039 x12077 + 273.522039 x12078 + 273.522039 x12079 + 273.522039 x12080 + 273.522039 x12081 + 273.522039 x12082 + 273.522039 x12083 + 273.522039 x12084 + 273.522039 x12085 + 273.522039 x12086 + 273.522039 x12087 + 273.522039 x12088 + 273.522039 x12089 + 273.522039 x12090 + 273.522039 x12091 + 273.522039 x12092 + 273.522039 x12093 + 273.522039 x12094 + 273.522039 x12095 + 273.522039 x12096 + 273.522039 x12097 + 296.019296 x12098 + 296.019296 x12099 + 296.019296 x12100 + 296.019296 x12101 + 296.019296 x12102 + 296.019296 x12103 + 296.019296 x12104 + 296.019296 x12105 + 296.019296 x12106 + 296.019296 x12107 + 296.019296 x12108 + 296.019296 x12109 + 296.019296 x12110 + 296.019296 x12111 + 296.019296 x12112 + 296.019296 x12113 + 296.019296 x12114 + 296.019296 x12115 + 296.019296 x12116 + 296.019296 x12117 + 296.019296 x12118 + 296.019296 x12119 + 296.019296 x12120 + 296.019296 x12121 + 277.431355 x12122 + 277.431355 x12123 + 277.431355 x12124 + 277.431355 x12125 + 277.431355 x12126 + 277.431355 x12127 + 277.431355 x12128 + 277.431355 x12129 + 277.431355 x12130 + 277.431355 x12131 + 277.431355 x12132 + 277.431355 x12133 + 277.431355 x12134 + 277.431355 x12135 + 277.431355 x12136 + 277.431355 x12137 + 277.431355 x12138 + 277.431355 x12139 + 277.431355 x12140 + 277.431355 x12141 + 277.431355 x12142 + 277.431355 x12143 + 277.431355 x12144 + 277.431355 x12145 + 272.688236 x12146 + 272.688236 x12147 + 272.688236 x12148 + 272.688236 x12149 + 272.688236 x12150 + 272.688236 x12151 + 272.688236 x12152 + 272.688236 x12153 + 272.688236 x12154 + 272.688236 x12155 + 272.688236 x12156 + 272.688236 x12157 + 272.688236 x12158 + 272.688236 x12159 + 272.688236 x12160 + 272.688236 x12161 + 272.688236 x12162 + 272.688236 x12163 + 272.688236 x12164 + 272.688236 x12165 + 272.688236 x12166 + 272.688236 x12167 + 272.688236 x12168 + 272.688236 x12169 + 301.091353 x12170 + 301.091353 x12171 + 301.091353 x12172 + 301.091353 x12173 + 301.091353 x12174 + 301.091353 x12175 + 301.091353 x12176 + 301.091353 x12177 + 301.091353 x12178 + 301.091353 x12179 + 301.091353 x12180 + 301.091353 x12181 + 301.091353 x12182 + 301.091353 x12183 + 301.091353 x12184 + 301.091353 x12185 + 301.091353 x12186 + 301.091353 x12187 + 301.091353 x12188 + 301.091353 x12189 + 301.091353 x12190 + 301.091353 x12191 + 301.091353 x12192 + 301.091353 x12193 + 256.946053 x12194 + 256.946053 x12195 + 256.946053 x12196 + 256.946053 x12197 + 256.946053 x12198 + 256.946053 x12199 + 256.946053 x12200 + 256.946053 x12201 + 256.946053 x12202 + 256.946053 x12203 + 256.946053 x12204 + 256.946053 x12205 + 256.946053 x12206 + 256.946053 x12207 + 256.946053 x12208 + 256.946053 x12209 + 256.946053 x12210 + 256.946053 x12211 + 256.946053 x12212 + 256.946053 x12213 + 256.946053 x12214 + 256.946053 x12215 + 256.946053 x12216 + 256.946053 x12217 + 294.842143 x12218 + 294.842143 x12219 + 294.842143 x12220 + 294.842143 x12221 + 294.842143 x12222 + 294.842143 x12223 + 294.842143 x12224 + 294.842143 x12225 + 294.842143 x12226 + 294.842143 x12227 + 294.842143 x12228 + 294.842143 x12229 + 294.842143 x12230 + 294.842143 x12231 + 294.842143 x12232 + 294.842143 x12233 + 294.842143 x12234 + 294.842143 x12235 + 294.842143 x12236 + 294.842143 x12237 + 294.842143 x12238 + 294.842143 x12239 + 294.842143 x12240 + 294.842143 x12241 + 265.178036 x12242 + 265.178036 x12243 + 265.178036 x12244 + 265.178036 x12245 + 265.178036 x12246 + 265.178036 x12247 + 265.178036 x12248 + 265.178036 x12249 + 265.178036 x12250 + 265.178036 x12251 + 265.178036 x12252 + 265.178036 x12253 + 265.178036 x12254 + 265.178036 x12255 + 265.178036 x12256 + 265.178036 x12257 + 265.178036 x12258 + 265.178036 x12259 + 265.178036 x12260 + 265.178036 x12261 + 265.178036 x12262 + 265.178036 x12263 + 265.178036 x12264 + 265.178036 x12265 + 323.52951 x12266 + 323.52951 x12267 + 323.52951 x12268 + 323.52951 x12269 + 323.52951 x12270 + 323.52951 x12271 + 323.52951 x12272 + 323.52951 x12273 + 323.52951 x12274 + 323.52951 x12275 + 323.52951 x12276 + 323.52951 x12277 + 323.52951 x12278 + 323.52951 x12279 + 323.52951 x12280 + 323.52951 x12281 + 323.52951 x12282 + 323.52951 x12283 + 323.52951 x12284 + 323.52951 x12285 + 323.52951 x12286 + 323.52951 x12287 + 323.52951 x12288 + 323.52951 x12289 + 258.732778 x12290 + 258.732778 x12291 + 258.732778 x12292 + 258.732778 x12293 + 258.732778 x12294 + 258.732778 x12295 + 258.732778 x12296 + 258.732778 x12297 + 258.732778 x12298 + 258.732778 x12299 + 258.732778 x12300 + 258.732778 x12301 + 258.732778 x12302 + 258.732778 x12303 + 258.732778 x12304 + 258.732778 x12305 + 258.732778 x12306 + 258.732778 x12307 + 258.732778 x12308 + 258.732778 x12309 + 258.732778 x12310 + 258.732778 x12311 + 258.732778 x12312 + 258.732778 x12313 + 284.657855 x12314 + 284.657855 x12315 + 284.657855 x12316 + 284.657855 x12317 + 284.657855 x12318 + 284.657855 x12319 + 284.657855 x12320 + 284.657855 x12321 + 284.657855 x12322 + 284.657855 x12323 + 284.657855 x12324 + 284.657855 x12325 + 284.657855 x12326 + 284.657855 x12327 + 284.657855 x12328 + 284.657855 x12329 + 284.657855 x12330 + 284.657855 x12331 + 284.657855 x12332 + 284.657855 x12333 + 284.657855 x12334 + 284.657855 x12335 + 284.657855 x12336 + 284.657855 x12337 + 253.055558 x12338 + 253.055558 x12339 + 253.055558 x12340 + 253.055558 x12341 + 253.055558 x12342 + 253.055558 x12343 + 253.055558 x12344 + 253.055558 x12345 + 253.055558 x12346 + 253.055558 x12347 + 253.055558 x12348 + 253.055558 x12349 + 253.055558 x12350 + 253.055558 x12351 + 253.055558 x12352 + 253.055558 x12353 + 253.055558 x12354 + 253.055558 x12355 + 253.055558 x12356 + 253.055558 x12357 + 253.055558 x12358 + 253.055558 x12359 + 253.055558 x12360 + 253.055558 x12361 + 255.194803 x12362 + 255.194803 x12363 + 255.194803 x12364 + 255.194803 x12365 + 255.194803 x12366 + 255.194803 x12367 + 255.194803 x12368 + 255.194803 x12369 + 255.194803 x12370 + 255.194803 x12371 + 255.194803 x12372 + 255.194803 x12373 + 255.194803 x12374 + 255.194803 x12375 + 255.194803 x12376 + 255.194803 x12377 + 255.194803 x12378 + 255.194803 x12379 + 255.194803 x12380 + 255.194803 x12381 + 255.194803 x12382 + 255.194803 x12383 + 255.194803 x12384 + 255.194803 x12385 + 285.852038 x12386 + 285.852038 x12387 + 285.852038 x12388 + 285.852038 x12389 + 285.852038 x12390 + 285.852038 x12391 + 285.852038 x12392 + 285.852038 x12393 + 285.852038 x12394 + 285.852038 x12395 + 285.852038 x12396 + 285.852038 x12397 + 285.852038 x12398 + 285.852038 x12399 + 285.852038 x12400 + 285.852038 x12401 + 285.852038 x12402 + 285.852038 x12403 + 285.852038 x12404 + 285.852038 x12405 + 285.852038 x12406 + 285.852038 x12407 + 285.852038 x12408 + 285.852038 x12409 + 235.312747 x12410 + 235.312747 x12411 + 235.312747 x12412 + 235.312747 x12413 + 235.312747 x12414 + 235.312747 x12415 + 235.312747 x12416 + 235.312747 x12417 + 235.312747 x12418 + 235.312747 x12419 + 235.312747 x12420 + 235.312747 x12421 + 235.312747 x12422 + 235.312747 x12423 + 235.312747 x12424 + 235.312747 x12425 + 235.312747 x12426 + 235.312747 x12427 + 235.312747 x12428 + 235.312747 x12429 + 235.312747 x12430 + 235.312747 x12431 + 235.312747 x12432 + 235.312747 x12433 + 317.750876 x12434 + 317.750876 x12435 + 317.750876 x12436 + 317.750876 x12437 + 317.750876 x12438 + 317.750876 x12439 + 317.750876 x12440 + 317.750876 x12441 + 317.750876 x12442 + 317.750876 x12443 + 317.750876 x12444 + 317.750876 x12445 + 317.750876 x12446 + 317.750876 x12447 + 317.750876 x12448 + 317.750876 x12449 + 317.750876 x12450 + 317.750876 x12451 + 317.750876 x12452 + 317.750876 x12453 + 317.750876 x12454 + 317.750876 x12455 + 317.750876 x12456 + 317.750876 x12457 + 278.798077 x12458 + 278.798077 x12459 + 278.798077 x12460 + 278.798077 x12461 + 278.798077 x12462 + 278.798077 x12463 + 278.798077 x12464 + 278.798077 x12465 + 278.798077 x12466 + 278.798077 x12467 + 278.798077 x12468 + 278.798077 x12469 + 278.798077 x12470 + 278.798077 x12471 + 278.798077 x12472 + 278.798077 x12473 + 278.798077 x12474 + 278.798077 x12475 + 278.798077 x12476 + 278.798077 x12477 + 278.798077 x12478 + 278.798077 x12479 + 278.798077 x12480 + 278.798077 x12481 + 239.868413 x12482 + 239.868413 x12483 + 239.868413 x12484 + 239.868413 x12485 + 239.868413 x12486 + 239.868413 x12487 + 239.868413 x12488 + 239.868413 x12489 + 239.868413 x12490 + 239.868413 x12491 + 239.868413 x12492 + 239.868413 x12493 + 239.868413 x12494 + 239.868413 x12495 + 239.868413 x12496 + 239.868413 x12497 + 239.868413 x12498 + 239.868413 x12499 + 239.868413 x12500 + 239.868413 x12501 + 239.868413 x12502 + 239.868413 x12503 + 239.868413 x12504 + 239.868413 x12505 + 257.268154 x12506 + 257.268154 x12507 + 257.268154 x12508 + 257.268154 x12509 + 257.268154 x12510 + 257.268154 x12511 + 257.268154 x12512 + 257.268154 x12513 + 257.268154 x12514 + 257.268154 x12515 + 257.268154 x12516 + 257.268154 x12517 + 257.268154 x12518 + 257.268154 x12519 + 257.268154 x12520 + 257.268154 x12521 + 257.268154 x12522 + 257.268154 x12523 + 257.268154 x12524 + 257.268154 x12525 + 257.268154 x12526 + 257.268154 x12527 + 257.268154 x12528 + 257.268154 x12529 + 246.729932 x12530 + 246.729932 x12531 + 246.729932 x12532 + 246.729932 x12533 + 246.729932 x12534 + 246.729932 x12535 + 246.729932 x12536 + 246.729932 x12537 + 246.729932 x12538 + 246.729932 x12539 + 246.729932 x12540 + 246.729932 x12541 + 246.729932 x12542 + 246.729932 x12543 + 246.729932 x12544 + 246.729932 x12545 + 246.729932 x12546 + 246.729932 x12547 + 246.729932 x12548 + 246.729932 x12549 + 246.729932 x12550 + 246.729932 x12551 + 246.729932 x12552 + 246.729932 x12553 + 253.337143 x12554 + 253.337143 x12555 + 253.337143 x12556 + 253.337143 x12557 + 253.337143 x12558 + 253.337143 x12559 + 253.337143 x12560 + 253.337143 x12561 + 253.337143 x12562 + 253.337143 x12563 + 253.337143 x12564 + 253.337143 x12565 + 253.337143 x12566 + 253.337143 x12567 + 253.337143 x12568 + 253.337143 x12569 + 253.337143 x12570 + 253.337143 x12571 + 253.337143 x12572 + 253.337143 x12573 + 253.337143 x12574 + 253.337143 x12575 + 253.337143 x12576 + 253.337143 x12577 + 248.678316 x12578 + 248.678316 x12579 + 248.678316 x12580 + 248.678316 x12581 + 248.678316 x12582 + 248.678316 x12583 + 248.678316 x12584 + 248.678316 x12585 + 248.678316 x12586 + 248.678316 x12587 + 248.678316 x12588 + 248.678316 x12589 + 248.678316 x12590 + 248.678316 x12591 + 248.678316 x12592 + 248.678316 x12593 + 248.678316 x12594 + 248.678316 x12595 + 248.678316 x12596 + 248.678316 x12597 + 248.678316 x12598 + 248.678316 x12599 + 248.678316 x12600 + 248.678316 x12601 + 272.838102 x12602 + 272.838102 x12603 + 272.838102 x12604 + 272.838102 x12605 + 272.838102 x12606 + 272.838102 x12607 + 272.838102 x12608 + 272.838102 x12609 + 272.838102 x12610 + 272.838102 x12611 + 272.838102 x12612 + 272.838102 x12613 + 272.838102 x12614 + 272.838102 x12615 + 272.838102 x12616 + 272.838102 x12617 + 272.838102 x12618 + 272.838102 x12619 + 272.838102 x12620 + 272.838102 x12621 + 272.838102 x12622 + 272.838102 x12623 + 272.838102 x12624 + 272.838102 x12625 + 300.437356 x12626 + 300.437356 x12627 + 300.437356 x12628 + 300.437356 x12629 + 300.437356 x12630 + 300.437356 x12631 + 300.437356 x12632 + 300.437356 x12633 + 300.437356 x12634 + 300.437356 x12635 + 300.437356 x12636 + 300.437356 x12637 + 300.437356 x12638 + 300.437356 x12639 + 300.437356 x12640 + 300.437356 x12641 + 300.437356 x12642 + 300.437356 x12643 + 300.437356 x12644 + 300.437356 x12645 + 300.437356 x12646 + 300.437356 x12647 + 300.437356 x12648 + 300.437356 x12649 + 301.030286 x12650 + 301.030286 x12651 + 301.030286 x12652 + 301.030286 x12653 + 301.030286 x12654 + 301.030286 x12655 + 301.030286 x12656 + 301.030286 x12657 + 301.030286 x12658 + 301.030286 x12659 + 301.030286 x12660 + 301.030286 x12661 + 301.030286 x12662 + 301.030286 x12663 + 301.030286 x12664 + 301.030286 x12665 + 301.030286 x12666 + 301.030286 x12667 + 301.030286 x12668 + 301.030286 x12669 + 301.030286 x12670 + 301.030286 x12671 + 301.030286 x12672 + 301.030286 x12673 + 257.0305 x12674 + 257.0305 x12675 + 257.0305 x12676 + 257.0305 x12677 + 257.0305 x12678 + 257.0305 x12679 + 257.0305 x12680 + 257.0305 x12681 + 257.0305 x12682 + 257.0305 x12683 + 257.0305 x12684 + 257.0305 x12685 + 257.0305 x12686 + 257.0305 x12687 + 257.0305 x12688 + 257.0305 x12689 + 257.0305 x12690 + 257.0305 x12691 + 257.0305 x12692 + 257.0305 x12693 + 257.0305 x12694 + 257.0305 x12695 + 257.0305 x12696 + 257.0305 x12697 + 273.014596 x12698 + 273.014596 x12699 + 273.014596 x12700 + 273.014596 x12701 + 273.014596 x12702 + 273.014596 x12703 + 273.014596 x12704 + 273.014596 x12705 + 273.014596 x12706 + 273.014596 x12707 + 273.014596 x12708 + 273.014596 x12709 + 273.014596 x12710 + 273.014596 x12711 + 273.014596 x12712 + 273.014596 x12713 + 273.014596 x12714 + 273.014596 x12715 + 273.014596 x12716 + 273.014596 x12717 + 273.014596 x12718 + 273.014596 x12719 + 273.014596 x12720 + 273.014596 x12721 + 243.493316 x12722 + 243.493316 x12723 + 243.493316 x12724 + 243.493316 x12725 + 243.493316 x12726 + 243.493316 x12727 + 243.493316 x12728 + 243.493316 x12729 + 243.493316 x12730 + 243.493316 x12731 + 243.493316 x12732 + 243.493316 x12733 + 243.493316 x12734 + 243.493316 x12735 + 243.493316 x12736 + 243.493316 x12737 + 243.493316 x12738 + 243.493316 x12739 + 243.493316 x12740 + 243.493316 x12741 + 243.493316 x12742 + 243.493316 x12743 + 243.493316 x12744 + 243.493316 x12745 + 331.566862 x12746 + 331.566862 x12747 + 331.566862 x12748 + 331.566862 x12749 + 331.566862 x12750 + 331.566862 x12751 + 331.566862 x12752 + 331.566862 x12753 + 331.566862 x12754 + 331.566862 x12755 + 331.566862 x12756 + 331.566862 x12757 + 331.566862 x12758 + 331.566862 x12759 + 331.566862 x12760 + 331.566862 x12761 + 331.566862 x12762 + 331.566862 x12763 + 331.566862 x12764 + 331.566862 x12765 + 331.566862 x12766 + 331.566862 x12767 + 331.566862 x12768 + 331.566862 x12769 + 277.819561 x12770 + 277.819561 x12771 + 277.819561 x12772 + 277.819561 x12773 + 277.819561 x12774 + 277.819561 x12775 + 277.819561 x12776 + 277.819561 x12777 + 277.819561 x12778 + 277.819561 x12779 + 277.819561 x12780 + 277.819561 x12781 + 277.819561 x12782 + 277.819561 x12783 + 277.819561 x12784 + 277.819561 x12785 + 277.819561 x12786 + 277.819561 x12787 + 277.819561 x12788 + 277.819561 x12789 + 277.819561 x12790 + 277.819561 x12791 + 277.819561 x12792 + 277.819561 x12793 + 229.125933 x12794 + 229.125933 x12795 + 229.125933 x12796 + 229.125933 x12797 + 229.125933 x12798 + 229.125933 x12799 + 229.125933 x12800 + 229.125933 x12801 + 229.125933 x12802 + 229.125933 x12803 + 229.125933 x12804 + 229.125933 x12805 + 229.125933 x12806 + 229.125933 x12807 + 229.125933 x12808 + 229.125933 x12809 + 229.125933 x12810 + 229.125933 x12811 + 229.125933 x12812 + 229.125933 x12813 + 229.125933 x12814 + 229.125933 x12815 + 229.125933 x12816 + 229.125933 x12817 + 258.734792 x12818 + 258.734792 x12819 + 258.734792 x12820 + 258.734792 x12821 + 258.734792 x12822 + 258.734792 x12823 + 258.734792 x12824 + 258.734792 x12825 + 258.734792 x12826 + 258.734792 x12827 + 258.734792 x12828 + 258.734792 x12829 + 258.734792 x12830 + 258.734792 x12831 + 258.734792 x12832 + 258.734792 x12833 + 258.734792 x12834 + 258.734792 x12835 + 258.734792 x12836 + 258.734792 x12837 + 258.734792 x12838 + 258.734792 x12839 + 258.734792 x12840 + 258.734792 x12841 + 235.613968 x12842 + 235.613968 x12843 + 235.613968 x12844 + 235.613968 x12845 + 235.613968 x12846 + 235.613968 x12847 + 235.613968 x12848 + 235.613968 x12849 + 235.613968 x12850 + 235.613968 x12851 + 235.613968 x12852 + 235.613968 x12853 + 235.613968 x12854 + 235.613968 x12855 + 235.613968 x12856 + 235.613968 x12857 + 235.613968 x12858 + 235.613968 x12859 + 235.613968 x12860 + 235.613968 x12861 + 235.613968 x12862 + 235.613968 x12863 + 235.613968 x12864 + 235.613968 x12865 + 305.800624 x12866 + 305.800624 x12867 + 305.800624 x12868 + 305.800624 x12869 + 305.800624 x12870 + 305.800624 x12871 + 305.800624 x12872 + 305.800624 x12873 + 305.800624 x12874 + 305.800624 x12875 + 305.800624 x12876 + 305.800624 x12877 + 305.800624 x12878 + 305.800624 x12879 + 305.800624 x12880 + 305.800624 x12881 + 305.800624 x12882 + 305.800624 x12883 + 305.800624 x12884 + 305.800624 x12885 + 305.800624 x12886 + 305.800624 x12887 + 305.800624 x12888 + 305.800624 x12889 + 289.262653 x12890 + 289.262653 x12891 + 289.262653 x12892 + 289.262653 x12893 + 289.262653 x12894 + 289.262653 x12895 + 289.262653 x12896 + 289.262653 x12897 + 289.262653 x12898 + 289.262653 x12899 + 289.262653 x12900 + 289.262653 x12901 + 289.262653 x12902 + 289.262653 x12903 + 289.262653 x12904 + 289.262653 x12905 + 289.262653 x12906 + 289.262653 x12907 + 289.262653 x12908 + 289.262653 x12909 + 289.262653 x12910 + 289.262653 x12911 + 289.262653 x12912 + 289.262653 x12913 + 310.64562 x12914 + 310.64562 x12915 + 310.64562 x12916 + 310.64562 x12917 + 310.64562 x12918 + 310.64562 x12919 + 310.64562 x12920 + 310.64562 x12921 + 310.64562 x12922 + 310.64562 x12923 + 310.64562 x12924 + 310.64562 x12925 + 310.64562 x12926 + 310.64562 x12927 + 310.64562 x12928 + 310.64562 x12929 + 310.64562 x12930 + 310.64562 x12931 + 310.64562 x12932 + 310.64562 x12933 + 310.64562 x12934 + 310.64562 x12935 + 310.64562 x12936 + 310.64562 x12937 + 304.412495 x12938 + 304.412495 x12939 + 304.412495 x12940 + 304.412495 x12941 + 304.412495 x12942 + 304.412495 x12943 + 304.412495 x12944 + 304.412495 x12945 + 304.412495 x12946 + 304.412495 x12947 + 304.412495 x12948 + 304.412495 x12949 + 304.412495 x12950 + 304.412495 x12951 + 304.412495 x12952 + 304.412495 x12953 + 304.412495 x12954 + 304.412495 x12955 + 304.412495 x12956 + 304.412495 x12957 + 304.412495 x12958 + 304.412495 x12959 + 304.412495 x12960 + 304.412495 x12961 + 238.307127 x12962 + 238.307127 x12963 + 238.307127 x12964 + 238.307127 x12965 + 238.307127 x12966 + 238.307127 x12967 + 238.307127 x12968 + 238.307127 x12969 + 238.307127 x12970 + 238.307127 x12971 + 238.307127 x12972 + 238.307127 x12973 + 238.307127 x12974 + 238.307127 x12975 + 238.307127 x12976 + 238.307127 x12977 + 238.307127 x12978 + 238.307127 x12979 + 238.307127 x12980 + 238.307127 x12981 + 238.307127 x12982 + 238.307127 x12983 + 238.307127 x12984 + 238.307127 x12985 + 280.549244 x12986 + 280.549244 x12987 + 280.549244 x12988 + 280.549244 x12989 + 280.549244 x12990 + 280.549244 x12991 + 280.549244 x12992 + 280.549244 x12993 + 280.549244 x12994 + 280.549244 x12995 + 280.549244 x12996 + 280.549244 x12997 + 280.549244 x12998 + 280.549244 x12999 + 280.549244 x13000 + 280.549244 x13001 + 280.549244 x13002 + 280.549244 x13003 + 280.549244 x13004 + 280.549244 x13005 + 280.549244 x13006 + 280.549244 x13007 + 280.549244 x13008 + 280.549244 x13009 + 256.095989 x13010 + 256.095989 x13011 + 256.095989 x13012 + 256.095989 x13013 + 256.095989 x13014 + 256.095989 x13015 + 256.095989 x13016 + 256.095989 x13017 + 256.095989 x13018 + 256.095989 x13019 + 256.095989 x13020 + 256.095989 x13021 + 256.095989 x13022 + 256.095989 x13023 + 256.095989 x13024 + 256.095989 x13025 + 256.095989 x13026 + 256.095989 x13027 + 256.095989 x13028 + 256.095989 x13029 + 256.095989 x13030 + 256.095989 x13031 + 256.095989 x13032 + 256.095989 x13033 + 267.559444 x13034 + 267.559444 x13035 + 267.559444 x13036 + 267.559444 x13037 + 267.559444 x13038 + 267.559444 x13039 + 267.559444 x13040 + 267.559444 x13041 + 267.559444 x13042 + 267.559444 x13043 + 267.559444 x13044 + 267.559444 x13045 + 267.559444 x13046 + 267.559444 x13047 + 267.559444 x13048 + 267.559444 x13049 + 267.559444 x13050 + 267.559444 x13051 + 267.559444 x13052 + 267.559444 x13053 + 267.559444 x13054 + 267.559444 x13055 + 267.559444 x13056 + 267.559444 x13057 + 241.364957 x13058 + 241.364957 x13059 + 241.364957 x13060 + 241.364957 x13061 + 241.364957 x13062 + 241.364957 x13063 + 241.364957 x13064 + 241.364957 x13065 + 241.364957 x13066 + 241.364957 x13067 + 241.364957 x13068 + 241.364957 x13069 + 241.364957 x13070 + 241.364957 x13071 + 241.364957 x13072 + 241.364957 x13073 + 241.364957 x13074 + 241.364957 x13075 + 241.364957 x13076 + 241.364957 x13077 + 241.364957 x13078 + 241.364957 x13079 + 241.364957 x13080 + 241.364957 x13081 + 278.061001 x13082 + 278.061001 x13083 + 278.061001 x13084 + 278.061001 x13085 + 278.061001 x13086 + 278.061001 x13087 + 278.061001 x13088 + 278.061001 x13089 + 278.061001 x13090 + 278.061001 x13091 + 278.061001 x13092 + 278.061001 x13093 + 278.061001 x13094 + 278.061001 x13095 + 278.061001 x13096 + 278.061001 x13097 + 278.061001 x13098 + 278.061001 x13099 + 278.061001 x13100 + 278.061001 x13101 + 278.061001 x13102 + 278.061001 x13103 + 278.061001 x13104 + 278.061001 x13105 + 257.664093 x13106 + 257.664093 x13107 + 257.664093 x13108 + 257.664093 x13109 + 257.664093 x13110 + 257.664093 x13111 + 257.664093 x13112 + 257.664093 x13113 + 257.664093 x13114 + 257.664093 x13115 + 257.664093 x13116 + 257.664093 x13117 + 257.664093 x13118 + 257.664093 x13119 + 257.664093 x13120 + 257.664093 x13121 + 257.664093 x13122 + 257.664093 x13123 + 257.664093 x13124 + 257.664093 x13125 + 257.664093 x13126 + 257.664093 x13127 + 257.664093 x13128 + 257.664093 x13129 + 240.587779 x13130 + 240.587779 x13131 + 240.587779 x13132 + 240.587779 x13133 + 240.587779 x13134 + 240.587779 x13135 + 240.587779 x13136 + 240.587779 x13137 + 240.587779 x13138 + 240.587779 x13139 + 240.587779 x13140 + 240.587779 x13141 + 240.587779 x13142 + 240.587779 x13143 + 240.587779 x13144 + 240.587779 x13145 + 240.587779 x13146 + 240.587779 x13147 + 240.587779 x13148 + 240.587779 x13149 + 240.587779 x13150 + 240.587779 x13151 + 240.587779 x13152 + 240.587779 x13153 + 244.314438 x13154 + 244.314438 x13155 + 244.314438 x13156 + 244.314438 x13157 + 244.314438 x13158 + 244.314438 x13159 + 244.314438 x13160 + 244.314438 x13161 + 244.314438 x13162 + 244.314438 x13163 + 244.314438 x13164 + 244.314438 x13165 + 244.314438 x13166 + 244.314438 x13167 + 244.314438 x13168 + 244.314438 x13169 + 244.314438 x13170 + 244.314438 x13171 + 244.314438 x13172 + 244.314438 x13173 + 244.314438 x13174 + 244.314438 x13175 + 244.314438 x13176 + 244.314438 x13177 + 333.597458 x13178 + 333.597458 x13179 + 333.597458 x13180 + 333.597458 x13181 + 333.597458 x13182 + 333.597458 x13183 + 333.597458 x13184 + 333.597458 x13185 + 333.597458 x13186 + 333.597458 x13187 + 333.597458 x13188 + 333.597458 x13189 + 333.597458 x13190 + 333.597458 x13191 + 333.597458 x13192 + 333.597458 x13193 + 333.597458 x13194 + 333.597458 x13195 + 333.597458 x13196 + 333.597458 x13197 + 333.597458 x13198 + 333.597458 x13199 + 333.597458 x13200 + 333.597458 x13201 + 323.843523 x13202 + 323.843523 x13203 + 323.843523 x13204 + 323.843523 x13205 + 323.843523 x13206 + 323.843523 x13207 + 323.843523 x13208 + 323.843523 x13209 + 323.843523 x13210 + 323.843523 x13211 + 323.843523 x13212 + 323.843523 x13213 + 323.843523 x13214 + 323.843523 x13215 + 323.843523 x13216 + 323.843523 x13217 + 323.843523 x13218 + 323.843523 x13219 + 323.843523 x13220 + 323.843523 x13221 + 323.843523 x13222 + 323.843523 x13223 + 323.843523 x13224 + 323.843523 x13225 + 288.753493 x13226 + 288.753493 x13227 + 288.753493 x13228 + 288.753493 x13229 + 288.753493 x13230 + 288.753493 x13231 + 288.753493 x13232 + 288.753493 x13233 + 288.753493 x13234 + 288.753493 x13235 + 288.753493 x13236 + 288.753493 x13237 + 288.753493 x13238 + 288.753493 x13239 + 288.753493 x13240 + 288.753493 x13241 + 288.753493 x13242 + 288.753493 x13243 + 288.753493 x13244 + 288.753493 x13245 + 288.753493 x13246 + 288.753493 x13247 + 288.753493 x13248 + 288.753493 x13249 + 336.480339 x13250 + 336.480339 x13251 + 336.480339 x13252 + 336.480339 x13253 + 336.480339 x13254 + 336.480339 x13255 + 336.480339 x13256 + 336.480339 x13257 + 336.480339 x13258 + 336.480339 x13259 + 336.480339 x13260 + 336.480339 x13261 + 336.480339 x13262 + 336.480339 x13263 + 336.480339 x13264 + 336.480339 x13265 + 336.480339 x13266 + 336.480339 x13267 + 336.480339 x13268 + 336.480339 x13269 + 336.480339 x13270 + 336.480339 x13271 + 336.480339 x13272 + 336.480339 x13273 + 274.081338 x13274 + 274.081338 x13275 + 274.081338 x13276 + 274.081338 x13277 + 274.081338 x13278 + 274.081338 x13279 + 274.081338 x13280 + 274.081338 x13281 + 274.081338 x13282 + 274.081338 x13283 + 274.081338 x13284 + 274.081338 x13285 + 274.081338 x13286 + 274.081338 x13287 + 274.081338 x13288 + 274.081338 x13289 + 274.081338 x13290 + 274.081338 x13291 + 274.081338 x13292 + 274.081338 x13293 + 274.081338 x13294 + 274.081338 x13295 + 274.081338 x13296 + 274.081338 x13297 + 265.518922 x13298 + 265.518922 x13299 + 265.518922 x13300 + 265.518922 x13301 + 265.518922 x13302 + 265.518922 x13303 + 265.518922 x13304 + 265.518922 x13305 + 265.518922 x13306 + 265.518922 x13307 + 265.518922 x13308 + 265.518922 x13309 + 265.518922 x13310 + 265.518922 x13311 + 265.518922 x13312 + 265.518922 x13313 + 265.518922 x13314 + 265.518922 x13315 + 265.518922 x13316 + 265.518922 x13317 + 265.518922 x13318 + 265.518922 x13319 + 265.518922 x13320 + 265.518922 x13321 + 265.205361 x13322 + 265.205361 x13323 + 265.205361 x13324 + 265.205361 x13325 + 265.205361 x13326 + 265.205361 x13327 + 265.205361 x13328 + 265.205361 x13329 + 265.205361 x13330 + 265.205361 x13331 + 265.205361 x13332 + 265.205361 x13333 + 265.205361 x13334 + 265.205361 x13335 + 265.205361 x13336 + 265.205361 x13337 + 265.205361 x13338 + 265.205361 x13339 + 265.205361 x13340 + 265.205361 x13341 + 265.205361 x13342 + 265.205361 x13343 + 265.205361 x13344 + 265.205361 x13345 + 235.322588 x13346 + 235.322588 x13347 + 235.322588 x13348 + 235.322588 x13349 + 235.322588 x13350 + 235.322588 x13351 + 235.322588 x13352 + 235.322588 x13353 + 235.322588 x13354 + 235.322588 x13355 + 235.322588 x13356 + 235.322588 x13357 + 235.322588 x13358 + 235.322588 x13359 + 235.322588 x13360 + 235.322588 x13361 + 235.322588 x13362 + 235.322588 x13363 + 235.322588 x13364 + 235.322588 x13365 + 235.322588 x13366 + 235.322588 x13367 + 235.322588 x13368 + 235.322588 x13369 + 278.979876 x13370 + 278.979876 x13371 + 278.979876 x13372 + 278.979876 x13373 + 278.979876 x13374 + 278.979876 x13375 + 278.979876 x13376 + 278.979876 x13377 + 278.979876 x13378 + 278.979876 x13379 + 278.979876 x13380 + 278.979876 x13381 + 278.979876 x13382 + 278.979876 x13383 + 278.979876 x13384 + 278.979876 x13385 + 278.979876 x13386 + 278.979876 x13387 + 278.979876 x13388 + 278.979876 x13389 + 278.979876 x13390 + 278.979876 x13391 + 278.979876 x13392 + 278.979876 x13393 + 307.697924 x13394 + 307.697924 x13395 + 307.697924 x13396 + 307.697924 x13397 + 307.697924 x13398 + 307.697924 x13399 + 307.697924 x13400 + 307.697924 x13401 + 307.697924 x13402 + 307.697924 x13403 + 307.697924 x13404 + 307.697924 x13405 + 307.697924 x13406 + 307.697924 x13407 + 307.697924 x13408 + 307.697924 x13409 + 307.697924 x13410 + 307.697924 x13411 + 307.697924 x13412 + 307.697924 x13413 + 307.697924 x13414 + 307.697924 x13415 + 307.697924 x13416 + 307.697924 x13417 + 296.889259 x13418 + 296.889259 x13419 + 296.889259 x13420 + 296.889259 x13421 + 296.889259 x13422 + 296.889259 x13423 + 296.889259 x13424 + 296.889259 x13425 + 296.889259 x13426 + 296.889259 x13427 + 296.889259 x13428 + 296.889259 x13429 + 296.889259 x13430 + 296.889259 x13431 + 296.889259 x13432 + 296.889259 x13433 + 296.889259 x13434 + 296.889259 x13435 + 296.889259 x13436 + 296.889259 x13437 + 296.889259 x13438 + 296.889259 x13439 + 296.889259 x13440 + 296.889259 x13441 + 294.270468 x13442 + 294.270468 x13443 + 294.270468 x13444 + 294.270468 x13445 + 294.270468 x13446 + 294.270468 x13447 + 294.270468 x13448 + 294.270468 x13449 + 294.270468 x13450 + 294.270468 x13451 + 294.270468 x13452 + 294.270468 x13453 + 294.270468 x13454 + 294.270468 x13455 + 294.270468 x13456 + 294.270468 x13457 + 294.270468 x13458 + 294.270468 x13459 + 294.270468 x13460 + 294.270468 x13461 + 294.270468 x13462 + 294.270468 x13463 + 294.270468 x13464 + 294.270468 x13465 + 302.002936 x13466 + 302.002936 x13467 + 302.002936 x13468 + 302.002936 x13469 + 302.002936 x13470 + 302.002936 x13471 + 302.002936 x13472 + 302.002936 x13473 + 302.002936 x13474 + 302.002936 x13475 + 302.002936 x13476 + 302.002936 x13477 + 302.002936 x13478 + 302.002936 x13479 + 302.002936 x13480 + 302.002936 x13481 + 302.002936 x13482 + 302.002936 x13483 + 302.002936 x13484 + 302.002936 x13485 + 302.002936 x13486 + 302.002936 x13487 + 302.002936 x13488 + 302.002936 x13489 + 263.295687 x13490 + 263.295687 x13491 + 263.295687 x13492 + 263.295687 x13493 + 263.295687 x13494 + 263.295687 x13495 + 263.295687 x13496 + 263.295687 x13497 + 263.295687 x13498 + 263.295687 x13499 + 263.295687 x13500 + 263.295687 x13501 + 263.295687 x13502 + 263.295687 x13503 + 263.295687 x13504 + 263.295687 x13505 + 263.295687 x13506 + 263.295687 x13507 + 263.295687 x13508 + 263.295687 x13509 + 263.295687 x13510 + 263.295687 x13511 + 263.295687 x13512 + 263.295687 x13513 + 291.641873 x13514 + 291.641873 x13515 + 291.641873 x13516 + 291.641873 x13517 + 291.641873 x13518 + 291.641873 x13519 + 291.641873 x13520 + 291.641873 x13521 + 291.641873 x13522 + 291.641873 x13523 + 291.641873 x13524 + 291.641873 x13525 + 291.641873 x13526 + 291.641873 x13527 + 291.641873 x13528 + 291.641873 x13529 + 291.641873 x13530 + 291.641873 x13531 + 291.641873 x13532 + 291.641873 x13533 + 291.641873 x13534 + 291.641873 x13535 + 291.641873 x13536 + 291.641873 x13537 + 280.825706 x13538 + 280.825706 x13539 + 280.825706 x13540 + 280.825706 x13541 + 280.825706 x13542 + 280.825706 x13543 + 280.825706 x13544 + 280.825706 x13545 + 280.825706 x13546 + 280.825706 x13547 + 280.825706 x13548 + 280.825706 x13549 + 280.825706 x13550 + 280.825706 x13551 + 280.825706 x13552 + 280.825706 x13553 + 280.825706 x13554 + 280.825706 x13555 + 280.825706 x13556 + 280.825706 x13557 + 280.825706 x13558 + 280.825706 x13559 + 280.825706 x13560 + 280.825706 x13561 + 257.672655 x13562 + 257.672655 x13563 + 257.672655 x13564 + 257.672655 x13565 + 257.672655 x13566 + 257.672655 x13567 + 257.672655 x13568 + 257.672655 x13569 + 257.672655 x13570 + 257.672655 x13571 + 257.672655 x13572 + 257.672655 x13573 + 257.672655 x13574 + 257.672655 x13575 + 257.672655 x13576 + 257.672655 x13577 + 257.672655 x13578 + 257.672655 x13579 + 257.672655 x13580 + 257.672655 x13581 + 257.672655 x13582 + 257.672655 x13583 + 257.672655 x13584 + 257.672655 x13585 + 310.921241 x13586 + 310.921241 x13587 + 310.921241 x13588 + 310.921241 x13589 + 310.921241 x13590 + 310.921241 x13591 + 310.921241 x13592 + 310.921241 x13593 + 310.921241 x13594 + 310.921241 x13595 + 310.921241 x13596 + 310.921241 x13597 + 310.921241 x13598 + 310.921241 x13599 + 310.921241 x13600 + 310.921241 x13601 + 310.921241 x13602 + 310.921241 x13603 + 310.921241 x13604 + 310.921241 x13605 + 310.921241 x13606 + 310.921241 x13607 + 310.921241 x13608 + 310.921241 x13609 + 449.314179 x13610 + 449.314179 x13611 + 449.314179 x13612 + 449.314179 x13613 + 449.314179 x13614 + 449.314179 x13615 + 449.314179 x13616 + 449.314179 x13617 + 449.314179 x13618 + 449.314179 x13619 + 449.314179 x13620 + 449.314179 x13621 + 449.314179 x13622 + 449.314179 x13623 + 449.314179 x13624 + 449.314179 x13625 + 449.314179 x13626 + 449.314179 x13627 + 449.314179 x13628 + 449.314179 x13629 + 449.314179 x13630 + 449.314179 x13631 + 449.314179 x13632 + 449.314179 x13633 + 490.271333 x13634 + 490.271333 x13635 + 490.271333 x13636 + 490.271333 x13637 + 490.271333 x13638 + 490.271333 x13639 + 490.271333 x13640 + 490.271333 x13641 + 490.271333 x13642 + 490.271333 x13643 + 490.271333 x13644 + 490.271333 x13645 + 490.271333 x13646 + 490.271333 x13647 + 490.271333 x13648 + 490.271333 x13649 + 490.271333 x13650 + 490.271333 x13651 + 490.271333 x13652 + 490.271333 x13653 + 490.271333 x13654 + 490.271333 x13655 + 490.271333 x13656 + 490.271333 x13657 + 425.58723 x13658 + 425.58723 x13659 + 425.58723 x13660 + 425.58723 x13661 + 425.58723 x13662 + 425.58723 x13663 + 425.58723 x13664 + 425.58723 x13665 + 425.58723 x13666 + 425.58723 x13667 + 425.58723 x13668 + 425.58723 x13669 + 425.58723 x13670 + 425.58723 x13671 + 425.58723 x13672 + 425.58723 x13673 + 425.58723 x13674 + 425.58723 x13675 + 425.58723 x13676 + 425.58723 x13677 + 425.58723 x13678 + 425.58723 x13679 + 425.58723 x13680 + 425.58723 x13681 + 470.69134 x13682 + 470.69134 x13683 + 470.69134 x13684 + 470.69134 x13685 + 470.69134 x13686 + 470.69134 x13687 + 470.69134 x13688 + 470.69134 x13689 + 470.69134 x13690 + 470.69134 x13691 + 470.69134 x13692 + 470.69134 x13693 + 470.69134 x13694 + 470.69134 x13695 + 470.69134 x13696 + 470.69134 x13697 + 470.69134 x13698 + 470.69134 x13699 + 470.69134 x13700 + 470.69134 x13701 + 470.69134 x13702 + 470.69134 x13703 + 470.69134 x13704 + 470.69134 x13705 + 455.255916 x13706 + 455.255916 x13707 + 455.255916 x13708 + 455.255916 x13709 + 455.255916 x13710 + 455.255916 x13711 + 455.255916 x13712 + 455.255916 x13713 + 455.255916 x13714 + 455.255916 x13715 + 455.255916 x13716 + 455.255916 x13717 + 455.255916 x13718 + 455.255916 x13719 + 455.255916 x13720 + 455.255916 x13721 + 455.255916 x13722 + 455.255916 x13723 + 455.255916 x13724 + 455.255916 x13725 + 455.255916 x13726 + 455.255916 x13727 + 455.255916 x13728 + 455.255916 x13729 + 383.246264 x13730 + 383.246264 x13731 + 383.246264 x13732 + 383.246264 x13733 + 383.246264 x13734 + 383.246264 x13735 + 383.246264 x13736 + 383.246264 x13737 + 383.246264 x13738 + 383.246264 x13739 + 383.246264 x13740 + 383.246264 x13741 + 383.246264 x13742 + 383.246264 x13743 + 383.246264 x13744 + 383.246264 x13745 + 383.246264 x13746 + 383.246264 x13747 + 383.246264 x13748 + 383.246264 x13749 + 383.246264 x13750 + 383.246264 x13751 + 383.246264 x13752 + 383.246264 x13753 + 472.252983 x13754 + 472.252983 x13755 + 472.252983 x13756 + 472.252983 x13757 + 472.252983 x13758 + 472.252983 x13759 + 472.252983 x13760 + 472.252983 x13761 + 472.252983 x13762 + 472.252983 x13763 + 472.252983 x13764 + 472.252983 x13765 + 472.252983 x13766 + 472.252983 x13767 + 472.252983 x13768 + 472.252983 x13769 + 472.252983 x13770 + 472.252983 x13771 + 472.252983 x13772 + 472.252983 x13773 + 472.252983 x13774 + 472.252983 x13775 + 472.252983 x13776 + 472.252983 x13777 + 456.672852 x13778 + 456.672852 x13779 + 456.672852 x13780 + 456.672852 x13781 + 456.672852 x13782 + 456.672852 x13783 + 456.672852 x13784 + 456.672852 x13785 + 456.672852 x13786 + 456.672852 x13787 + 456.672852 x13788 + 456.672852 x13789 + 456.672852 x13790 + 456.672852 x13791 + 456.672852 x13792 + 456.672852 x13793 + 456.672852 x13794 + 456.672852 x13795 + 456.672852 x13796 + 456.672852 x13797 + 456.672852 x13798 + 456.672852 x13799 + 456.672852 x13800 + 456.672852 x13801 + 433.262158 x13802 + 433.262158 x13803 + 433.262158 x13804 + 433.262158 x13805 + 433.262158 x13806 + 433.262158 x13807 + 433.262158 x13808 + 433.262158 x13809 + 433.262158 x13810 + 433.262158 x13811 + 433.262158 x13812 + 433.262158 x13813 + 433.262158 x13814 + 433.262158 x13815 + 433.262158 x13816 + 433.262158 x13817 + 433.262158 x13818 + 433.262158 x13819 + 433.262158 x13820 + 433.262158 x13821 + 433.262158 x13822 + 433.262158 x13823 + 433.262158 x13824 + 433.262158 x13825 + 409.023228 x13826 + 409.023228 x13827 + 409.023228 x13828 + 409.023228 x13829 + 409.023228 x13830 + 409.023228 x13831 + 409.023228 x13832 + 409.023228 x13833 + 409.023228 x13834 + 409.023228 x13835 + 409.023228 x13836 + 409.023228 x13837 + 409.023228 x13838 + 409.023228 x13839 + 409.023228 x13840 + 409.023228 x13841 + 409.023228 x13842 + 409.023228 x13843 + 409.023228 x13844 + 409.023228 x13845 + 409.023228 x13846 + 409.023228 x13847 + 409.023228 x13848 + 409.023228 x13849 + 479.806217 x13850 + 479.806217 x13851 + 479.806217 x13852 + 479.806217 x13853 + 479.806217 x13854 + 479.806217 x13855 + 479.806217 x13856 + 479.806217 x13857 + 479.806217 x13858 + 479.806217 x13859 + 479.806217 x13860 + 479.806217 x13861 + 479.806217 x13862 + 479.806217 x13863 + 479.806217 x13864 + 479.806217 x13865 + 479.806217 x13866 + 479.806217 x13867 + 479.806217 x13868 + 479.806217 x13869 + 479.806217 x13870 + 479.806217 x13871 + 479.806217 x13872 + 479.806217 x13873 + 434.105434 x13874 + 434.105434 x13875 + 434.105434 x13876 + 434.105434 x13877 + 434.105434 x13878 + 434.105434 x13879 + 434.105434 x13880 + 434.105434 x13881 + 434.105434 x13882 + 434.105434 x13883 + 434.105434 x13884 + 434.105434 x13885 + 434.105434 x13886 + 434.105434 x13887 + 434.105434 x13888 + 434.105434 x13889 + 434.105434 x13890 + 434.105434 x13891 + 434.105434 x13892 + 434.105434 x13893 + 434.105434 x13894 + 434.105434 x13895 + 434.105434 x13896 + 434.105434 x13897 + 434.194286 x13898 + 434.194286 x13899 + 434.194286 x13900 + 434.194286 x13901 + 434.194286 x13902 + 434.194286 x13903 + 434.194286 x13904 + 434.194286 x13905 + 434.194286 x13906 + 434.194286 x13907 + 434.194286 x13908 + 434.194286 x13909 + 434.194286 x13910 + 434.194286 x13911 + 434.194286 x13912 + 434.194286 x13913 + 434.194286 x13914 + 434.194286 x13915 + 434.194286 x13916 + 434.194286 x13917 + 434.194286 x13918 + 434.194286 x13919 + 434.194286 x13920 + 434.194286 x13921 + 465.53651 x13922 + 465.53651 x13923 + 465.53651 x13924 + 465.53651 x13925 + 465.53651 x13926 + 465.53651 x13927 + 465.53651 x13928 + 465.53651 x13929 + 465.53651 x13930 + 465.53651 x13931 + 465.53651 x13932 + 465.53651 x13933 + 465.53651 x13934 + 465.53651 x13935 + 465.53651 x13936 + 465.53651 x13937 + 465.53651 x13938 + 465.53651 x13939 + 465.53651 x13940 + 465.53651 x13941 + 465.53651 x13942 + 465.53651 x13943 + 465.53651 x13944 + 465.53651 x13945 + 389.100188 x13946 + 389.100188 x13947 + 389.100188 x13948 + 389.100188 x13949 + 389.100188 x13950 + 389.100188 x13951 + 389.100188 x13952 + 389.100188 x13953 + 389.100188 x13954 + 389.100188 x13955 + 389.100188 x13956 + 389.100188 x13957 + 389.100188 x13958 + 389.100188 x13959 + 389.100188 x13960 + 389.100188 x13961 + 389.100188 x13962 + 389.100188 x13963 + 389.100188 x13964 + 389.100188 x13965 + 389.100188 x13966 + 389.100188 x13967 + 389.100188 x13968 + 389.100188 x13969 + 446.086612 x13970 + 446.086612 x13971 + 446.086612 x13972 + 446.086612 x13973 + 446.086612 x13974 + 446.086612 x13975 + 446.086612 x13976 + 446.086612 x13977 + 446.086612 x13978 + 446.086612 x13979 + 446.086612 x13980 + 446.086612 x13981 + 446.086612 x13982 + 446.086612 x13983 + 446.086612 x13984 + 446.086612 x13985 + 446.086612 x13986 + 446.086612 x13987 + 446.086612 x13988 + 446.086612 x13989 + 446.086612 x13990 + 446.086612 x13991 + 446.086612 x13992 + 446.086612 x13993 + 496.396263 x13994 + 496.396263 x13995 + 496.396263 x13996 + 496.396263 x13997 + 496.396263 x13998 + 496.396263 x13999 + 496.396263 x14000 + 496.396263 x14001 + 496.396263 x14002 + 496.396263 x14003 + 496.396263 x14004 + 496.396263 x14005 + 496.396263 x14006 + 496.396263 x14007 + 496.396263 x14008 + 496.396263 x14009 + 496.396263 x14010 + 496.396263 x14011 + 496.396263 x14012 + 496.396263 x14013 + 496.396263 x14014 + 496.396263 x14015 + 496.396263 x14016 + 496.396263 x14017 + 412.201049 x14018 + 412.201049 x14019 + 412.201049 x14020 + 412.201049 x14021 + 412.201049 x14022 + 412.201049 x14023 + 412.201049 x14024 + 412.201049 x14025 + 412.201049 x14026 + 412.201049 x14027 + 412.201049 x14028 + 412.201049 x14029 + 412.201049 x14030 + 412.201049 x14031 + 412.201049 x14032 + 412.201049 x14033 + 412.201049 x14034 + 412.201049 x14035 + 412.201049 x14036 + 412.201049 x14037 + 412.201049 x14038 + 412.201049 x14039 + 412.201049 x14040 + 412.201049 x14041 + 401.791052 x14042 + 401.791052 x14043 + 401.791052 x14044 + 401.791052 x14045 + 401.791052 x14046 + 401.791052 x14047 + 401.791052 x14048 + 401.791052 x14049 + 401.791052 x14050 + 401.791052 x14051 + 401.791052 x14052 + 401.791052 x14053 + 401.791052 x14054 + 401.791052 x14055 + 401.791052 x14056 + 401.791052 x14057 + 401.791052 x14058 + 401.791052 x14059 + 401.791052 x14060 + 401.791052 x14061 + 401.791052 x14062 + 401.791052 x14063 + 401.791052 x14064 + 401.791052 x14065 + 411.131317 x14066 + 411.131317 x14067 + 411.131317 x14068 + 411.131317 x14069 + 411.131317 x14070 + 411.131317 x14071 + 411.131317 x14072 + 411.131317 x14073 + 411.131317 x14074 + 411.131317 x14075 + 411.131317 x14076 + 411.131317 x14077 + 411.131317 x14078 + 411.131317 x14079 + 411.131317 x14080 + 411.131317 x14081 + 411.131317 x14082 + 411.131317 x14083 + 411.131317 x14084 + 411.131317 x14085 + 411.131317 x14086 + 411.131317 x14087 + 411.131317 x14088 + 411.131317 x14089 + 479.683147 x14090 + 479.683147 x14091 + 479.683147 x14092 + 479.683147 x14093 + 479.683147 x14094 + 479.683147 x14095 + 479.683147 x14096 + 479.683147 x14097 + 479.683147 x14098 + 479.683147 x14099 + 479.683147 x14100 + 479.683147 x14101 + 479.683147 x14102 + 479.683147 x14103 + 479.683147 x14104 + 479.683147 x14105 + 479.683147 x14106 + 479.683147 x14107 + 479.683147 x14108 + 479.683147 x14109 + 479.683147 x14110 + 479.683147 x14111 + 479.683147 x14112 + 479.683147 x14113 + 444.249145 x14114 + 444.249145 x14115 + 444.249145 x14116 + 444.249145 x14117 + 444.249145 x14118 + 444.249145 x14119 + 444.249145 x14120 + 444.249145 x14121 + 444.249145 x14122 + 444.249145 x14123 + 444.249145 x14124 + 444.249145 x14125 + 444.249145 x14126 + 444.249145 x14127 + 444.249145 x14128 + 444.249145 x14129 + 444.249145 x14130 + 444.249145 x14131 + 444.249145 x14132 + 444.249145 x14133 + 444.249145 x14134 + 444.249145 x14135 + 444.249145 x14136 + 444.249145 x14137 + 457.310722 x14138 + 457.310722 x14139 + 457.310722 x14140 + 457.310722 x14141 + 457.310722 x14142 + 457.310722 x14143 + 457.310722 x14144 + 457.310722 x14145 + 457.310722 x14146 + 457.310722 x14147 + 457.310722 x14148 + 457.310722 x14149 + 457.310722 x14150 + 457.310722 x14151 + 457.310722 x14152 + 457.310722 x14153 + 457.310722 x14154 + 457.310722 x14155 + 457.310722 x14156 + 457.310722 x14157 + 457.310722 x14158 + 457.310722 x14159 + 457.310722 x14160 + 457.310722 x14161 + 464.182348 x14162 + 464.182348 x14163 + 464.182348 x14164 + 464.182348 x14165 + 464.182348 x14166 + 464.182348 x14167 + 464.182348 x14168 + 464.182348 x14169 + 464.182348 x14170 + 464.182348 x14171 + 464.182348 x14172 + 464.182348 x14173 + 464.182348 x14174 + 464.182348 x14175 + 464.182348 x14176 + 464.182348 x14177 + 464.182348 x14178 + 464.182348 x14179 + 464.182348 x14180 + 464.182348 x14181 + 464.182348 x14182 + 464.182348 x14183 + 464.182348 x14184 + 464.182348 x14185 + 476.943512 x14186 + 476.943512 x14187 + 476.943512 x14188 + 476.943512 x14189 + 476.943512 x14190 + 476.943512 x14191 + 476.943512 x14192 + 476.943512 x14193 + 476.943512 x14194 + 476.943512 x14195 + 476.943512 x14196 + 476.943512 x14197 + 476.943512 x14198 + 476.943512 x14199 + 476.943512 x14200 + 476.943512 x14201 + 476.943512 x14202 + 476.943512 x14203 + 476.943512 x14204 + 476.943512 x14205 + 476.943512 x14206 + 476.943512 x14207 + 476.943512 x14208 + 476.943512 x14209 + 419.918312 x14210 + 419.918312 x14211 + 419.918312 x14212 + 419.918312 x14213 + 419.918312 x14214 + 419.918312 x14215 + 419.918312 x14216 + 419.918312 x14217 + 419.918312 x14218 + 419.918312 x14219 + 419.918312 x14220 + 419.918312 x14221 + 419.918312 x14222 + 419.918312 x14223 + 419.918312 x14224 + 419.918312 x14225 + 419.918312 x14226 + 419.918312 x14227 + 419.918312 x14228 + 419.918312 x14229 + 419.918312 x14230 + 419.918312 x14231 + 419.918312 x14232 + 419.918312 x14233 + 420.306325 x14234 + 420.306325 x14235 + 420.306325 x14236 + 420.306325 x14237 + 420.306325 x14238 + 420.306325 x14239 + 420.306325 x14240 + 420.306325 x14241 + 420.306325 x14242 + 420.306325 x14243 + 420.306325 x14244 + 420.306325 x14245 + 420.306325 x14246 + 420.306325 x14247 + 420.306325 x14248 + 420.306325 x14249 + 420.306325 x14250 + 420.306325 x14251 + 420.306325 x14252 + 420.306325 x14253 + 420.306325 x14254 + 420.306325 x14255 + 420.306325 x14256 + 420.306325 x14257 + 419.908064 x14258 + 419.908064 x14259 + 419.908064 x14260 + 419.908064 x14261 + 419.908064 x14262 + 419.908064 x14263 + 419.908064 x14264 + 419.908064 x14265 + 419.908064 x14266 + 419.908064 x14267 + 419.908064 x14268 + 419.908064 x14269 + 419.908064 x14270 + 419.908064 x14271 + 419.908064 x14272 + 419.908064 x14273 + 419.908064 x14274 + 419.908064 x14275 + 419.908064 x14276 + 419.908064 x14277 + 419.908064 x14278 + 419.908064 x14279 + 419.908064 x14280 + 419.908064 x14281 + 374.063643 x14282 + 374.063643 x14283 + 374.063643 x14284 + 374.063643 x14285 + 374.063643 x14286 + 374.063643 x14287 + 374.063643 x14288 + 374.063643 x14289 + 374.063643 x14290 + 374.063643 x14291 + 374.063643 x14292 + 374.063643 x14293 + 374.063643 x14294 + 374.063643 x14295 + 374.063643 x14296 + 374.063643 x14297 + 374.063643 x14298 + 374.063643 x14299 + 374.063643 x14300 + 374.063643 x14301 + 374.063643 x14302 + 374.063643 x14303 + 374.063643 x14304 + 374.063643 x14305 + 437.197195 x14306 + 437.197195 x14307 + 437.197195 x14308 + 437.197195 x14309 + 437.197195 x14310 + 437.197195 x14311 + 437.197195 x14312 + 437.197195 x14313 + 437.197195 x14314 + 437.197195 x14315 + 437.197195 x14316 + 437.197195 x14317 + 437.197195 x14318 + 437.197195 x14319 + 437.197195 x14320 + 437.197195 x14321 + 437.197195 x14322 + 437.197195 x14323 + 437.197195 x14324 + 437.197195 x14325 + 437.197195 x14326 + 437.197195 x14327 + 437.197195 x14328 + 437.197195 x14329 + 368.501131 x14330 + 368.501131 x14331 + 368.501131 x14332 + 368.501131 x14333 + 368.501131 x14334 + 368.501131 x14335 + 368.501131 x14336 + 368.501131 x14337 + 368.501131 x14338 + 368.501131 x14339 + 368.501131 x14340 + 368.501131 x14341 + 368.501131 x14342 + 368.501131 x14343 + 368.501131 x14344 + 368.501131 x14345 + 368.501131 x14346 + 368.501131 x14347 + 368.501131 x14348 + 368.501131 x14349 + 368.501131 x14350 + 368.501131 x14351 + 368.501131 x14352 + 368.501131 x14353 + 394.31433 x14354 + 394.31433 x14355 + 394.31433 x14356 + 394.31433 x14357 + 394.31433 x14358 + 394.31433 x14359 + 394.31433 x14360 + 394.31433 x14361 + 394.31433 x14362 + 394.31433 x14363 + 394.31433 x14364 + 394.31433 x14365 + 394.31433 x14366 + 394.31433 x14367 + 394.31433 x14368 + 394.31433 x14369 + 394.31433 x14370 + 394.31433 x14371 + 394.31433 x14372 + 394.31433 x14373 + 394.31433 x14374 + 394.31433 x14375 + 394.31433 x14376 + 394.31433 x14377 + 426.097998 x14378 + 426.097998 x14379 + 426.097998 x14380 + 426.097998 x14381 + 426.097998 x14382 + 426.097998 x14383 + 426.097998 x14384 + 426.097998 x14385 + 426.097998 x14386 + 426.097998 x14387 + 426.097998 x14388 + 426.097998 x14389 + 426.097998 x14390 + 426.097998 x14391 + 426.097998 x14392 + 426.097998 x14393 + 426.097998 x14394 + 426.097998 x14395 + 426.097998 x14396 + 426.097998 x14397 + 426.097998 x14398 + 426.097998 x14399 + 426.097998 x14400 + 426.097998 x14401 + x14402 + x14403 + x14404 + x14405 + x14406 + x14407 + x14408 + x14409 + x14410 + x14411 + x14412 + x14413 + x14414 + x14415 + x14416 + x14417 + x14418 + x14419 + x14420 + x14421 + x14422 + x14423 + x14424 + x14425 + x14426 + x14427 + x14428 + x14429 + x14430 + x14431 + x14432 + x14433 + x14434 + x14435 + x14436 + x14437 + x14438 + x14439 + x14440 + x14441 + x14442 + x14443 + x14444 + x14445 + x14446 + x14447 + x14448 + x14449 + x14450 + x14451 + x14452 + x14453 + x14454 + x14455 + x14456 + x14457 + x14458 + x14459 + x14460 + x14461 + x14462 + x14463 + x14464 + x14465 + x14466 + x14467 + x14468 + x14469 + x14470 + x14471 + x14472 + x14473 + x14474 + x14475 + x14476 + x14477 + x14478 + x14479 + x14480 + x14481 + x14482 + x14483 + x14484 + x14485 + x14486 + x14487 + x14488 + x14489 + x14490 + x14491 + x14492 + x14493 + x14494 + x14495 + x14496 + x14497 + x14498 + x14499 + x14500 + x14501 + x14502 + x14503 + x14504 + x14505 + x14506 + x14507 + x14508 + x14509 + x14510 + x14511 + x14512 + x14513 + x14514 + x14515 + x14516 + x14517 + x14518 + x14519 + x14520 + x14521 + x14522 + x14523 + x14524 + x14525 + x14526 + x14527 + x14528 + x14529 + x14530 + x14531 + x14532 + x14533 + x14534 + x14535 + x14536 + x14537 + x14538 + x14539 + x14540 + x14541 + x14542 + x14543 + x14544 + x14545 + x14546 + x14547 + x14548 + x14549 + x14550 + x14551 + x14552 + x14553 + x14554 + x14555 + x14556 + x14557 + x14558 + x14559 + x14560 + x14561 + x14562 + x14563 + x14564 + x14565 + x14566 + x14567 + x14568 + x14569 + x14570 + x14571 + x14572 + x14573 + x14574 + x14575 + x14576 + x14577 + x14578 + x14579 + x14580 + x14581 + x14582 + x14583 + x14584 + x14585 + x14586 + x14587 + x14588 + x14589 + x14590 + x14591 + x14592 + x14593 + x14594 + x14595 + x14596 + x14597 + x14598 + x14599 + x14600 + x14601 + x14602 + x14603 + x14604 + x14605 + x14606 + x14607 + x14608 + x14609 + x14610 + x14611 + x14612 + x14613 + x14614 + x14615 + x14616 + x14617 + x14618 + x14619 + x14620 + x14621 + x14622 + x14623 + x14624 + x14625 + x14626 + x14627 + x14628 + x14629 + x14630 + x14631 + x14632 + x14633 + x14634 + x14635 + x14636 + x14637 + x14638 + x14639 + x14640 + x14641 + x14642 + x14643 + x14644 + x14645 + x14646 + x14647 + x14648 + x14649 + x14650 + x14651 + x14652 + x14653 + x14654 + x14655 + x14656 + x14657 + x14658 + x14659 + x14660 + x14661 + x14662 + x14663 + x14664 + x14665 + x14666 + x14667 + x14668 + x14669 + x14670 + x14671 + x14672 + x14673 + x14674 + x14675 + x14676 + x14677 + x14678 + x14679 + x14680 + x14681 + x14682 + x14683 + x14684 + x14685 + x14686 + x14687 + x14688 + x14689 + x14690 + x14691 + x14692 + x14693 + x14694 + x14695 + x14696 + x14697 + x14698 + x14699 + x14700 + x14701 + x14702 + x14703 + x14704 + x14705 + x14706 + x14707 + x14708 + x14709 + x14710 + x14711 + x14712 + x14713 + x14714 + x14715 + x14716 + x14717 + x14718 + x14719 + x14720 + x14721 + x14722 + x14723 + x14724 + x14725 + x14726 + x14727 + x14728 + x14729 + x14730 + x14731 + x14732 + x14733 + x14734 + x14735 + x14736 + x14737 + x14738 + x14739 + x14740 + x14741 + x14742 + x14743 + x14744 + x14745 + x14746 + x14747 + x14748 + x14749 + x14750 + x14751 + x14752 + x14753 + x14754 + x14755 + x14756 + x14757 + x14758 + x14759 + x14760 + x14761 + x14762 + x14763 + x14764 + x14765 + x14766 + x14767 + x14768 + x14769 + x14770 + x14771 + x14772 + x14773 + x14774 + x14775 + x14776 + x14777 + x14778 + x14779 + x14780 + x14781 + x14782 + x14783 + x14784 + x14785 + x14786 + x14787 + x14788 + x14789 + x14790 + x14791 + x14792 + x14793 + x14794 + x14795 + x14796 + x14797 + x14798 + x14799 + x14800 + x14801 + x14802 + x14803 + x14804 + x14805 + x14806 + x14807 + x14808 + x14809 + x14810 + x14811 + x14812 + x14813 + x14814 + x14815 + x14816 + x14817 + x14818 + x14819 + x14820 + x14821 + x14822 + x14823 + x14824 + x14825 + x14826 + x14827 + x14828 + x14829 + x14830 + x14831 + x14832 + x14833 + x14834 + x14835 + x14836 + x14837 + x14838 + x14839 + x14840 + x14841 + x14842 + x14843 + x14844 + x14845 + x14846 + x14847 + x14848 + x14849 + x14850 + x14851 + x14852 + x14853 + x14854 + x14855 + x14856 + x14857 + x14858 + x14859 + x14860 + x14861 + x14862 + x14863 + x14864 + x14865 + x14866 + x14867 + x14868 + x14869 + x14870 + x14871 + x14872 + x14873 + x14874 + x14875 + x14876 + x14877 + x14878 + x14879 + x14880 + x14881 + x14882 + x14883 + x14884 + x14885 + x14886 + x14887 + x14888 + x14889 + x14890 + x14891 + x14892 + x14893 + x14894 + x14895 + x14896 + x14897 + x14898 + x14899 + x14900 + x14901 + x14902 + x14903 + x14904 + x14905 + x14906 + x14907 + x14908 + x14909 + x14910 + x14911 + x14912 + x14913 + x14914 + x14915 + x14916 + x14917 + x14918 + x14919 + x14920 + x14921 + x14922 + x14923 + x14924 + x14925 + x14926 + x14927 + x14928 + x14929 + x14930 + x14931 + x14932 + x14933 + x14934 + x14935 + x14936 + x14937 + x14938 + x14939 + x14940 + x14941 + x14942 + x14943 + x14944 + x14945 + x14946 + x14947 + x14948 + x14949 + x14950 + x14951 + x14952 + x14953 + x14954 + x14955 + x14956 + x14957 + x14958 + x14959 + x14960 + x14961 + x14962 + x14963 + x14964 + x14965 + x14966 + x14967 + x14968 + x14969 + x14970 + x14971 + x14972 + x14973 + x14974 + x14975 + x14976 + x14977 + x14978 + x14979 + x14980 + x14981 + x14982 + x14983 + x14984 + x14985 + x14986 + x14987 + x14988 + x14989 + x14990 + x14991 + x14992 + x14993 + x14994 + x14995 + x14996 + x14997 + x14998 + x14999 + x15000 + x15001 + x15002 + x15003 + x15004 + x15005 + x15006 + x15007 + x15008 + x15009 + x15010 + x15011 + x15012 + x15013 + x15014 + x15015 + x15016 + x15017 + x15018 + x15019 + x15020 + x15021 + x15022 + x15023 + x15024 + x15025 + x15026 + x15027 + x15028 + x15029 + x15030 + x15031 + x15032 + x15033 + x15034 + x15035 + x15036 + x15037 + x15038 + x15039 + x15040 + x15041 + x15042 + x15043 + x15044 + x15045 + x15046 + x15047 + x15048 + x15049 + x15050 + x15051 + x15052 + x15053 + x15054 + x15055 + x15056 + x15057 + x15058 + x15059 + x15060 + x15061 + x15062 + x15063 + x15064 + x15065 + x15066 + x15067 + x15068 + x15069 + x15070 + x15071 + x15072 + x15073 + x15074 + x15075 + x15076 + x15077 + x15078 + x15079 + x15080 + x15081 + x15082 + x15083 + x15084 + x15085 + x15086 + x15087 + x15088 + x15089 + x15090 + x15091 + x15092 + x15093 + x15094 + x15095 + x15096 + x15097 + x15098 + x15099 + x15100 + x15101 + x15102 + x15103 + x15104 + x15105 + x15106 + x15107 + x15108 + x15109 + x15110 + x15111 + x15112 + x15113 + x15114 + x15115 + x15116 + x15117 + x15118 + x15119 + x15120 + x15121 + x15122 + x15123 + x15124 + x15125 + x15126 + x15127 + x15128 + x15129 + x15130 + x15131 + x15132 + x15133 + x15134 + x15135 + x15136 + x15137 + x15138 + x15139 + x15140 + x15141 + x15142 + x15143 + x15144 + x15145 + x15146 + x15147 + x15148 + x15149 + x15150 + x15151 + x15152 + x15153 + x15154 + x15155 + x15156 + x15157 + x15158 + x15159 + x15160 + x15161 + x15162 + x15163 + x15164 + x15165 + x15166 + x15167 + x15168 + x15169 + x15170 + x15171 + x15172 + x15173 + x15174 + x15175 + x15176 + x15177 + x15178 + x15179 + x15180 + x15181 + x15182 + x15183 + x15184 + x15185 + x15186 + x15187 + x15188 + x15189 + x15190 + x15191 + x15192 + x15193 + x15194 + x15195 + x15196 + x15197 + x15198 + x15199 + x15200 + x15201 + x15202 + x15203 + x15204 + x15205 + x15206 + x15207 + x15208 + x15209 + x15210 + x15211 + x15212 + x15213 + x15214 + x15215 + x15216 + x15217 + x15218 + x15219 + x15220 + x15221 + x15222 + x15223 + x15224 + x15225 + x15226 + x15227 + x15228 + x15229 + x15230 + x15231 + x15232 + x15233 + x15234 + x15235 + x15236 + x15237 + x15238 + x15239 + x15240 + x15241 + x15242 + x15243 + x15244 + x15245 + x15246 + x15247 + x15248 + x15249 + x15250 + x15251 + x15252 + x15253 + x15254 + x15255 + x15256 + x15257 + x15258 + x15259 + x15260 + x15261 + x15262 + x15263 + x15264 + x15265 + x15266 + x15267 + x15268 + x15269 + x15270 + x15271 + x15272 + x15273 + x15274 + x15275 + x15276 + x15277 + x15278 + x15279 + x15280 + x15281 + x15282 + x15283 + x15284 + x15285 + x15286 + x15287 + x15288 + x15289 + x15290 + x15291 + x15292 + x15293 + x15294 + x15295 + x15296 + x15297 + x15298 + x15299 + x15300 + x15301 + x15302 + x15303 + x15304 + x15305 + x15306 + x15307 + x15308 + x15309 + x15310 + x15311 + x15312 + x15313 + x15314 + x15315 + x15316 + x15317 + x15318 + x15319 + x15320 + x15321 + x15322 + x15323 + x15324 + x15325 + x15326 + x15327 + x15328 + x15329 + x15330 + x15331 + x15332 + x15333 + x15334 + x15335 + x15336 + x15337 + x15338 + x15339 + x15340 + x15341 + x15342 + x15343 + x15344 + x15345 + x15346 + x15347 + x15348 + x15349 + x15350 + x15351 + x15352 + x15353 + x15354 + x15355 + x15356 + x15357 + x15358 + x15359 + x15360 + x15361 + x15362 + x15363 + x15364 + x15365 + x15366 + x15367 + x15368 + x15369 + x15370 + x15371 + x15372 + x15373 + x15374 + x15375 + x15376 + x15377 + x15378 + x15379 + x15380 + x15381 + x15382 + x15383 + x15384 + x15385 + x15386 + x15387 + x15388 + x15389 + x15390 + x15391 + x15392 + x15393 + x15394 + x15395 + x15396 + x15397 + x15398 + x15399 + x15400 + x15401 + x15402 + x15403 + x15404 + x15405 + x15406 + x15407 + x15408 + x15409 + x15410 + x15411 + x15412 + x15413 + x15414 + x15415 + x15416 + x15417 + x15418 + x15419 + x15420 + x15421 + x15422 + x15423 + x15424 + x15425 + x15426 + x15427 + x15428 + x15429 + x15430 + x15431 + x15432 + x15433 + x15434 + x15435 + x15436 + x15437 + x15438 + x15439 + x15440 + x15441 + x15442 + x15443 + x15444 + x15445 + x15446 + x15447 + x15448 + x15449 + x15450 + x15451 + x15452 + x15453 + x15454 + x15455 + x15456 + x15457 + x15458 + x15459 + x15460 + x15461 + x15462 + x15463 + x15464 + x15465 + x15466 + x15467 + x15468 + x15469 + x15470 + x15471 + x15472 + x15473 + x15474 + x15475 + x15476 + x15477 + x15478 + x15479 + x15480 + x15481 + x15482 + x15483 + x15484 + x15485 + x15486 + x15487 + x15488 + x15489 + x15490 + x15491 + x15492 + x15493 + x15494 + x15495 + x15496 + x15497 + x15498 + x15499 + x15500 + x15501 + x15502 + x15503 + x15504 + x15505 + x15506 + x15507 + x15508 + x15509 + x15510 + x15511 + x15512 + x15513 + x15514 + x15515 + x15516 + x15517 + x15518 + x15519 + x15520 + x15521 + x15522 + x15523 + x15524 + x15525 + x15526 + x15527 + x15528 + x15529 + x15530 + x15531 + x15532 + x15533 + x15534 + x15535 + x15536 + x15537 + x15538 + x15539 + x15540 + x15541 + x15542 + x15543 + x15544 + x15545 + x15546 + x15547 + x15548 + x15549 + x15550 + x15551 + x15552 + x15553 + x15554 + x15555 + x15556 + x15557 + x15558 + x15559 + x15560 + x15561 + x15562 + x15563 + x15564 + x15565 + x15566 + x15567 + x15568 + x15569 + x15570 + x15571 + x15572 + x15573 + x15574 + x15575 + x15576 + x15577 + x15578 + x15579 + x15580 + x15581 + x15582 + x15583 + x15584 + x15585 + x15586 + x15587 + x15588 + x15589 + x15590 + x15591 + x15592 + x15593 + x15594 + x15595 + x15596 + x15597 + x15598 + x15599 + x15600 + x15601 + x15602 + x15603 + x15604 + x15605 + x15606 + x15607 + x15608 + x15609 + x15610 + x15611 + x15612 + x15613 + x15614 + x15615 + x15616 + x15617 + x15618 + x15619 + x15620 + x15621 + x15622 + x15623 + x15624 + x15625 + x15626 + x15627 + x15628 + x15629 + x15630 + x15631 + x15632 + x15633 + x15634 + x15635 + x15636 + x15637 + x15638 + x15639 + x15640 + x15641 + x15642 + x15643 + x15644 + x15645 + x15646 + x15647 + x15648 + x15649 + x15650 + x15651 + x15652 + x15653 + x15654 + x15655 + x15656 + x15657 + x15658 + x15659 + x15660 + x15661 + x15662 + x15663 + x15664 + x15665 + x15666 + x15667 + x15668 + x15669 + x15670 + x15671 + x15672 + x15673 + x15674 + x15675 + x15676 + x15677 + x15678 + x15679 + x15680 + x15681 + x15682 + x15683 + x15684 + x15685 + x15686 + x15687 + x15688 + x15689 + x15690 + x15691 + x15692 + x15693 + x15694 + x15695 + x15696 + x15697 + x15698 + x15699 + x15700 + x15701 + x15702 + x15703 + x15704 + x15705 + x15706 + x15707 + x15708 + x15709 + x15710 + x15711 + x15712 + x15713 + x15714 + x15715 + x15716 + x15717 + x15718 + x15719 + x15720 + x15721 + x15722 + x15723 + x15724 + x15725 + x15726 + x15727 + x15728 + x15729 + x15730 + x15731 + x15732 + x15733 + x15734 + x15735 + x15736 + x15737 + x15738 + x15739 + x15740 + x15741 + x15742 + x15743 + x15744 + x15745 + x15746 + x15747 + x15748 + x15749 + x15750 + x15751 + x15752 + x15753 + x15754 + x15755 + x15756 + x15757 + x15758 + x15759 + x15760 + x15761 + x15762 + x15763 + x15764 + x15765 + x15766 + x15767 + x15768 + x15769 + x15770 + x15771 + x15772 + x15773 + x15774 + x15775 + x15776 + x15777 + x15778 + x15779 + x15780 + x15781 + x15782 + x15783 + x15784 + x15785 + x15786 + x15787 + x15788 + x15789 + x15790 + x15791 + x15792 + x15793 + x15794 + x15795 + x15796 + x15797 + x15798 + x15799 + x15800 + x15801 + x15802 + x15803 + x15804 + x15805 + x15806 + x15807 + x15808 + x15809 + x15810 + x15811 + x15812 + x15813 + x15814 + x15815 + x15816 + x15817 + x15818 + x15819 + x15820 + x15821 + x15822 + x15823 + x15824 + x15825 + x15826 + x15827 + x15828 + x15829 + x15830 + x15831 + x15832 + x15833 + x15834 + x15835 + x15836 + x15837 + x15838 + x15839 + x15840 + x15841 + x15842 + x15843 + x15844 + x15845 + x15846 + x15847 + x15848 + x15849 + x15850 + x15851 + x15852 + x15853 + x15854 + x15855 + x15856 + x15857 + x15858 + x15859 + x15860 + x15861 + x15862 + x15863 + x15864 + x15865 + x15866 + x15867 + x15868 + x15869 + x15870 + x15871 + x15872 + x15873 + x15874 + x15875 + x15876 + x15877 + x15878 + x15879 + x15880 + x15881 + x15882 + x15883 + x15884 + x15885 + x15886 + x15887 + x15888 + x15889 + x15890 + x15891 + x15892 + x15893 + x15894 + x15895 + x15896 + x15897 + x15898 + x15899 + x15900 + x15901 + x15902 + x15903 + x15904 + x15905 + x15906 + x15907 + x15908 + x15909 + x15910 + x15911 + x15912 + x15913 + x15914 + x15915 + x15916 + x15917 + x15918 + x15919 + x15920 + x15921 + x15922 + x15923 + x15924 + x15925 + x15926 + x15927 + x15928 + x15929 + x15930 + x15931 + x15932 + x15933 + x15934 + x15935 + x15936 + x15937 + x15938 + x15939 + x15940 + x15941 + x15942 + x15943 + x15944 + x15945 + x15946 + x15947 + x15948 + x15949 + x15950 + x15951 + x15952 + x15953 + x15954 + x15955 + x15956 + x15957 + x15958 + x15959 + x15960 + x15961 + x15962 + x15963 + x15964 + x15965 + x15966 + x15967 + x15968 + x15969 + x15970 + x15971 + x15972 + x15973 + x15974 + x15975 + x15976 + x15977 + x15978 + x15979 + x15980 + x15981 + x15982 + x15983 + x15984 + x15985 + x15986 + x15987 + x15988 + x15989 + x15990 + x15991 + x15992 + x15993 + x15994 + x15995 + x15996 + x15997 + x15998 + x15999 + x16000 + x16001 + x16002 + x16003 + x16004 + x16005 + x16006 + x16007 + x16008 + x16009 + x16010 + x16011 + x16012 + x16013 + x16014 + x16015 + x16016 + x16017 + x16018 + x16019 + x16020 + x16021 + x16022 + x16023 + x16024 + x16025 + x16026 + x16027 + x16028 + x16029 + x16030 + x16031 + x16032 + x16033 + x16034 + x16035 + x16036 + x16037 + x16038 + x16039 + x16040 + x16041 + x16042 + x16043 + x16044 + x16045 + x16046 + x16047 + x16048 + x16049 + x16050 + x16051 + x16052 + x16053 + x16054 + x16055 + x16056 + x16057 + x16058 + x16059 + x16060 + x16061 + x16062 + x16063 + x16064 + x16065 + x16066 + x16067 + x16068 + x16069 + x16070 + x16071 + x16072 + x16073 + x16074 + x16075 + x16076 + x16077 + x16078 + x16079 + x16080 + x16081 + x16082 + x16083 + x16084 + x16085 + x16086 + x16087 + x16088 + x16089 + x16090 + x16091 + x16092 + x16093 + x16094 + x16095 + x16096 + x16097 + x16098 + x16099 + x16100 + x16101 + x16102 + x16103 + x16104 + x16105 + x16106 + x16107 + x16108 + x16109 + x16110 + x16111 + x16112 + x16113 + x16114 + x16115 + x16116 + x16117 + x16118 + x16119 + x16120 + x16121 + x16122 + x16123 + x16124 + x16125 + x16126 + x16127 + x16128 + x16129 + x16130 + x16131 + x16132 + x16133 + x16134 + x16135 + x16136 + x16137 + x16138 + x16139 + x16140 + x16141 + x16142 + x16143 + x16144 + x16145 + x16146 + x16147 + x16148 + x16149 + x16150 + x16151 + x16152 + x16153 + x16154 + x16155 + x16156 + x16157 + x16158 + x16159 + x16160 + x16161 + x16162 + x16163 + x16164 + x16165 + x16166 + x16167 + x16168 + x16169 + x16170 + x16171 + x16172 + x16173 + x16174 + x16175 + x16176 + x16177 + x16178 + x16179 + x16180 + x16181 + x16182 + x16183 + x16184 + x16185 + x16186 + x16187 + x16188 + x16189 + x16190 + x16191 + x16192 + x16193 + x16194 + x16195 + x16196 + x16197 + x16198 + x16199 + x16200 + x16201 + x16202 + x16203 + x16204 + x16205 + x16206 + x16207 + x16208 + x16209 + x16210 + x16211 + x16212 + x16213 + x16214 + x16215 + x16216 + x16217 + x16218 + x16219 + x16220 + x16221 + x16222 + x16223 + x16224 + x16225 + x16226 + x16227 + x16228 + x16229 + x16230 + x16231 + x16232 + x16233 + x16234 + x16235 + x16236 + x16237 + x16238 + x16239 + x16240 + x16241 + x16242 + x16243 + x16244 + x16245 + x16246 + x16247 + x16248 + x16249 + x16250 + x16251 + x16252 + x16253 + x16254 + x16255 + x16256 + x16257 + x16258 + x16259 + x16260 + x16261 + x16262 + x16263 + x16264 + x16265 + x16266 + x16267 + x16268 + x16269 + x16270 + x16271 + x16272 + x16273 + x16274 + x16275 + x16276 + x16277 + x16278 + x16279 + x16280 + x16281 + x16282 + x16283 + x16284 + x16285 + x16286 + x16287 + x16288 + x16289 + x16290 + x16291 + x16292 + x16293 + x16294 + x16295 + x16296 + x16297 + x16298 + x16299 + x16300 + x16301 + x16302 + x16303 + x16304 + x16305 + x16306 + x16307 + x16308 + x16309 + x16310 + x16311 + x16312 + x16313 + x16314 + x16315 + x16316 + x16317 + x16318 + x16319 + x16320 + x16321 + x16322 + x16323 + x16324 + x16325 + x16326 + x16327 + x16328 + x16329 + x16330 + x16331 + x16332 + x16333 + x16334 + x16335 + x16336 + x16337 + x16338 + x16339 + x16340 + x16341 + x16342 + x16343 + x16344 + x16345 + x16346 + x16347 + x16348 + x16349 + x16350 + x16351 + x16352 + x16353 + x16354 + x16355 + x16356 + x16357 + x16358 + x16359 + x16360 + x16361 + x16362 + x16363 + x16364 + x16365 + x16366 + x16367 + x16368 + x16369 + x16370 + x16371 + x16372 + x16373 + x16374 + x16375 + x16376 + x16377 + x16378 + x16379 + x16380 + x16381 + x16382 + x16383 + x16384 + x16385 + x16386 + x16387 + x16388 + x16389 + x16390 + x16391 + x16392 + x16393 + x16394 + x16395 + x16396 + x16397 + x16398 + x16399 + x16400 + x16401 + x16402 + x16403 + x16404 + x16405 + x16406 + x16407 + x16408 + x16409 + x16410 + x16411 + x16412 + x16413 + x16414 + x16415 + x16416 + x16417 + x16418 + x16419 + x16420 + x16421 + x16422 + x16423 + x16424 + x16425 + x16426 + x16427 + x16428 + x16429 + x16430 + x16431 + x16432 + x16433 + x16434 + x16435 + x16436 + x16437 + x16438 + x16439 + x16440 + x16441 + x16442 + x16443 + x16444 + x16445 + x16446 + x16447 + x16448 + x16449 + x16450 + x16451 + x16452 + x16453 + x16454 + x16455 + x16456 + x16457 + x16458 + x16459 + x16460 + x16461 + x16462 + x16463 + x16464 + x16465 + x16466 + x16467 + x16468 + x16469 + x16470 + x16471 + x16472 + x16473 + x16474 + x16475 + x16476 + x16477 + x16478 + x16479 + x16480 + x16481 + x16482 + x16483 + x16484 + x16485 + x16486 + x16487 + x16488 + x16489 + x16490 + x16491 + x16492 + x16493 + x16494 + x16495 + x16496 + x16497 + x16498 + x16499 + x16500 + x16501 + x16502 + x16503 + x16504 + x16505 + x16506 + x16507 + x16508 + x16509 + x16510 + x16511 + x16512 + x16513 + x16514 + x16515 + x16516 + x16517 + x16518 + x16519 + x16520 + x16521 + x16522 + x16523 + x16524 + x16525 + x16526 + x16527 + x16528 + x16529 + x16530 + x16531 + x16532 + x16533 + x16534 + x16535 + x16536 + x16537 + x16538 + x16539 + x16540 + x16541 + x16542 + x16543 + x16544 + x16545 + x16546 + x16547 + x16548 + x16549 + x16550 + x16551 + x16552 + x16553 + x16554 + x16555 + x16556 + x16557 + x16558 + x16559 + x16560 + x16561 + x16562 + x16563 + x16564 + x16565 + x16566 + x16567 + x16568 + x16569 + x16570 + x16571 + x16572 + x16573 + x16574 + x16575 + x16576 + x16577 + x16578 + x16579 + x16580 + x16581 + x16582 + x16583 + x16584 + x16585 + x16586 + x16587 + x16588 + x16589 + x16590 + x16591 + x16592 + x16593 + x16594 + x16595 + x16596 + x16597 + x16598 + x16599 + x16600 + x16601 + x16602 + x16603 + x16604 + x16605 + x16606 + x16607 + x16608 + x16609 + x16610 + x16611 + x16612 + x16613 + x16614 + x16615 + x16616 + x16617 + x16618 + x16619 + x16620 + x16621 + x16622 + x16623 + x16624 + x16625 + x16626 + x16627 + x16628 + x16629 + x16630 + x16631 + x16632 + x16633 + x16634 + x16635 + x16636 + x16637 + x16638 + x16639 + x16640 + x16641 + x16642 + x16643 + x16644 + x16645 + x16646 + x16647 + x16648 + x16649 + x16650 + x16651 + x16652 + x16653 + x16654 + x16655 + x16656 + x16657 + x16658 + x16659 + x16660 + x16661 + x16662 + x16663 + x16664 + x16665 + x16666 + x16667 + x16668 + x16669 + x16670 + x16671 + x16672 + x16673 + x16674 + x16675 + x16676 + x16677 + x16678 + x16679 + x16680 + x16681 + x16682 + x16683 + x16684 + x16685 + x16686 + x16687 + x16688 + x16689 + x16690 + x16691 + x16692 + x16693 + x16694 + x16695 + x16696 + x16697 + x16698 + x16699 + x16700 + x16701 + x16702 + x16703 + x16704 + x16705 + x16706 + x16707 + x16708 + x16709 + x16710 + x16711 + x16712 + x16713 + x16714 + x16715 + x16716 + x16717 + x16718 + x16719 + x16720 + x16721 + x16722 + x16723 + x16724 + x16725 + x16726 + x16727 + x16728 + x16729 + x16730 + x16731 + x16732 + x16733 + x16734 + x16735 + x16736 + x16737 + x16738 + x16739 + x16740 + x16741 + x16742 + x16743 + x16744 + x16745 + x16746 + x16747 + x16748 + x16749 + x16750 + x16751 + x16752 + x16753 + x16754 + x16755 + x16756 + x16757 + x16758 + x16759 + x16760 + x16761 + x16762 + x16763 + x16764 + x16765 + x16766 + x16767 + x16768 + x16769 + x16770 + x16771 + x16772 + x16773 + x16774 + x16775 + x16776 + x16777 + x16778 + x16779 + x16780 + x16781 + x16782 + x16783 + x16784 + x16785 + x16786 + x16787 + x16788 + x16789 + x16790 + x16791 + x16792 + x16793 + x16794 + x16795 + x16796 + x16797 + x16798 + x16799 + x16800 + x16801 + x16802 + x16803 + x16804 + x16805 + x16806 + x16807 + x16808 + x16809 + x16810 + x16811 + x16812 + x16813 + x16814 + x16815 + x16816 + x16817 + x16818 + x16819 + x16820 + x16821 + x16822 + x16823 + x16824 + x16825 + x16826 + x16827 + x16828 + x16829 + x16830 + x16831 + x16832 + x16833 + x16834 + x16835 + x16836 + x16837 + x16838 + x16839 + x16840 + x16841 + x16842 + x16843 + x16844 + x16845 + x16846 + x16847 + x16848 + x16849 + x16850 + x16851 + x16852 + x16853 + x16854 + x16855 + x16856 + x16857 + x16858 + x16859 + x16860 + x16861 + x16862 + x16863 + x16864 + x16865 + x16866 + x16867 + x16868 + x16869 + x16870 + x16871 + x16872 + x16873 + x16874 + x16875 + x16876 + x16877 + x16878 + x16879 + x16880 + x16881 + x16882 + x16883 + x16884 + x16885 + x16886 + x16887 + x16888 + x16889 + x16890 + x16891 + x16892 + x16893 + x16894 + x16895 + x16896 + x16897 + x16898 + x16899 + x16900 + x16901 + x16902 + x16903 + x16904 + x16905 + x16906 + x16907 + x16908 + x16909 + x16910 + x16911 + x16912 + x16913 + x16914 + x16915 + x16916 + x16917 + x16918 + x16919 + x16920 + x16921 + x16922 + x16923 + x16924 + x16925 + x16926 + x16927 + x16928 + x16929 + x16930 + x16931 + x16932 + x16933 + x16934 + x16935 + x16936 + x16937 + x16938 + x16939 + x16940 + x16941 + x16942 + x16943 + x16944 + x16945 + x16946 + x16947 + x16948 + x16949 + x16950 + x16951 + x16952 + x16953 + x16954 + x16955 + x16956 + x16957 + x16958 + x16959 + x16960 + x16961 + x16962 + x16963 + x16964 + x16965 + x16966 + x16967 + x16968 + x16969 + x16970 + x16971 + x16972 + x16973 + x16974 + x16975 + x16976 + x16977 + x16978 + x16979 + x16980 + x16981 + x16982 + x16983 + x16984 + x16985 + x16986 + x16987 + x16988 + x16989 + x16990 + x16991 + x16992 + x16993 + x16994 + x16995 + x16996 + x16997 + x16998 + x16999 + x17000 + x17001 + x17002 + x17003 + x17004 + x17005 + x17006 + x17007 + x17008 + x17009 + x17010 + x17011 + x17012 + x17013 + x17014 + x17015 + x17016 + x17017 + x17018 + x17019 + x17020 + x17021 + x17022 + x17023 + x17024 + x17025 + x17026 + x17027 + x17028 + x17029 + x17030 + x17031 + x17032 + x17033 + x17034 + x17035 + x17036 + x17037 + x17038 + x17039 + x17040 + x17041 + x17042 + x17043 + x17044 + x17045 + x17046 + x17047 + x17048 + x17049 + x17050 + x17051 + x17052 + x17053 + x17054 + x17055 + x17056 + x17057 + x17058 + x17059 + x17060 + x17061 + x17062 + x17063 + x17064 + x17065 + x17066 + x17067 + x17068 + x17069 + x17070 + x17071 + x17072 + x17073 + x17074 + x17075 + x17076 + x17077 + x17078 + x17079 + x17080 + x17081 + x17082 + x17083 + x17084 + x17085 + x17086 + x17087 + x17088 + x17089 + x17090 + x17091 + x17092 + x17093 + x17094 + x17095 + x17096 + x17097 + x17098 + x17099 + x17100 + x17101 + x17102 + x17103 + x17104 + x17105 + x17106 + x17107 + x17108 + x17109 + x17110 + x17111 + x17112 + x17113 + x17114 + x17115 + x17116 + x17117 + x17118 + x17119 + x17120 + x17121 + x17122 + x17123 + x17124 + x17125 + x17126 + x17127 + x17128 + x17129 + x17130 + x17131 + x17132 + x17133 + x17134 + x17135 + x17136 + x17137 + x17138 + x17139 + x17140 + x17141 + x17142 + x17143 + x17144 + x17145 + x17146 + x17147 + x17148 + x17149 + x17150 + x17151 + x17152 + x17153 + x17154 + x17155 + x17156 + x17157 + x17158 + x17159 + x17160 + x17161 + x17162 + x17163 + x17164 + x17165 + x17166 + x17167 + x17168 + x17169 + x17170 + x17171 + x17172 + x17173 + x17174 + x17175 + x17176 + x17177 + x17178 + x17179 + x17180 + x17181 + x17182 + x17183 + x17184 + x17185 + x17186 + x17187 + x17188 + x17189 + x17190 + x17191 + x17192 + x17193 + x17194 + x17195 + x17196 + x17197 + x17198 + x17199 + x17200 + x17201 + x17202 + x17203 + x17204 + x17205 + x17206 + x17207 + x17208 + x17209 + x17210 + x17211 + x17212 + x17213 + x17214 + x17215 + x17216 + x17217 + x17218 + x17219 + x17220 + x17221 + x17222 + x17223 + x17224 + x17225 + x17226 + x17227 + x17228 + x17229 + x17230 + x17231 + x17232 + x17233 + x17234 + x17235 + x17236 + x17237 + x17238 + x17239 + x17240 + x17241 + x17242 + x17243 + x17244 + x17245 + x17246 + x17247 + x17248 + x17249 + x17250 + x17251 + x17252 + x17253 + x17254 + x17255 + x17256 + x17257 + x17258 + x17259 + x17260 + x17261 + x17262 + x17263 + x17264 + x17265 + x17266 + x17267 + x17268 + x17269 + x17270 + x17271 + x17272 + x17273 + x17274 + x17275 + x17276 + x17277 + x17278 + x17279 + x17280 + x17281 + x17282 + x17283 + x17284 + x17285 + x17286 + x17287 + x17288 + x17289 + x17290 + x17291 + x17292 + x17293 + x17294 + x17295 + x17296 + x17297 + x17298 + x17299 + x17300 + x17301 + x17302 + x17303 + x17304 + x17305 + x17306 + x17307 + x17308 + x17309 + x17310 + x17311 + x17312 + x17313 + x17314 + x17315 + x17316 + x17317 + x17318 + x17319 + x17320 + x17321 + x17322 + x17323 + x17324 + x17325 + x17326 + x17327 + x17328 + x17329 + x17330 + x17331 + x17332 + x17333 + x17334 + x17335 + x17336 + x17337 + x17338 + x17339 + x17340 + x17341 + x17342 + x17343 + x17344 + x17345 + x17346 + x17347 + x17348 + x17349 + x17350 + x17351 + x17352 + x17353 + x17354 + x17355 + x17356 + x17357 + x17358 + x17359 + x17360 + x17361 + x17362 + x17363 + x17364 + x17365 + x17366 + x17367 + x17368 + x17369 + x17370 + x17371 + x17372 + x17373 + x17374 + x17375 + x17376 + x17377 + x17378 + x17379 + x17380 + x17381 + x17382 + x17383 + x17384 + x17385 + x17386 + x17387 + x17388 + x17389 + x17390 + x17391 + x17392 + x17393 + x17394 + x17395 + x17396 + x17397 + x17398 + x17399 + x17400 + x17401 + x17402 + x17403 + x17404 + x17405 + x17406 + x17407 + x17408 + x17409 + x17410 + x17411 + x17412 + x17413 + x17414 + x17415 + x17416 + x17417 + x17418 + x17419 + x17420 + x17421 + x17422 + x17423 + x17424 + x17425 + x17426 + x17427 + x17428 + x17429 + x17430 + x17431 + x17432 + x17433 + x17434 + x17435 + x17436 + x17437 + x17438 + x17439 + x17440 + x17441 + x17442 + x17443 + x17444 + x17445 + x17446 + x17447 + x17448 + x17449 + x17450 + x17451 + x17452 + x17453 + x17454 + x17455 + x17456 + x17457 + x17458 + x17459 + x17460 + x17461 + x17462 + x17463 + x17464 + x17465 + x17466 + x17467 + x17468 + x17469 + x17470 + x17471 + x17472 + x17473 + x17474 + x17475 + x17476 + x17477 + x17478 + x17479 + x17480 + x17481 + x17482 + x17483 + x17484 + x17485 + x17486 + x17487 + x17488 + x17489 + x17490 + x17491 + x17492 + x17493 + x17494 + x17495 + x17496 + x17497 + x17498 + x17499 + x17500 + x17501 + x17502 + x17503 + x17504 + x17505 + x17506 + x17507 + x17508 + x17509 + x17510 + x17511 + x17512 + x17513 + x17514 + x17515 + x17516 + x17517 + x17518 + x17519 + x17520 + x17521 + x17522 + x17523 + x17524 + x17525 + x17526 + x17527 + x17528 + x17529 + x17530 + x17531 + x17532 + x17533 + x17534 + x17535 + x17536 + x17537 + x17538 + x17539 + x17540 + x17541 + x17542 + x17543 + x17544 + x17545 + x17546 + x17547 + x17548 + x17549 + x17550 + x17551 + x17552 + x17553 + x17554 + x17555 + x17556 + x17557 + x17558 + x17559 + x17560 + x17561 + x17562 + x17563 + x17564 + x17565 + x17566 + x17567 + x17568 + x17569 + x17570 + x17571 + x17572 + x17573 + x17574 + x17575 + x17576 + x17577 + x17578 + x17579 + x17580 + x17581 + x17582 + x17583 + x17584 + x17585 + x17586 + x17587 + x17588 + x17589 + x17590 + x17591 + x17592 + x17593 + x17594 + x17595 + x17596 + x17597 + x17598 + x17599 + x17600 + x17601 + x17602 + x17603 + x17604 + x17605 + x17606 + x17607 + x17608 + x17609 + x17610 + x17611 + x17612 + x17613 + x17614 + x17615 + x17616 + x17617 + x17618 + x17619 + x17620 + x17621 + x17622 + x17623 + x17624 + x17625 + x17626 + x17627 + x17628 + x17629 + x17630 + x17631 + x17632 + x17633 + x17634 + x17635 + x17636 + x17637 + x17638 + x17639 + x17640 + x17641 + x17642 + x17643 + x17644 + x17645 + x17646 + x17647 + x17648 + x17649 + x17650 + x17651 + x17652 + x17653 + x17654 + x17655 + x17656 + x17657 + x17658 + x17659 + x17660 + x17661 + x17662 + x17663 + x17664 + x17665 + x17666 + x17667 + x17668 + x17669 + x17670 + x17671 + x17672 + x17673 + x17674 + x17675 + x17676 + x17677 + x17678 + x17679 + x17680 + x17681 + x17682 + x17683 + x17684 + x17685 + x17686 + x17687 + x17688 + x17689 + x17690 + x17691 + x17692 + x17693 + x17694 + x17695 + x17696 + x17697 + x17698 + x17699 + x17700 + x17701 + x17702 + x17703 + x17704 + x17705 + x17706 + x17707 + x17708 + x17709 + x17710 + x17711 + x17712 + x17713 + x17714 + x17715 + x17716 + x17717 + x17718 + x17719 + x17720 + x17721 + x17722 + x17723 + x17724 + x17725 + x17726 + x17727 + x17728 + x17729 + x17730 + x17731 + x17732 + x17733 + x17734 + x17735 + x17736 + x17737 + x17738 + x17739 + x17740 + x17741 + x17742 + x17743 + x17744 + x17745 + x17746 + x17747 + x17748 + x17749 + x17750 + x17751 + x17752 + x17753 + x17754 + x17755 + x17756 + x17757 + x17758 + x17759 + x17760 + x17761 + x17762 + x17763 + x17764 + x17765 + x17766 + x17767 + x17768 + x17769 + x17770 + x17771 + x17772 + x17773 + x17774 + x17775 + x17776 + x17777 + x17778 + x17779 + x17780 + x17781 + x17782 + x17783 + x17784 + x17785 + x17786 + x17787 + x17788 + x17789 + x17790 + x17791 + x17792 + x17793 + x17794 + x17795 + x17796 + x17797 + x17798 + x17799 + x17800 + x17801 + x17802 + x17803 + x17804 + x17805 + x17806 + x17807 + x17808 + x17809 + x17810 + x17811 + x17812 + x17813 + x17814 + x17815 + x17816 + x17817 + x17818 + x17819 + x17820 + x17821 + x17822 + x17823 + x17824 + x17825 + x17826 + x17827 + x17828 + x17829 + x17830 + x17831 + x17832 + x17833 + x17834 + x17835 + x17836 + x17837 + x17838 + x17839 + x17840 + x17841 + x17842 + x17843 + x17844 + x17845 + x17846 + x17847 + x17848 + x17849 + x17850 + x17851 + x17852 + x17853 + x17854 + x17855 + x17856 + x17857 + x17858 + x17859 + x17860 + x17861 + x17862 + x17863 + x17864 + x17865 + x17866 + x17867 + x17868 + x17869 + x17870 + x17871 + x17872 + x17873 + x17874 + x17875 + x17876 + x17877 + x17878 + x17879 + x17880 + x17881 + x17882 + x17883 + x17884 + x17885 + x17886 + x17887 + x17888 + x17889 + x17890 + x17891 + x17892 + x17893 + x17894 + x17895 + x17896 + x17897 + x17898 + x17899 + x17900 + x17901 + x17902 + x17903 + x17904 + x17905 + x17906 + x17907 + x17908 + x17909 + x17910 + x17911 + x17912 + x17913 + x17914 + x17915 + x17916 + x17917 + x17918 + x17919 + x17920 + x17921 + x17922 + x17923 + x17924 + x17925 + x17926 + x17927 + x17928 + x17929 + x17930 + x17931 + x17932 + x17933 + x17934 + x17935 + x17936 + x17937 + x17938 + x17939 + x17940 + x17941 + x17942 + x17943 + x17944 + x17945 + x17946 + x17947 + x17948 + x17949 + x17950 + x17951 + x17952 + x17953 + x17954 + x17955 + x17956 + x17957 + x17958 + x17959 + x17960 + x17961 + x17962 + x17963 + x17964 + x17965 + x17966 + x17967 + x17968 + x17969 + x17970 + x17971 + x17972 + x17973 + x17974 + x17975 + x17976 + x17977 + x17978 + x17979 + x17980 + x17981 + x17982 + x17983 + x17984 + x17985 + x17986 + x17987 + x17988 + x17989 + x17990 + x17991 + x17992 + x17993 + x17994 + x17995 + x17996 + x17997 + x17998 + x17999 + x18000 + x18001 + x18002 + x18003 + x18004 + x18005 + x18006 + x18007 + x18008 + x18009 + x18010 + x18011 + x18012 + x18013 + x18014 + x18015 + x18016 + x18017 + x18018 + x18019 + x18020 + x18021 + x18022 + x18023 + x18024 + x18025 + x18026 + x18027 + x18028 + x18029 + x18030 + x18031 + x18032 + x18033 + x18034 + x18035 + x18036 + x18037 + x18038 + x18039 + x18040 + x18041 + x18042 + x18043 + x18044 + x18045 + x18046 + x18047 + x18048 + x18049 + x18050 + x18051 + x18052 + x18053 + x18054 + x18055 + x18056 + x18057 + x18058 + x18059 + x18060 + x18061 + x18062 + x18063 + x18064 + x18065 + x18066 + x18067 + x18068 + x18069 + x18070 + x18071 + x18072 + x18073 + x18074 + x18075 + x18076 + x18077 + x18078 + x18079 + x18080 + x18081 + x18082 + x18083 + x18084 + x18085 + x18086 + x18087 + x18088 + x18089 + x18090 + x18091 + x18092 + x18093 + x18094 + x18095 + x18096 + x18097 + x18098 + x18099 + x18100 + x18101 + x18102 + x18103 + x18104 + x18105 + x18106 + x18107 + x18108 + x18109 + x18110 + x18111 + x18112 + x18113 + x18114 + x18115 + x18116 + x18117 + x18118 + x18119 + x18120 + x18121 + x18122 + x18123 + x18124 + x18125 + x18126 + x18127 + x18128 + x18129 + x18130 + x18131 + x18132 + x18133 + x18134 + x18135 + x18136 + x18137 + x18138 + x18139 + x18140 + x18141 + x18142 + x18143 + x18144 + x18145 + x18146 + x18147 + x18148 + x18149 + x18150 + x18151 + x18152 + x18153 + x18154 + x18155 + x18156 + x18157 + x18158 + x18159 + x18160 + x18161 + x18162 + x18163 + x18164 + x18165 + x18166 + x18167 + x18168 + x18169 + x18170 + x18171 + x18172 + x18173 + x18174 + x18175 + x18176 + x18177 + x18178 + x18179 + x18180 + x18181 + x18182 + x18183 + x18184 + x18185 + x18186 + x18187 + x18188 + x18189 + x18190 + x18191 + x18192 + x18193 + x18194 + x18195 + x18196 + x18197 + x18198 + x18199 + x18200 + x18201 + x18202 + x18203 + x18204 + x18205 + x18206 + x18207 + x18208 + x18209 + x18210 + x18211 + x18212 + x18213 + x18214 + x18215 + x18216 + x18217 + x18218 + x18219 + x18220 + x18221 + x18222 + x18223 + x18224 + x18225 + x18226 + x18227 + x18228 + x18229 + x18230 + x18231 + x18232 + x18233 + x18234 + x18235 + x18236 + x18237 + x18238 + x18239 + x18240 + x18241 + x18242 + x18243 + x18244 + x18245 + x18246 + x18247 + x18248 + x18249 + x18250 + x18251 + x18252 + x18253 + x18254 + x18255 + x18256 + x18257 + x18258 + x18259 + x18260 + x18261 + x18262 + x18263 + x18264 + x18265 + x18266 + x18267 + x18268 + x18269 + x18270 + x18271 + x18272 + x18273 + x18274 + x18275 + x18276 + x18277 + x18278 + x18279 + x18280 + x18281 + x18282 + x18283 + x18284 + x18285 + x18286 + x18287 + x18288 + x18289 + x18290 + x18291 + x18292 + x18293 + x18294 + x18295 + x18296 + x18297 + x18298 + x18299 + x18300 + x18301 + x18302 + x18303 + x18304 + x18305 + x18306 + x18307 + x18308 + x18309 + x18310 + x18311 + x18312 + x18313 + x18314 + x18315 + x18316 + x18317 + x18318 + x18319 + x18320 + x18321 + x18322 + x18323 + x18324 + x18325 + x18326 + x18327 + x18328 + x18329 + x18330 + x18331 + x18332 + x18333 + x18334 + x18335 + x18336 + x18337 + x18338 + x18339 + x18340 + x18341 + x18342 + x18343 + x18344 + x18345 + x18346 + x18347 + x18348 + x18349 + x18350 + x18351 + x18352 + x18353 + x18354 + x18355 + x18356 + x18357 + x18358 + x18359 + x18360 + x18361 + x18362 + x18363 + x18364 + x18365 + x18366 + x18367 + x18368 + x18369 + x18370 + x18371 + x18372 + x18373 + x18374 + x18375 + x18376 + x18377 + x18378 + x18379 + x18380 + x18381 + x18382 + x18383 + x18384 + x18385 + x18386 + x18387 + x18388 + x18389 + x18390 + x18391 + x18392 + x18393 + x18394 + x18395 + x18396 + x18397 + x18398 + x18399 + x18400 + x18401 + x18402 + x18403 + x18404 + x18405 + x18406 + x18407 + x18408 + x18409 + x18410 + x18411 + x18412 + x18413 + x18414 + x18415 + x18416 + x18417 + x18418 + x18419 + x18420 + x18421 + x18422 + x18423 + x18424 + x18425 + x18426 + x18427 + x18428 + x18429 + x18430 + x18431 + x18432 + x18433 + x18434 + x18435 + x18436 + x18437 + x18438 + x18439 + x18440 + x18441 + x18442 + x18443 + x18444 + x18445 + x18446 + x18447 + x18448 + x18449 + x18450 + x18451 + x18452 + x18453 + x18454 + x18455 + x18456 + x18457 + x18458 + x18459 + x18460 + x18461 + x18462 + x18463 + x18464 + x18465 + x18466 + x18467 + x18468 + x18469 + x18470 + x18471 + x18472 + x18473 + x18474 + x18475 + x18476 + x18477 + x18478 + x18479 + x18480 + x18481 + x18482 + x18483 + x18484 + x18485 + x18486 + x18487 + x18488 + x18489 + x18490 + x18491 + x18492 + x18493 + x18494 + x18495 + x18496 + x18497 + x18498 + x18499 + x18500 + x18501 + x18502 + x18503 + x18504 + x18505 + x18506 + x18507 + x18508 + x18509 + x18510 + x18511 + x18512 + x18513 + x18514 + x18515 + x18516 + x18517 + x18518 + x18519 + x18520 + x18521 + x18522 + x18523 + x18524 + x18525 + x18526 + x18527 + x18528 + x18529 + x18530 + x18531 + x18532 + x18533 + x18534 + x18535 + x18536 + x18537 + x18538 + x18539 + x18540 + x18541 + x18542 + x18543 + x18544 + x18545 + x18546 + x18547 + x18548 + x18549 + x18550 + x18551 + x18552 + x18553 + x18554 + x18555 + x18556 + x18557 + x18558 + x18559 + x18560 + x18561 + x18562 + x18563 + x18564 + x18565 + x18566 + x18567 + x18568 + x18569 + x18570 + x18571 + x18572 + x18573 + x18574 + x18575 + x18576 + x18577 + x18578 + x18579 + x18580 + x18581 + x18582 + x18583 + x18584 + x18585 + x18586 + x18587 + x18588 + x18589 + x18590 + x18591 + x18592 + x18593 + x18594 + x18595 + x18596 + x18597 + x18598 + x18599 + x18600 + x18601 + x18602 + x18603 + x18604 + x18605 + x18606 + x18607 + x18608 + x18609 + x18610 + x18611 + x18612 + x18613 + x18614 + x18615 + x18616 + x18617 + x18618 + x18619 + x18620 + x18621 + x18622 + x18623 + x18624 + x18625 + x18626 + x18627 + x18628 + x18629 + x18630 + x18631 + x18632 + x18633 + x18634 + x18635 + x18636 + x18637 + x18638 + x18639 + x18640 + x18641 + x18642 + x18643 + x18644 + x18645 + x18646 + x18647 + x18648 + x18649 + x18650 + x18651 + x18652 + x18653 + x18654 + x18655 + x18656 + x18657 + x18658 + x18659 + x18660 + x18661 + x18662 + x18663 + x18664 + x18665 + x18666 + x18667 + x18668 + x18669 + x18670 + x18671 + x18672 + x18673 + x18674 + x18675 + x18676 + x18677 + x18678 + x18679 + x18680 + x18681 + x18682 + x18683 + x18684 + x18685 + x18686 + x18687 + x18688 + x18689 + x18690 + x18691 + x18692 + x18693 + x18694 + x18695 + x18696 + x18697 + x18698 + x18699 + x18700 + x18701 + x18702 + x18703 + x18704 + x18705 + x18706 + x18707 + x18708 + x18709 + x18710 + x18711 + x18712 + x18713 + x18714 + x18715 + x18716 + x18717 + x18718 + x18719 + x18720 + x18721 + x18722 + x18723 + x18724 + x18725 + x18726 + x18727 + x18728 + x18729 + x18730 + x18731 + x18732 + x18733 + x18734 + x18735 + x18736 + x18737 + x18738 + x18739 + x18740 + x18741 + x18742 + x18743 + x18744 + x18745 + x18746 + x18747 + x18748 + x18749 + x18750 + x18751 + x18752 + x18753 + x18754 + x18755 + x18756 + x18757 + x18758 + x18759 + x18760 + x18761 + x18762 + x18763 + x18764 + x18765 + x18766 + x18767 + x18768 + x18769 + x18770 + x18771 + x18772 + x18773 + x18774 + x18775 + x18776 + x18777 + x18778 + x18779 + x18780 + x18781 + x18782 + x18783 + x18784 + x18785 + x18786 + x18787 + x18788 + x18789 + x18790 + x18791 + x18792 + x18793 + x18794 + x18795 + x18796 + x18797 + x18798 + x18799 + x18800 + x18801 + x18802 + x18803 + x18804 + x18805 + x18806 + x18807 + x18808 + x18809 + x18810 + x18811 + x18812 + x18813 + x18814 + x18815 + x18816 + x18817 + x18818 + x18819 + x18820 + x18821 + x18822 + x18823 + x18824 + x18825 + x18826 + x18827 + x18828 + x18829 + x18830 + x18831 + x18832 + x18833 + x18834 + x18835 + x18836 + x18837 + x18838 + x18839 + x18840 + x18841 + x18842 + x18843 + x18844 + x18845 + x18846 + x18847 + x18848 + x18849 + x18850 + x18851 + x18852 + x18853 + x18854 + x18855 + x18856 + x18857 + x18858 + x18859 + x18860 + x18861 + x18862 + x18863 + x18864 + x18865 + x18866 + x18867 + x18868 + x18869 + x18870 + x18871 + x18872 + x18873 + x18874 + x18875 + x18876 + x18877 + x18878 + x18879 + x18880 + x18881 + x18882 + x18883 + x18884 + x18885 + x18886 + x18887 + x18888 + x18889 + x18890 + x18891 + x18892 + x18893 + x18894 + x18895 + x18896 + x18897 + x18898 + x18899 + x18900 + x18901 + x18902 + x18903 + x18904 + x18905 + x18906 + x18907 + x18908 + x18909 + x18910 + x18911 + x18912 + x18913 + x18914 + x18915 + x18916 + x18917 + x18918 + x18919 + x18920 + x18921 + x18922 + x18923 + x18924 + x18925 + x18926 + x18927 + x18928 + x18929 + x18930 + x18931 + x18932 + x18933 + x18934 + x18935 + x18936 + x18937 + x18938 + x18939 + x18940 + x18941 + x18942 + x18943 + x18944 + x18945 + x18946 + x18947 + x18948 + x18949 + x18950 + x18951 + x18952 + x18953 + x18954 + x18955 + x18956 + x18957 + x18958 + x18959 + x18960 + x18961 + x18962 + x18963 + x18964 + x18965 + x18966 + x18967 + x18968 + x18969 + x18970 + x18971 + x18972 + x18973 + x18974 + x18975 + x18976 + x18977 + x18978 + x18979 + x18980 + x18981 + x18982 + x18983 + x18984 + x18985 + x18986 + x18987 + x18988 + x18989 + x18990 + x18991 + x18992 + x18993 + x18994 + x18995 + x18996 + x18997 + x18998 + x18999 + x19000 + x19001 + x19002 + x19003 + x19004 + x19005 + x19006 + x19007 + x19008 + x19009 + x19010 + x19011 + x19012 + x19013 + x19014 + x19015 + x19016 + x19017 + x19018 + x19019 + x19020 + x19021 + x19022 + x19023 + x19024 + x19025 + x19026 + x19027 + x19028 + x19029 + x19030 + x19031 + x19032 + x19033 + x19034 + x19035 + x19036 + x19037 + x19038 + x19039 + x19040 + x19041 + x19042 + x19043 + x19044 + x19045 + x19046 + x19047 + x19048 + x19049 + x19050 + x19051 + x19052 + x19053 + x19054 + x19055 + x19056 + x19057 + x19058 + x19059 + x19060 + x19061 + x19062 + x19063 + x19064 + x19065 + x19066 + x19067 + x19068 + x19069 + x19070 + x19071 + x19072 + x19073 + x19074 + x19075 + x19076 + x19077 + x19078 + x19079 + x19080 + x19081 + x19082 + x19083 + x19084 + x19085 + x19086 + x19087 + x19088 + x19089 + x19090 + x19091 + x19092 + x19093 + x19094 + x19095 + x19096 + x19097 + x19098 + x19099 + x19100 + x19101 + x19102 + x19103 + x19104 + x19105 + x19106 + x19107 + x19108 + x19109 + x19110 + x19111 + x19112 + x19113 + x19114 + x19115 + x19116 + x19117 + x19118 + x19119 + x19120 + x19121 + x19122 + x19123 + x19124 + x19125 + x19126 + x19127 + x19128 + x19129 + x19130 + x19131 + x19132 + x19133 + x19134 + x19135 + x19136 + x19137 + x19138 + x19139 + x19140 + x19141 + x19142 + x19143 + x19144 + x19145 + x19146 + x19147 + x19148 + x19149 + x19150 + x19151 + x19152 + x19153 + x19154 + x19155 + x19156 + x19157 + x19158 + x19159 + x19160 + x19161 + x19162 + x19163 + x19164 + x19165 + x19166 + x19167 + x19168 + x19169 + x19170 + x19171 + x19172 + x19173 + x19174 + x19175 + x19176 + x19177 + x19178 + x19179 + x19180 + x19181 + x19182 + x19183 + x19184 + x19185 + x19186 + x19187 + x19188 + x19189 + x19190 + x19191 + x19192 + x19193 + x19194 + x19195 + x19196 + x19197 + x19198 + x19199 + x19200 + x19201 + 0 x19202 + 0 x19203 + 0 x19204 + 0 x19205 + 0 x19206 + 0 x19207 + 0 x19208 + 0 x19209 + 0 x19210 + 0 x19211 + 0 x19212 + 0 x19213 + 0 x19214 + 0 x19215 + 0 x19216 + 0 x19217 + 0 x19218 + 0 x19219 + 0 x19220 + 0 x19221 + 0 x19222 + 0 x19223 + 0 x19224 + 0 x19225 + 0 x19226 + 0 x19227 + 0 x19228 + 0 x19229 + 0 x19230 + 0 x19231 + 0 x19232 + 0 x19233 + 0 x19234 + 0 x19235 + 0 x19236 + 0 x19237 + 0 x19238 + 0 x19239 + 0 x19240 + 0 x19241 + 0 x19242 + 0 x19243 + 0 x19244 + 0 x19245 + 0 x19246 + 0 x19247 + 0 x19248 + 0 x19249 + 0 x19250 + 0 x19251 + 0 x19252 + 0 x19253 + 0 x19254 + 0 x19255 + 0 x19256 + 0 x19257 + 0 x19258 + 0 x19259 + 0 x19260 + 0 x19261 + 0 x19262 + 0 x19263 + 0 x19264 + 0 x19265 + 0 x19266 + 0 x19267 + 0 x19268 + 0 x19269 + 0 x19270 + 0 x19271 + 0 x19272 + 0 x19273 + 0 x19274 + 0 x19275 + 0 x19276 + 0 x19277 + 0 x19278 + 0 x19279 + 0 x19280 + 0 x19281 + 0 x19282 + 0 x19283 + 0 x19284 + 0 x19285 + 0 x19286 + 0 x19287 + 0 x19288 + 0 x19289 + 0 x19290 + 0 x19291 + 0 x19292 + 0 x19293 + 0 x19294 + 0 x19295 + 0 x19296 + 0 x19297 + 0 x19298 + 0 x19299 + 0 x19300 + 0 x19301 + 0 x19302 + 0 x19303 + 0 x19304 + 0 x19305 + 0 x19306 + 0 x19307 + 0 x19308 + 0 x19309 + 0 x19310 + 0 x19311 + 0 x19312 + 0 x19313 + 0 x19314 + 0 x19315 + 0 x19316 + 0 x19317 + 0 x19318 + 0 x19319 + 0 x19320 + 0 x19321 + 0 x19322 + 0 x19323 + 0 x19324 + 0 x19325 + 0 x19326 + 0 x19327 + 0 x19328 + 0 x19329 + 0 x19330 + 0 x19331 + 0 x19332 + 0 x19333 + 0 x19334 + 0 x19335 + 0 x19336 + 0 x19337 + 0 x19338 + 0 x19339 + 0 x19340 + 0 x19341 + 0 x19342 + 0 x19343 + 0 x19344 + 0 x19345 + 0 x19346 + 0 x19347 + 0 x19348 + 0 x19349 + 0 x19350 + 0 x19351 + 0 x19352 + 0 x19353 + 0 x19354 + 0 x19355 + 0 x19356 + 0 x19357 + 0 x19358 + 0 x19359 + 0 x19360 + 0 x19361 + 0 x19362 + 0 x19363 + 0 x19364 + 0 x19365 + 0 x19366 + 0 x19367 + 0 x19368 + 0 x19369 + 0 x19370 + 0 x19371 + 0 x19372 + 0 x19373 + 0 x19374 + 0 x19375 + 0 x19376 + 0 x19377 + 0 x19378 + 0 x19379 + 0 x19380 + 0 x19381 + 0 x19382 + 0 x19383 + 0 x19384 + 0 x19385 + 0 x19386 + 0 x19387 + 0 x19388 + 0 x19389 + 0 x19390 + 0 x19391 + 0 x19392 + 0 x19393 + 0 x19394 + 0 x19395 + 0 x19396 + 0 x19397 + 0 x19398 + 0 x19399 + 0 x19400 + 0 x19401 + 0 x19402 + 0 x19403 + 0 x19404 + 0 x19405 + 0 x19406 + 0 x19407 + 0 x19408 + 0 x19409 + 0 x19410 + 0 x19411 + 0 x19412 + 0 x19413 + 0 x19414 + 0 x19415 + 0 x19416 + 0 x19417 + 0 x19418 + 0 x19419 + 0 x19420 + 0 x19421 + 0 x19422 + 0 x19423 + 0 x19424 + 0 x19425 + 0 x19426 + 0 x19427 + 0 x19428 + 0 x19429 + 0 x19430 + 0 x19431 + 0 x19432 + 0 x19433 + 0 x19434 + 0 x19435 + 0 x19436 + 0 x19437 + 0 x19438 + 0 x19439 + 0 x19440 + 0 x19441 + 0 x19442 + 0 x19443 + 0 x19444 + 0 x19445 + 0 x19446 + 0 x19447 + 0 x19448 + 0 x19449 + 0 x19450 + 0 x19451 + 0 x19452 + 0 x19453 + 0 x19454 + 0 x19455 + 0 x19456 + 0 x19457 + 0 x19458 + 0 x19459 + 0 x19460 + 0 x19461 + 0 x19462 + 0 x19463 + 0 x19464 + 0 x19465 + 0 x19466 + 0 x19467 + 0 x19468 + 0 x19469 + 0 x19470 + 0 x19471 + 0 x19472 + 0 x19473 + 0 x19474 + 0 x19475 + 0 x19476 + 0 x19477 + 0 x19478 + 0 x19479 + 0 x19480 + 0 x19481 + 0 x19482 + 0 x19483 + 0 x19484 + 0 x19485 + 0 x19486 + 0 x19487 + 0 x19488 + 0 x19489 + 0 x19490 + 0 x19491 + 0 x19492 + 0 x19493 + 0 x19494 + 0 x19495 + 0 x19496 + 0 x19497 + 0 x19498 + 0 x19499 + 0 x19500 + 0 x19501 + 0 x19502 + 0 x19503 + 0 x19504 + 0 x19505 + 0 x19506 + 0 x19507 + 0 x19508 + 0 x19509 + 0 x19510 + 0 x19511 + 0 x19512 + 0 x19513 + 0 x19514 + 0 x19515 + 0 x19516 + 0 x19517 + 0 x19518 + 0 x19519 + 0 x19520 + 0 x19521 + 0 x19522 + 0 x19523 + 0 x19524 + 0 x19525 + 0 x19526 + 0 x19527 + 0 x19528 + 0 x19529 + 0 x19530 + 0 x19531 + 0 x19532 + 0 x19533 + 0 x19534 + 0 x19535 + 0 x19536 + 0 x19537 + 0 x19538 + 0 x19539 + 0 x19540 + 0 x19541 + 0 x19542 + 0 x19543 + 0 x19544 + 0 x19545 + 0 x19546 + 0 x19547 + 0 x19548 + 0 x19549 + 0 x19550 + 0 x19551 + 0 x19552 + 0 x19553 + 0 x19554 + 0 x19555 + 0 x19556 + 0 x19557 + 0 x19558 + 0 x19559 + 0 x19560 + 0 x19561 + 0 x19562 + 0 x19563 + 0 x19564 + 0 x19565 + 0 x19566 + 0 x19567 + 0 x19568 + 0 x19569 + 0 x19570 + 0 x19571 + 0 x19572 + 0 x19573 + 0 x19574 + 0 x19575 + 0 x19576 + 0 x19577 + 0 x19578 + 0 x19579 + 0 x19580 + 0 x19581 + 0 x19582 + 0 x19583 + 0 x19584 + 0 x19585 + 0 x19586 + 0 x19587 + 0 x19588 + 0 x19589 + 0 x19590 + 0 x19591 + 0 x19592 + 0 x19593 + 0 x19594 + 0 x19595 + 0 x19596 + 0 x19597 + 0 x19598 + 0 x19599 + 0 x19600 + 0 x19601 + 0 x19602 + 0 x19603 + 0 x19604 + 0 x19605 + 0 x19606 + 0 x19607 + 0 x19608 + 0 x19609 + 0 x19610 + 0 x19611 + 0 x19612 + 0 x19613 + 0 x19614 + 0 x19615 + 0 x19616 + 0 x19617 + 0 x19618 + 0 x19619 + 0 x19620 + 0 x19621 + 0 x19622 + 0 x19623 + 0 x19624 + 0 x19625 + 0 x19626 + 0 x19627 + 0 x19628 + 0 x19629 + 0 x19630 + 0 x19631 + 0 x19632 + 0 x19633 + 0 x19634 + 0 x19635 + 0 x19636 + 0 x19637 + 0 x19638 + 0 x19639 + 0 x19640 + 0 x19641 + 0 x19642 + 0 x19643 + 0 x19644 + 0 x19645 + 0 x19646 + 0 x19647 + 0 x19648 + 0 x19649 + 0 x19650 + 0 x19651 + 0 x19652 + 0 x19653 + 0 x19654 + 0 x19655 + 0 x19656 + 0 x19657 + 0 x19658 + 0 x19659 + 0 x19660 + 0 x19661 + 0 x19662 + 0 x19663 + 0 x19664 + 0 x19665 + 0 x19666 + 0 x19667 + 0 x19668 + 0 x19669 + 0 x19670 + 0 x19671 + 0 x19672 + 0 x19673 + 0 x19674 + 0 x19675 + 0 x19676 + 0 x19677 + 0 x19678 + 0 x19679 + 0 x19680 + 0 x19681 + 0 x19682 + 0 x19683 + 0 x19684 + 0 x19685 + 0 x19686 + 0 x19687 + 0 x19688 + 0 x19689 + 0 x19690 + 0 x19691 + 0 x19692 + 0 x19693 + 0 x19694 + 0 x19695 + 0 x19696 + 0 x19697 + 0 x19698 + 0 x19699 + 0 x19700 + 0 x19701 + 0 x19702 + 0 x19703 + 0 x19704 + 0 x19705 + 0 x19706 + 0 x19707 + 0 x19708 + 0 x19709 + 0 x19710 + 0 x19711 + 0 x19712 + 0 x19713 + 0 x19714 + 0 x19715 + 0 x19716 + 0 x19717 + 0 x19718 + 0 x19719 + 0 x19720 + 0 x19721 + 0 x19722 + 0 x19723 + 0 x19724 + 0 x19725 + 0 x19726 + 0 x19727 + 0 x19728 + 0 x19729 + 0 x19730 + 0 x19731 + 0 x19732 + 0 x19733 + 0 x19734 + 0 x19735 + 0 x19736 + 0 x19737 + 0 x19738 + 0 x19739 + 0 x19740 + 0 x19741 + 0 x19742 + 0 x19743 + 0 x19744 + 0 x19745 + 0 x19746 + 0 x19747 + 0 x19748 + 0 x19749 + 0 x19750 + 0 x19751 + 0 x19752 + 0 x19753 + 0 x19754 + 0 x19755 + 0 x19756 + 0 x19757 + 0 x19758 + 0 x19759 + 0 x19760 + 0 x19761 + 0 x19762 + 0 x19763 + 0 x19764 + 0 x19765 + 0 x19766 + 0 x19767 + 0 x19768 + 0 x19769 + 0 x19770 + 0 x19771 + 0 x19772 + 0 x19773 + 0 x19774 + 0 x19775 + 0 x19776 + 0 x19777 + 0 x19778 + 0 x19779 + 0 x19780 + 0 x19781 + 0 x19782 + 0 x19783 + 0 x19784 + 0 x19785 + 0 x19786 + 0 x19787 + 0 x19788 + 0 x19789 + 0 x19790 + 0 x19791 + 0 x19792 + 0 x19793 + 0 x19794 + 0 x19795 + 0 x19796 + 0 x19797 + 0 x19798 + 0 x19799 + 0 x19800 + 0 x19801 + 0 x19802 + 0 x19803 + 0 x19804 + 0 x19805 + 0 x19806 + 0 x19807 + 0 x19808 + 0 x19809 + 0 x19810 + 0 x19811 + 0 x19812 + 0 x19813 + 0 x19814 + 0 x19815 + 0 x19816 + 0 x19817 + 0 x19818 + 0 x19819 + 0 x19820 + 0 x19821 + 0 x19822 + 0 x19823 + 0 x19824 + 0 x19825 + 0 x19826 + 0 x19827 + 0 x19828 + 0 x19829 + 0 x19830 + 0 x19831 + 0 x19832 + 0 x19833 + 0 x19834 + 0 x19835 + 0 x19836 + 0 x19837 + 0 x19838 + 0 x19839 + 0 x19840 + 0 x19841 + 0 x19842 + 0 x19843 + 0 x19844 + 0 x19845 + 0 x19846 + 0 x19847 + 0 x19848 + 0 x19849 + 0 x19850 + 0 x19851 + 0 x19852 + 0 x19853 + 0 x19854 + 0 x19855 + 0 x19856 + 0 x19857 + 0 x19858 + 0 x19859 + 0 x19860 + 0 x19861 + 0 x19862 + 0 x19863 + 0 x19864 + 0 x19865 + 0 x19866 + 0 x19867 + 0 x19868 + 0 x19869 + 0 x19870 + 0 x19871 + 0 x19872 + 0 x19873 + 0 x19874 + 0 x19875 + 0 x19876 + 0 x19877 + 0 x19878 + 0 x19879 + 0 x19880 + 0 x19881 + 0 x19882 + 0 x19883 + 0 x19884 + 0 x19885 + 0 x19886 + 0 x19887 + 0 x19888 + 0 x19889 + 0 x19890 + 0 x19891 + 0 x19892 + 0 x19893 + 0 x19894 + 0 x19895 + 0 x19896 + 0 x19897 + 0 x19898 + 0 x19899 + 0 x19900 + 0 x19901 + 0 x19902 + 0 x19903 + 0 x19904 + 0 x19905 + 0 x19906 + 0 x19907 + 0 x19908 + 0 x19909 + 0 x19910 + 0 x19911 + 0 x19912 + 0 x19913 + 0 x19914 + 0 x19915 + 0 x19916 + 0 x19917 + 0 x19918 + 0 x19919 + 0 x19920 + 0 x19921 + 0 x19922 + 0 x19923 + 0 x19924 + 0 x19925 + 0 x19926 + 0 x19927 + 0 x19928 + 0 x19929 + 0 x19930 + 0 x19931 + 0 x19932 + 0 x19933 + 0 x19934 + 0 x19935 + 0 x19936 + 0 x19937 + 0 x19938 + 0 x19939 + 0 x19940 + 0 x19941 + 0 x19942 + 0 x19943 + 0 x19944 + 0 x19945 + 0 x19946 + 0 x19947 + 0 x19948 + 0 x19949 + 0 x19950 + 0 x19951 + 0 x19952 + 0 x19953 + 0 x19954 + 0 x19955 + 0 x19956 + 0 x19957 + 0 x19958 + 0 x19959 + 0 x19960 + 0 x19961 + 0 x19962 + 0 x19963 + 0 x19964 + 0 x19965 + 0 x19966 + 0 x19967 + 0 x19968 + 0 x19969 + 0 x19970 + 0 x19971 + 0 x19972 + 0 x19973 + 0 x19974 + 0 x19975 + 0 x19976 + 0 x19977 + 0 x19978 + 0 x19979 + 0 x19980 + 0 x19981 + 0 x19982 + 0 x19983 + 0 x19984 + 0 x19985 + 0 x19986 + 0 x19987 + 0 x19988 + 0 x19989 + 0 x19990 + 0 x19991 + 0 x19992 + 0 x19993 + 0 x19994 + 0 x19995 + 0 x19996 + 0 x19997 + 0 x19998 + 0 x19999 + 0 x20000 + 0 x20001 + 0 x20002 + 0 x20003 + 0 x20004 + 0 x20005 + 0 x20006 + 0 x20007 + 0 x20008 + 0 x20009 + 0 x20010 + 0 x20011 + 0 x20012 + 0 x20013 + 0 x20014 + 0 x20015 + 0 x20016 + 0 x20017 + 0 x20018 + 0 x20019 + 0 x20020 + 0 x20021 + 0 x20022 + 0 x20023 + 0 x20024 + 0 x20025 + 0 x20026 + 0 x20027 + 0 x20028 + 0 x20029 + 0 x20030 + 0 x20031 + 0 x20032 + 0 x20033 + 0 x20034 + 0 x20035 + 0 x20036 + 0 x20037 + 0 x20038 + 0 x20039 + 0 x20040 + 0 x20041 + 0 x20042 + 0 x20043 + 0 x20044 + 0 x20045 + 0 x20046 + 0 x20047 + 0 x20048 + 0 x20049 + 0 x20050 + 0 x20051 + 0 x20052 + 0 x20053 + 0 x20054 + 0 x20055 + 0 x20056 + 0 x20057 + 0 x20058 + 0 x20059 + 0 x20060 + 0 x20061 + 0 x20062 + 0 x20063 + 0 x20064 + 0 x20065 + 0 x20066 + 0 x20067 + 0 x20068 + 0 x20069 + 0 x20070 + 0 x20071 + 0 x20072 + 0 x20073 + 0 x20074 + 0 x20075 + 0 x20076 + 0 x20077 + 0 x20078 + 0 x20079 + 0 x20080 + 0 x20081 + 0 x20082 + 0 x20083 + 0 x20084 + 0 x20085 + 0 x20086 + 0 x20087 + 0 x20088 + 0 x20089 + 0 x20090 + 0 x20091 + 0 x20092 + 0 x20093 + 0 x20094 + 0 x20095 + 0 x20096 + 0 x20097 + 0 x20098 + 0 x20099 + 0 x20100 + 0 x20101 + 0 x20102 + 0 x20103 + 0 x20104 + 0 x20105 + 0 x20106 + 0 x20107 + 0 x20108 + 0 x20109 + 0 x20110 + 0 x20111 + 0 x20112 + 0 x20113 + 0 x20114 + 0 x20115 + 0 x20116 + 0 x20117 + 0 x20118 + 0 x20119 + 0 x20120 + 0 x20121 + 0 x20122 + 0 x20123 + 0 x20124 + 0 x20125 + 0 x20126 + 0 x20127 + 0 x20128 + 0 x20129 + 0 x20130 + 0 x20131 + 0 x20132 + 0 x20133 + 0 x20134 + 0 x20135 + 0 x20136 + 0 x20137 + 0 x20138 + 0 x20139 + 0 x20140 + 0 x20141 + 0 x20142 + 0 x20143 + 0 x20144 + 0 x20145 + 0 x20146 + 0 x20147 + 0 x20148 + 0 x20149 + 0 x20150 + 0 x20151 + 0 x20152 + 0 x20153 + 0 x20154 + 0 x20155 + 0 x20156 + 0 x20157 + 0 x20158 + 0 x20159 + 0 x20160 + 0 x20161 + 0 x20162 + 0 x20163 + 0 x20164 + 0 x20165 + 0 x20166 + 0 x20167 + 0 x20168 + 0 x20169 + 0 x20170 + 0 x20171 + 0 x20172 + 0 x20173 + 0 x20174 + 0 x20175 + 0 x20176 + 0 x20177 + 0 x20178 + 0 x20179 + 0 x20180 + 0 x20181 + 0 x20182 + 0 x20183 + 0 x20184 + 0 x20185 + 0 x20186 + 0 x20187 + 0 x20188 + 0 x20189 + 0 x20190 + 0 x20191 + 0 x20192 + 0 x20193 + 0 x20194 + 0 x20195 + 0 x20196 + 0 x20197 + 0 x20198 + 0 x20199 + 0 x20200 + 0 x20201 + 0 x20202 + 0 x20203 + 0 x20204 + 0 x20205 + 0 x20206 + 0 x20207 + 0 x20208 + 0 x20209 + 0 x20210 + 0 x20211 + 0 x20212 + 0 x20213 + 0 x20214 + 0 x20215 + 0 x20216 + 0 x20217 + 0 x20218 + 0 x20219 + 0 x20220 + 0 x20221 + 0 x20222 + 0 x20223 + 0 x20224 + 0 x20225 + 0 x20226 + 0 x20227 + 0 x20228 + 0 x20229 + 0 x20230 + 0 x20231 + 0 x20232 + 0 x20233 + 0 x20234 + 0 x20235 + 0 x20236 + 0 x20237 + 0 x20238 + 0 x20239 + 0 x20240 + 0 x20241 + 0 x20242 + 0 x20243 + 0 x20244 + 0 x20245 + 0 x20246 + 0 x20247 + 0 x20248 + 0 x20249 + 0 x20250 + 0 x20251 + 0 x20252 + 0 x20253 + 0 x20254 + 0 x20255 + 0 x20256 + 0 x20257 + 0 x20258 + 0 x20259 + 0 x20260 + 0 x20261 + 0 x20262 + 0 x20263 + 0 x20264 + 0 x20265 + 0 x20266 + 0 x20267 + 0 x20268 + 0 x20269 + 0 x20270 + 0 x20271 + 0 x20272 + 0 x20273 + 0 x20274 + 0 x20275 + 0 x20276 + 0 x20277 + 0 x20278 + 0 x20279 + 0 x20280 + 0 x20281 + 0 x20282 + 0 x20283 + 0 x20284 + 0 x20285 + 0 x20286 + 0 x20287 + 0 x20288 + 0 x20289 + 0 x20290 + 0 x20291 + 0 x20292 + 0 x20293 + 0 x20294 + 0 x20295 + 0 x20296 + 0 x20297 + 0 x20298 + 0 x20299 + 0 x20300 + 0 x20301 + 0 x20302 + 0 x20303 + 0 x20304 + 0 x20305 + 0 x20306 + 0 x20307 + 0 x20308 + 0 x20309 + 0 x20310 + 0 x20311 + 0 x20312 + 0 x20313 + 0 x20314 + 0 x20315 + 0 x20316 + 0 x20317 + 0 x20318 + 0 x20319 + 0 x20320 + 0 x20321 + 0 x20322 + 0 x20323 + 0 x20324 + 0 x20325 + 0 x20326 + 0 x20327 + 0 x20328 + 0 x20329 + 0 x20330 + 0 x20331 + 0 x20332 + 0 x20333 + 0 x20334 + 0 x20335 + 0 x20336 + 0 x20337 + 0 x20338 + 0 x20339 + 0 x20340 + 0 x20341 + 0 x20342 + 0 x20343 + 0 x20344 + 0 x20345 + 0 x20346 + 0 x20347 + 0 x20348 + 0 x20349 + 0 x20350 + 0 x20351 + 0 x20352 + 0 x20353 + 0 x20354 + 0 x20355 + 0 x20356 + 0 x20357 + 0 x20358 + 0 x20359 + 0 x20360 + 0 x20361 + 0 x20362 + 0 x20363 + 0 x20364 + 0 x20365 + 0 x20366 + 0 x20367 + 0 x20368 + 0 x20369 + 0 x20370 + 0 x20371 + 0 x20372 + 0 x20373 + 0 x20374 + 0 x20375 + 0 x20376 + 0 x20377 + 0 x20378 + 0 x20379 + 0 x20380 + 0 x20381 + 0 x20382 + 0 x20383 + 0 x20384 + 0 x20385 + 0 x20386 + 0 x20387 + 0 x20388 + 0 x20389 + 0 x20390 + 0 x20391 + 0 x20392 + 0 x20393 + 0 x20394 + 0 x20395 + 0 x20396 + 0 x20397 + 0 x20398 + 0 x20399 + 0 x20400 + 0 x20401 + 0 x20402 + 0 x20403 + 0 x20404 + 0 x20405 + 0 x20406 + 0 x20407 + 0 x20408 + 0 x20409 + 0 x20410 + 0 x20411 + 0 x20412 + 0 x20413 + 0 x20414 + 0 x20415 + 0 x20416 + 0 x20417 + 0 x20418 + 0 x20419 + 0 x20420 + 0 x20421 + 0 x20422 + 0 x20423 + 0 x20424 + 0 x20425 + 0 x20426 + 0 x20427 + 0 x20428 + 0 x20429 + 0 x20430 + 0 x20431 + 0 x20432 + 0 x20433 + 0 x20434 + 0 x20435 + 0 x20436 + 0 x20437 + 0 x20438 + 0 x20439 + 0 x20440 + 0 x20441 + 0 x20442 + 0 x20443 + 0 x20444 + 0 x20445 + 0 x20446 + 0 x20447 + 0 x20448 + 0 x20449 + 0 x20450 + 0 x20451 + 0 x20452 + 0 x20453 + 0 x20454 + 0 x20455 + 0 x20456 + 0 x20457 + 0 x20458 + 0 x20459 + 0 x20460 + 0 x20461 + 0 x20462 + 0 x20463 + 0 x20464 + 0 x20465 + 0 x20466 + 0 x20467 + 0 x20468 + 0 x20469 + 0 x20470 + 0 x20471 + 0 x20472 + 0 x20473 + 0 x20474 + 0 x20475 + 0 x20476 + 0 x20477 + 0 x20478 + 0 x20479 + 0 x20480 + 0 x20481 + 0 x20482 + 0 x20483 + 0 x20484 + 0 x20485 + 0 x20486 + 0 x20487 + 0 x20488 + 0 x20489 + 0 x20490 + 0 x20491 + 0 x20492 + 0 x20493 + 0 x20494 + 0 x20495 + 0 x20496 + 0 x20497 + 0 x20498 + 0 x20499 + 0 x20500 + 0 x20501 + 0 x20502 + 0 x20503 + 0 x20504 + 0 x20505 + 0 x20506 + 0 x20507 + 0 x20508 + 0 x20509 + 0 x20510 + 0 x20511 + 0 x20512 + 0 x20513 + 0 x20514 + 0 x20515 + 0 x20516 + 0 x20517 + 0 x20518 + 0 x20519 + 0 x20520 + 0 x20521 + 0 x20522 + 0 x20523 + 0 x20524 + 0 x20525 + 0 x20526 + 0 x20527 + 0 x20528 + 0 x20529 + 0 x20530 + 0 x20531 + 0 x20532 + 0 x20533 + 0 x20534 + 0 x20535 + 0 x20536 + 0 x20537 + 0 x20538 + 0 x20539 + 0 x20540 + 0 x20541 + 0 x20542 + 0 x20543 + 0 x20544 + 0 x20545 + 0 x20546 + 0 x20547 + 0 x20548 + 0 x20549 + 0 x20550 + 0 x20551 + 0 x20552 + 0 x20553 + 0 x20554 + 0 x20555 + 0 x20556 + 0 x20557 + 0 x20558 + 0 x20559 + 0 x20560 + 0 x20561 + 0 x20562 + 0 x20563 + 0 x20564 + 0 x20565 + 0 x20566 + 0 x20567 + 0 x20568 + 0 x20569 + 0 x20570 + 0 x20571 + 0 x20572 + 0 x20573 + 0 x20574 + 0 x20575 + 0 x20576 + 0 x20577 + 0 x20578 + 0 x20579 + 0 x20580 + 0 x20581 + 0 x20582 + 0 x20583 + 0 x20584 + 0 x20585 + 0 x20586 + 0 x20587 + 0 x20588 + 0 x20589 + 0 x20590 + 0 x20591 + 0 x20592 + 0 x20593 + 0 x20594 + 0 x20595 + 0 x20596 + 0 x20597 + 0 x20598 + 0 x20599 + 0 x20600 + 0 x20601 + 0 x20602 + 0 x20603 + 0 x20604 + 0 x20605 + 0 x20606 + 0 x20607 + 0 x20608 + 0 x20609 + 0 x20610 + 0 x20611 + 0 x20612 + 0 x20613 + 0 x20614 + 0 x20615 + 0 x20616 + 0 x20617 + 0 x20618 + 0 x20619 + 0 x20620 + 0 x20621 + 0 x20622 + 0 x20623 + 0 x20624 + 0 x20625 + 0 x20626 + 0 x20627 + 0 x20628 + 0 x20629 + 0 x20630 + 0 x20631 + 0 x20632 + 0 x20633 + 0 x20634 + 0 x20635 + 0 x20636 + 0 x20637 + 0 x20638 + 0 x20639 + 0 x20640 + 0 x20641 + 0 x20642 + 0 x20643 + 0 x20644 + 0 x20645 + 0 x20646 + 0 x20647 + 0 x20648 + 0 x20649 + 0 x20650 + 0 x20651 + 0 x20652 + 0 x20653 + 0 x20654 + 0 x20655 + 0 x20656 + 0 x20657 + 0 x20658 + 0 x20659 + 0 x20660 + 0 x20661 + 0 x20662 + 0 x20663 + 0 x20664 + 0 x20665 + 0 x20666 + 0 x20667 + 0 x20668 + 0 x20669 + 0 x20670 + 0 x20671 + 0 x20672 + 0 x20673 + 0 x20674 + 0 x20675 + 0 x20676 + 0 x20677 + 0 x20678 + 0 x20679 + 0 x20680 + 0 x20681 + 0 x20682 + 0 x20683 + 0 x20684 + 0 x20685 + 0 x20686 + 0 x20687 + 0 x20688 + 0 x20689 + 0 x20690 + 0 x20691 + 0 x20692 + 0 x20693 + 0 x20694 + 0 x20695 + 0 x20696 + 0 x20697 + 0 x20698 + 0 x20699 + 0 x20700 + 0 x20701 + 0 x20702 + 0 x20703 + 0 x20704 + 0 x20705 + 0 x20706 + 0 x20707 + 0 x20708 + 0 x20709 + 0 x20710 + 0 x20711 + 0 x20712 + 0 x20713 + 0 x20714 + 0 x20715 + 0 x20716 + 0 x20717 + 0 x20718 + 0 x20719 + 0 x20720 + 0 x20721 + 0 x20722 + 0 x20723 + 0 x20724 + 0 x20725 + 0 x20726 + 0 x20727 + 0 x20728 + 0 x20729 + 0 x20730 + 0 x20731 + 0 x20732 + 0 x20733 + 0 x20734 + 0 x20735 + 0 x20736 + 0 x20737 + 0 x20738 + 0 x20739 + 0 x20740 + 0 x20741 + 0 x20742 + 0 x20743 + 0 x20744 + 0 x20745 + 0 x20746 + 0 x20747 + 0 x20748 + 0 x20749 + 0 x20750 + 0 x20751 + 0 x20752 + 0 x20753 + 0 x20754 + 0 x20755 + 0 x20756 + 0 x20757 + 0 x20758 + 0 x20759 + 0 x20760 + 0 x20761 + 0 x20762 + 0 x20763 + 0 x20764 + 0 x20765 + 0 x20766 + 0 x20767 + 0 x20768 + 0 x20769 + 0 x20770 + 0 x20771 + 0 x20772 + 0 x20773 + 0 x20774 + 0 x20775 + 0 x20776 + 0 x20777 + 0 x20778 + 0 x20779 + 0 x20780 + 0 x20781 + 0 x20782 + 0 x20783 + 0 x20784 + 0 x20785 + 0 x20786 + 0 x20787 + 0 x20788 + 0 x20789 + 0 x20790 + 0 x20791 + 0 x20792 + 0 x20793 + 0 x20794 + 0 x20795 + 0 x20796 + 0 x20797 + 0 x20798 + 0 x20799 + 0 x20800 + 0 x20801 + 0 x20802 + 0 x20803 + 0 x20804 + 0 x20805 + 0 x20806 + 0 x20807 + 0 x20808 + 0 x20809 + 0 x20810 + 0 x20811 + 0 x20812 + 0 x20813 + 0 x20814 + 0 x20815 + 0 x20816 + 0 x20817 + 0 x20818 + 0 x20819 + 0 x20820 + 0 x20821 + 0 x20822 + 0 x20823 + 0 x20824 + 0 x20825 + 0 x20826 + 0 x20827 + 0 x20828 + 0 x20829 + 0 x20830 + 0 x20831 + 0 x20832 + 0 x20833 + 0 x20834 + 0 x20835 + 0 x20836 + 0 x20837 + 0 x20838 + 0 x20839 + 0 x20840 + 0 x20841 + 0 x20842 + 0 x20843 + 0 x20844 + 0 x20845 + 0 x20846 + 0 x20847 + 0 x20848 + 0 x20849 + 0 x20850 + 0 x20851 + 0 x20852 + 0 x20853 + 0 x20854 + 0 x20855 + 0 x20856 + 0 x20857 + 0 x20858 + 0 x20859 + 0 x20860 + 0 x20861 + 0 x20862 + 0 x20863 + 0 x20864 + 0 x20865 + 0 x20866 + 0 x20867 + 0 x20868 + 0 x20869 + 0 x20870 + 0 x20871 + 0 x20872 + 0 x20873 + 0 x20874 + 0 x20875 + 0 x20876 + 0 x20877 + 0 x20878 + 0 x20879 + 0 x20880 + 0 x20881 + 0 x20882 + 0 x20883 + 0 x20884 + 0 x20885 + 0 x20886 + 0 x20887 + 0 x20888 + 0 x20889 + 0 x20890 + 0 x20891 + 0 x20892 + 0 x20893 + 0 x20894 + 0 x20895 + 0 x20896 + 0 x20897 + 0 x20898 + 0 x20899 + 0 x20900 + 0 x20901 + 0 x20902 + 0 x20903 + 0 x20904 + 0 x20905 + 0 x20906 + 0 x20907 + 0 x20908 + 0 x20909 + 0 x20910 + 0 x20911 + 0 x20912 + 0 x20913 + 0 x20914 + 0 x20915 + 0 x20916 + 0 x20917 + 0 x20918 + 0 x20919 + 0 x20920 + 0 x20921 + 0 x20922 + 0 x20923 + 0 x20924 + 0 x20925 + 0 x20926 + 0 x20927 + 0 x20928 + 0 x20929 + 0 x20930 + 0 x20931 + 0 x20932 + 0 x20933 + 0 x20934 + 0 x20935 + 0 x20936 + 0 x20937 + 0 x20938 + 0 x20939 + 0 x20940 + 0 x20941 + 0 x20942 + 0 x20943 + 0 x20944 + 0 x20945 + 0 x20946 + 0 x20947 + 0 x20948 + 0 x20949 + 0 x20950 + 0 x20951 + 0 x20952 + 0 x20953 + 0 x20954 + 0 x20955 + 0 x20956 + 0 x20957 + 0 x20958 + 0 x20959 + 0 x20960 + 0 x20961 + 0 x20962 + 0 x20963 + 0 x20964 + 0 x20965 + 0 x20966 + 0 x20967 + 0 x20968 + 0 x20969 + 0 x20970 + 0 x20971 + 0 x20972 + 0 x20973 + 0 x20974 + 0 x20975 + 0 x20976 + 0 x20977 + 0 x20978 + 0 x20979 + 0 x20980 + 0 x20981 + 0 x20982 + 0 x20983 + 0 x20984 + 0 x20985 + 0 x20986 + 0 x20987 + 0 x20988 + 0 x20989 + 0 x20990 + 0 x20991 + 0 x20992 + 0 x20993 + 0 x20994 + 0 x20995 + 0 x20996 + 0 x20997 + 0 x20998 + 0 x20999 + 0 x21000 + 0 x21001 + 0 x21002 + 0 x21003 + 0 x21004 + 0 x21005 + 0 x21006 + 0 x21007 + 0 x21008 + 0 x21009 + 0 x21010 + 0 x21011 + 0 x21012 + 0 x21013 + 0 x21014 + 0 x21015 + 0 x21016 + 0 x21017 + 0 x21018 + 0 x21019 + 0 x21020 + 0 x21021 + 0 x21022 + 0 x21023 + 0 x21024 + 0 x21025 + 0 x21026 + 0 x21027 + 0 x21028 + 0 x21029 + 0 x21030 + 0 x21031 + 0 x21032 + 0 x21033 + 0 x21034 + 0 x21035 + 0 x21036 + 0 x21037 + 0 x21038 + 0 x21039 + 0 x21040 + 0 x21041 + 0 x21042 + 0 x21043 + 0 x21044 + 0 x21045 + 0 x21046 + 0 x21047 + 0 x21048 + 0 x21049 + 0 x21050 + 0 x21051 + 0 x21052 + 0 x21053 + 0 x21054 + 0 x21055 + 0 x21056 + 0 x21057 + 0 x21058 + 0 x21059 + 0 x21060 + 0 x21061 + 0 x21062 + 0 x21063 + 0 x21064 + 0 x21065 + 0 x21066 + 0 x21067 + 0 x21068 + 0 x21069 + 0 x21070 + 0 x21071 + 0 x21072 + 0 x21073 + 0 x21074 + 0 x21075 + 0 x21076 + 0 x21077 + 0 x21078 + 0 x21079 + 0 x21080 + 0 x21081 + 0 x21082 + 0 x21083 + 0 x21084 + 0 x21085 + 0 x21086 + 0 x21087 + 0 x21088 + 0 x21089 + 0 x21090 + 0 x21091 + 0 x21092 + 0 x21093 + 0 x21094 + 0 x21095 + 0 x21096 + 0 x21097 + 0 x21098 + 0 x21099 + 0 x21100 + 0 x21101 + 0 x21102 + 0 x21103 + 0 x21104 + 0 x21105 + 0 x21106 + 0 x21107 + 0 x21108 + 0 x21109 + 0 x21110 + 0 x21111 + 0 x21112 + 0 x21113 + 0 x21114 + 0 x21115 + 0 x21116 + 0 x21117 + 0 x21118 + 0 x21119 + 0 x21120 + 0 x21121 + 0 x21122 + 0 x21123 + 0 x21124 + 0 x21125 + 0 x21126 + 0 x21127 + 0 x21128 + 0 x21129 + 0 x21130 + 0 x21131 + 0 x21132 + 0 x21133 + 0 x21134 + 0 x21135 + 0 x21136 + 0 x21137 + 0 x21138 + 0 x21139 + 0 x21140 + 0 x21141 + 0 x21142 + 0 x21143 + 0 x21144 + 0 x21145 + 0 x21146 + 0 x21147 + 0 x21148 + 0 x21149 + 0 x21150 + 0 x21151 + 0 x21152 + 0 x21153 + 0 x21154 + 0 x21155 + 0 x21156 + 0 x21157 + 0 x21158 + 0 x21159 + 0 x21160 + 0 x21161 + 0 x21162 + 0 x21163 + 0 x21164 + 0 x21165 + 0 x21166 + 0 x21167 + 0 x21168 + 0 x21169 + 0 x21170 + 0 x21171 + 0 x21172 + 0 x21173 + 0 x21174 + 0 x21175 + 0 x21176 + 0 x21177 + 0 x21178 + 0 x21179 + 0 x21180 + 0 x21181 + 0 x21182 + 0 x21183 + 0 x21184 + 0 x21185 + 0 x21186 + 0 x21187 + 0 x21188 + 0 x21189 + 0 x21190 + 0 x21191 + 0 x21192 + 0 x21193 + 0 x21194 + 0 x21195 + 0 x21196 + 0 x21197 + 0 x21198 + 0 x21199 + 0 x21200 + 0 x21201 + 0 x21202 + 0 x21203 + 0 x21204 + 0 x21205 + 0 x21206 + 0 x21207 + 0 x21208 + 0 x21209 + 0 x21210 + 0 x21211 + 0 x21212 + 0 x21213 + 0 x21214 + 0 x21215 + 0 x21216 + 0 x21217 + 0 x21218 + 0 x21219 + 0 x21220 + 0 x21221 + 0 x21222 + 0 x21223 + 0 x21224 + 0 x21225 + 0 x21226 + 0 x21227 + 0 x21228 + 0 x21229 + 0 x21230 + 0 x21231 + 0 x21232 + 0 x21233 + 0 x21234 + 0 x21235 + 0 x21236 + 0 x21237 + 0 x21238 + 0 x21239 + 0 x21240 + 0 x21241 + 0 x21242 + 0 x21243 + 0 x21244 + 0 x21245 + 0 x21246 + 0 x21247 + 0 x21248 + 0 x21249 + 0 x21250 + 0 x21251 + 0 x21252 + 0 x21253 + 0 x21254 + 0 x21255 + 0 x21256 + 0 x21257 + 0 x21258 + 0 x21259 + 0 x21260 + 0 x21261 + 0 x21262 + 0 x21263 + 0 x21264 + 0 x21265 + 0 x21266 + 0 x21267 + 0 x21268 + 0 x21269 + 0 x21270 + 0 x21271 + 0 x21272 + 0 x21273 + 0 x21274 + 0 x21275 + 0 x21276 + 0 x21277 + 0 x21278 + 0 x21279 + 0 x21280 + 0 x21281 + 0 x21282 + 0 x21283 + 0 x21284 + 0 x21285 + 0 x21286 + 0 x21287 + 0 x21288 + 0 x21289 + 0 x21290 + 0 x21291 + 0 x21292 + 0 x21293 + 0 x21294 + 0 x21295 + 0 x21296 + 0 x21297 + 0 x21298 + 0 x21299 + 0 x21300 + 0 x21301 + 0 x21302 + 0 x21303 + 0 x21304 + 0 x21305 + 0 x21306 + 0 x21307 + 0 x21308 + 0 x21309 + 0 x21310 + 0 x21311 + 0 x21312 + 0 x21313 + 0 x21314 + 0 x21315 + 0 x21316 + 0 x21317 + 0 x21318 + 0 x21319 + 0 x21320 + 0 x21321 + 0 x21322 + 0 x21323 + 0 x21324 + 0 x21325 + 0 x21326 + 0 x21327 + 0 x21328 + 0 x21329 + 0 x21330 + 0 x21331 + 0 x21332 + 0 x21333 + 0 x21334 + 0 x21335 + 0 x21336 + 0 x21337 + 0 x21338 + 0 x21339 + 0 x21340 + 0 x21341 + 0 x21342 + 0 x21343 + 0 x21344 + 0 x21345 + 0 x21346 + 0 x21347 + 0 x21348 + 0 x21349 + 0 x21350 + 0 x21351 + 0 x21352 + 0 x21353 + 0 x21354 + 0 x21355 + 0 x21356 + 0 x21357 + 0 x21358 + 0 x21359 + 0 x21360 + 0 x21361 + 0 x21362 + 0 x21363 + 0 x21364 + 0 x21365 + 0 x21366 + 0 x21367 + 0 x21368 + 0 x21369 + 0 x21370 + 0 x21371 + 0 x21372 + 0 x21373 + 0 x21374 + 0 x21375 + 0 x21376 + 0 x21377 + 0 x21378 + 0 x21379 + 0 x21380 + 0 x21381 + 0 x21382 + 0 x21383 + 0 x21384 + 0 x21385 + 0 x21386 + 0 x21387 + 0 x21388 + 0 x21389 + 0 x21390 + 0 x21391 + 0 x21392 + 0 x21393 + 0 x21394 + 0 x21395 + 0 x21396 + 0 x21397 + 0 x21398 + 0 x21399 + 0 x21400 + 0 x21401 + 0 x21402 + 0 x21403 + 0 x21404 + 0 x21405 + 0 x21406 + 0 x21407 + 0 x21408 + 0 x21409 + 0 x21410 + 0 x21411 + 0 x21412 + 0 x21413 + 0 x21414 + 0 x21415 + 0 x21416 + 0 x21417 + 0 x21418 + 0 x21419 + 0 x21420 + 0 x21421 + 0 x21422 + 0 x21423 + 0 x21424 + 0 x21425 + 0 x21426 + 0 x21427 + 0 x21428 + 0 x21429 + 0 x21430 + 0 x21431 + 0 x21432 + 0 x21433 + 0 x21434 + 0 x21435 + 0 x21436 + 0 x21437 + 0 x21438 + 0 x21439 + 0 x21440 + 0 x21441 + 0 x21442 + 0 x21443 + 0 x21444 + 0 x21445 + 0 x21446 + 0 x21447 + 0 x21448 + 0 x21449 + 0 x21450 + 0 x21451 + 0 x21452 + 0 x21453 + 0 x21454 + 0 x21455 + 0 x21456 + 0 x21457 + 0 x21458 + 0 x21459 + 0 x21460 + 0 x21461 + 0 x21462 + 0 x21463 + 0 x21464 + 0 x21465 + 0 x21466 + 0 x21467 + 0 x21468 + 0 x21469 + 0 x21470 + 0 x21471 + 0 x21472 + 0 x21473 + 0 x21474 + 0 x21475 + 0 x21476 + 0 x21477 + 0 x21478 + 0 x21479 + 0 x21480 + 0 x21481 + 0 x21482 + 0 x21483 + 0 x21484 + 0 x21485 + 0 x21486 + 0 x21487 + 0 x21488 + 0 x21489 + 0 x21490 + 0 x21491 + 0 x21492 + 0 x21493 + 0 x21494 + 0 x21495 + 0 x21496 + 0 x21497 + 0 x21498 + 0 x21499 + 0 x21500 + 0 x21501 + 0 x21502 + 0 x21503 + 0 x21504 + 0 x21505 + 0 x21506 + 0 x21507 + 0 x21508 + 0 x21509 + 0 x21510 + 0 x21511 + 0 x21512 + 0 x21513 + 0 x21514 + 0 x21515 + 0 x21516 + 0 x21517 + 0 x21518 + 0 x21519 + 0 x21520 + 0 x21521 + 0 x21522 + 0 x21523 + 0 x21524 + 0 x21525 + 0 x21526 + 0 x21527 + 0 x21528 + 0 x21529 + 0 x21530 + 0 x21531 + 0 x21532 + 0 x21533 + 0 x21534 + 0 x21535 + 0 x21536 + 0 x21537 + 0 x21538 + 0 x21539 + 0 x21540 + 0 x21541 + 0 x21542 + 0 x21543 + 0 x21544 + 0 x21545 + 0 x21546 + 0 x21547 + 0 x21548 + 0 x21549 + 0 x21550 + 0 x21551 + 0 x21552 + 0 x21553 + 0 x21554 + 0 x21555 + 0 x21556 + 0 x21557 + 0 x21558 + 0 x21559 + 0 x21560 + 0 x21561 + 0 x21562 + 0 x21563 + 0 x21564 + 0 x21565 + 0 x21566 + 0 x21567 + 0 x21568 + 0 x21569 + 0 x21570 + 0 x21571 + 0 x21572 + 0 x21573 + 0 x21574 + 0 x21575 + 0 x21576 + 0 x21577 + 0 x21578 + 0 x21579 + 0 x21580 + 0 x21581 + 0 x21582 + 0 x21583 + 0 x21584 + 0 x21585 + 0 x21586 + 0 x21587 + 0 x21588 + 0 x21589 + 0 x21590 + 0 x21591 + 0 x21592 + 0 x21593 + 0 x21594 + 0 x21595 + 0 x21596 + 0 x21597 + 0 x21598 + 0 x21599 + 0 x21600 + 0 x21601 + 0 x21602 + 0 x21603 + 0 x21604 + 0 x21605 + 0 x21606 + 0 x21607 + 0 x21608 + 0 x21609 + 0 x21610 + 0 x21611 + 0 x21612 + 0 x21613 + 0 x21614 + 0 x21615 + 0 x21616 + 0 x21617 + 0 x21618 + 0 x21619 + 0 x21620 + 0 x21621 + 0 x21622 + 0 x21623 + 0 x21624 + 0 x21625 + 0 x21626 + 0 x21627 + 0 x21628 + 0 x21629 + 0 x21630 + 0 x21631 + 0 x21632 + 0 x21633 + 0 x21634 + 0 x21635 + 0 x21636 + 0 x21637 + 0 x21638 + 0 x21639 + 0 x21640 + 0 x21641 + 0 x21642 + 0 x21643 + 0 x21644 + 0 x21645 + 0 x21646 + 0 x21647 + 0 x21648 + 0 x21649 + 0 x21650 + 0 x21651 + 0 x21652 + 0 x21653 + 0 x21654 + 0 x21655 + 0 x21656 + 0 x21657 + 0 x21658 + 0 x21659 + 0 x21660 + 0 x21661 + 0 x21662 + 0 x21663 + 0 x21664 + 0 x21665 + 0 x21666 + 0 x21667 + 0 x21668 + 0 x21669 + 0 x21670 + 0 x21671 + 0 x21672 + 0 x21673 + 0 x21674 + 0 x21675 + 0 x21676 + 0 x21677 + 0 x21678 + 0 x21679 + 0 x21680 + 0 x21681 + 0 x21682 + 0 x21683 + 0 x21684 + 0 x21685 + 0 x21686 + 0 x21687 + 0 x21688 + 0 x21689 + 0 x21690 + 0 x21691 + 0 x21692 + 0 x21693 + 0 x21694 + 0 x21695 + 0 x21696 + 0 x21697 + 0 x21698 + 0 x21699 + 0 x21700 + 0 x21701 + 0 x21702 + 0 x21703 + 0 x21704 + 0 x21705 + 0 x21706 + 0 x21707 + 0 x21708 + 0 x21709 + 0 x21710 + 0 x21711 + 0 x21712 + 0 x21713 + 0 x21714 + 0 x21715 + 0 x21716 + 0 x21717 + 0 x21718 + 0 x21719 + 0 x21720 + 0 x21721 + 0 x21722 + 0 x21723 + 0 x21724 + 0 x21725 + 0 x21726 + 0 x21727 + 0 x21728 + 0 x21729 + 0 x21730 + 0 x21731 + 0 x21732 + 0 x21733 + 0 x21734 + 0 x21735 + 0 x21736 + 0 x21737 + 0 x21738 + 0 x21739 + 0 x21740 + 0 x21741 + 0 x21742 + 0 x21743 + 0 x21744 + 0 x21745 + 0 x21746 + 0 x21747 + 0 x21748 + 0 x21749 + 0 x21750 + 0 x21751 + 0 x21752 + 0 x21753 + 0 x21754 + 0 x21755 + 0 x21756 + 0 x21757 + 0 x21758 + 0 x21759 + 0 x21760 + 0 x21761 + 0 x21762 + 0 x21763 + 0 x21764 + 0 x21765 + 0 x21766 + 0 x21767 + 0 x21768 + 0 x21769 + 0 x21770 + 0 x21771 + 0 x21772 + 0 x21773 + 0 x21774 + 0 x21775 + 0 x21776 + 0 x21777 + 0 x21778 + 0 x21779 + 0 x21780 + 0 x21781 + 0 x21782 + 0 x21783 + 0 x21784 + 0 x21785 + 0 x21786 + 0 x21787 + 0 x21788 + 0 x21789 + 0 x21790 + 0 x21791 + 0 x21792 + 0 x21793 + 0 x21794 + 0 x21795 + 0 x21796 + 0 x21797 + 0 x21798 + 0 x21799 + 0 x21800 + 0 x21801 + 0 x21802 + 0 x21803 + 0 x21804 + 0 x21805 + 0 x21806 + 0 x21807 + 0 x21808 + 0 x21809 + 0 x21810 + 0 x21811 + 0 x21812 + 0 x21813 + 0 x21814 + 0 x21815 + 0 x21816 + 0 x21817 + 0 x21818 + 0 x21819 + 0 x21820 + 0 x21821 + 0 x21822 + 0 x21823 + 0 x21824 + 0 x21825 + 0 x21826 + 0 x21827 + 0 x21828 + 0 x21829 + 0 x21830 + 0 x21831 + 0 x21832 + 0 x21833 + 0 x21834 + 0 x21835 + 0 x21836 + 0 x21837 + 0 x21838 + 0 x21839 + 0 x21840 + 0 x21841 + 0 x21842 + 0 x21843 + 0 x21844 + 0 x21845 + 0 x21846 + 0 x21847 + 0 x21848 + 0 x21849 + 0 x21850 + 0 x21851 + 0 x21852 + 0 x21853 + 0 x21854 + 0 x21855 + 0 x21856 + 0 x21857 + 0 x21858 + 0 x21859 + 0 x21860 + 0 x21861 + 0 x21862 + 0 x21863 + 0 x21864 + 0 x21865 + 0 x21866 + 0 x21867 + 0 x21868 + 0 x21869 + 0 x21870 + 0 x21871 + 0 x21872 + 0 x21873 + 0 x21874 + 0 x21875 + 0 x21876 + 0 x21877 + 0 x21878 + 0 x21879 + 0 x21880 + 0 x21881 + 0 x21882 + 0 x21883 + 0 x21884 + 0 x21885 + 0 x21886 + 0 x21887 + 0 x21888 + 0 x21889 + 0 x21890 + 0 x21891 + 0 x21892 + 0 x21893 + 0 x21894 + 0 x21895 + 0 x21896 + 0 x21897 + 0 x21898 + 0 x21899 + 0 x21900 + 0 x21901 + 0 x21902 + 0 x21903 + 0 x21904 + 0 x21905 + 0 x21906 + 0 x21907 + 0 x21908 + 0 x21909 + 0 x21910 + 0 x21911 + 0 x21912 + 0 x21913 + 0 x21914 + 0 x21915 + 0 x21916 + 0 x21917 + 0 x21918 + 0 x21919 + 0 x21920 + 0 x21921 + 0 x21922 + 0 x21923 + 0 x21924 + 0 x21925 + 0 x21926 + 0 x21927 + 0 x21928 + 0 x21929 + 0 x21930 + 0 x21931 + 0 x21932 + 0 x21933 + 0 x21934 + 0 x21935 + 0 x21936 + 0 x21937 + 0 x21938 + 0 x21939 + 0 x21940 + 0 x21941 + 0 x21942 + 0 x21943 + 0 x21944 + 0 x21945 + 0 x21946 + 0 x21947 + 0 x21948 + 0 x21949 + 0 x21950 + 0 x21951 + 0 x21952 + 0 x21953 + 0 x21954 + 0 x21955 + 0 x21956 + 0 x21957 + 0 x21958 + 0 x21959 + 0 x21960 + 0 x21961 + 0 x21962 + 0 x21963 + 0 x21964 + 0 x21965 + 0 x21966 + 0 x21967 + 0 x21968 + 0 x21969 + 0 x21970 + 0 x21971 + 0 x21972 + 0 x21973 + 0 x21974 + 0 x21975 + 0 x21976 + 0 x21977 + 0 x21978 + 0 x21979 + 0 x21980 + 0 x21981 + 0 x21982 + 0 x21983 + 0 x21984 + 0 x21985 + 0 x21986 + 0 x21987 + 0 x21988 + 0 x21989 + 0 x21990 + 0 x21991 + 0 x21992 + 0 x21993 + 0 x21994 + 0 x21995 + 0 x21996 + 0 x21997 + 0 x21998 + 0 x21999 + 0 x22000 + 0 x22001 + 0 x22002 + 0 x22003 + 0 x22004 + 0 x22005 + 0 x22006 + 0 x22007 + 0 x22008 + 0 x22009 + 0 x22010 + 0 x22011 + 0 x22012 + 0 x22013 + 0 x22014 + 0 x22015 + 0 x22016 + 0 x22017 + 0 x22018 + 0 x22019 + 0 x22020 + 0 x22021 + 0 x22022 + 0 x22023 + 0 x22024 + 0 x22025 + 0 x22026 + 0 x22027 + 0 x22028 + 0 x22029 + 0 x22030 + 0 x22031 + 0 x22032 + 0 x22033 + 0 x22034 + 0 x22035 + 0 x22036 + 0 x22037 + 0 x22038 + 0 x22039 + 0 x22040 + 0 x22041 + 0 x22042 + 0 x22043 + 0 x22044 + 0 x22045 + 0 x22046 + 0 x22047 + 0 x22048 + 0 x22049 + 0 x22050 + 0 x22051 + 0 x22052 + 0 x22053 + 0 x22054 + 0 x22055 + 0 x22056 + 0 x22057 + 0 x22058 + 0 x22059 + 0 x22060 + 0 x22061 + 0 x22062 + 0 x22063 + 0 x22064 + 0 x22065 + 0 x22066 + 0 x22067 + 0 x22068 + 0 x22069 + 0 x22070 + 0 x22071 + 0 x22072 + 0 x22073 + 0 x22074 + 0 x22075 + 0 x22076 + 0 x22077 + 0 x22078 + 0 x22079 + 0 x22080 + 0 x22081 + 0 x22082 + 0 x22083 + 0 x22084 + 0 x22085 + 0 x22086 + 0 x22087 + 0 x22088 + 0 x22089 + 0 x22090 + 0 x22091 + 0 x22092 + 0 x22093 + 0 x22094 + 0 x22095 + 0 x22096 + 0 x22097 + 0 x22098 + 0 x22099 + 0 x22100 + 0 x22101 + 0 x22102 + 0 x22103 + 0 x22104 + 0 x22105 + 0 x22106 + 0 x22107 + 0 x22108 + 0 x22109 + 0 x22110 + 0 x22111 + 0 x22112 + 0 x22113 + 0 x22114 + 0 x22115 + 0 x22116 + 0 x22117 + 0 x22118 + 0 x22119 + 0 x22120 + 0 x22121 + 0 x22122 + 0 x22123 + 0 x22124 + 0 x22125 + 0 x22126 + 0 x22127 + 0 x22128 + 0 x22129 + 0 x22130 + 0 x22131 + 0 x22132 + 0 x22133 + 0 x22134 + 0 x22135 + 0 x22136 + 0 x22137 + 0 x22138 + 0 x22139 + 0 x22140 + 0 x22141 + 0 x22142 + 0 x22143 + 0 x22144 + 0 x22145 + 0 x22146 + 0 x22147 + 0 x22148 + 0 x22149 + 0 x22150 + 0 x22151 + 0 x22152 + 0 x22153 + 0 x22154 + 0 x22155 + 0 x22156 + 0 x22157 + 0 x22158 + 0 x22159 + 0 x22160 + 0 x22161 + 0 x22162 + 0 x22163 + 0 x22164 + 0 x22165 + 0 x22166 + 0 x22167 + 0 x22168 + 0 x22169 + 0 x22170 + 0 x22171 + 0 x22172 + 0 x22173 + 0 x22174 + 0 x22175 + 0 x22176 + 0 x22177 + 0 x22178 + 0 x22179 + 0 x22180 + 0 x22181 + 0 x22182 + 0 x22183 + 0 x22184 + 0 x22185 + 0 x22186 + 0 x22187 + 0 x22188 + 0 x22189 + 0 x22190 + 0 x22191 + 0 x22192 + 0 x22193 + 0 x22194 + 0 x22195 + 0 x22196 + 0 x22197 + 0 x22198 + 0 x22199 + 0 x22200 + 0 x22201 + 0 x22202 + 0 x22203 + 0 x22204 + 0 x22205 + 0 x22206 + 0 x22207 + 0 x22208 + 0 x22209 + 0 x22210 + 0 x22211 + 0 x22212 + 0 x22213 + 0 x22214 + 0 x22215 + 0 x22216 + 0 x22217 + 0 x22218 + 0 x22219 + 0 x22220 + 0 x22221 + 0 x22222 + 0 x22223 + 0 x22224 + 0 x22225 + 0 x22226 + 0 x22227 + 0 x22228 + 0 x22229 + 0 x22230 + 0 x22231 + 0 x22232 + 0 x22233 + 0 x22234 + 0 x22235 + 0 x22236 + 0 x22237 + 0 x22238 + 0 x22239 + 0 x22240 + 0 x22241 + 0 x22242 + 0 x22243 + 0 x22244 + 0 x22245 + 0 x22246 + 0 x22247 + 0 x22248 + 0 x22249 + 0 x22250 + 0 x22251 + 0 x22252 + 0 x22253 + 0 x22254 + 0 x22255 + 0 x22256 + 0 x22257 + 0 x22258 + 0 x22259 + 0 x22260 + 0 x22261 + 0 x22262 + 0 x22263 + 0 x22264 + 0 x22265 + 0 x22266 + 0 x22267 + 0 x22268 + 0 x22269 + 0 x22270 + 0 x22271 + 0 x22272 + 0 x22273 + 0 x22274 + 0 x22275 + 0 x22276 + 0 x22277 + 0 x22278 + 0 x22279 + 0 x22280 + 0 x22281 + 0 x22282 + 0 x22283 + 0 x22284 + 0 x22285 + 0 x22286 + 0 x22287 + 0 x22288 + 0 x22289 + 0 x22290 + 0 x22291 + 0 x22292 + 0 x22293 + 0 x22294 + 0 x22295 + 0 x22296 + 0 x22297 + 0 x22298 + 0 x22299 + 0 x22300 + 0 x22301 + 0 x22302 + 0 x22303 + 0 x22304 + 0 x22305 + 0 x22306 + 0 x22307 + 0 x22308 + 0 x22309 + 0 x22310 + 0 x22311 + 0 x22312 + 0 x22313 + 0 x22314 + 0 x22315 + 0 x22316 + 0 x22317 + 0 x22318 + 0 x22319 + 0 x22320 + 0 x22321 + 0 x22322 + 0 x22323 + 0 x22324 + 0 x22325 + 0 x22326 + 0 x22327 + 0 x22328 + 0 x22329 + 0 x22330 + 0 x22331 + 0 x22332 + 0 x22333 + 0 x22334 + 0 x22335 + 0 x22336 + 0 x22337 + 0 x22338 + 0 x22339 + 0 x22340 + 0 x22341 + 0 x22342 + 0 x22343 + 0 x22344 + 0 x22345 + 0 x22346 + 0 x22347 + 0 x22348 + 0 x22349 + 0 x22350 + 0 x22351 + 0 x22352 + 0 x22353 + 0 x22354 + 0 x22355 + 0 x22356 + 0 x22357 + 0 x22358 + 0 x22359 + 0 x22360 + 0 x22361 + 0 x22362 + 0 x22363 + 0 x22364 + 0 x22365 + 0 x22366 + 0 x22367 + 0 x22368 + 0 x22369 + 0 x22370 + 0 x22371 + 0 x22372 + 0 x22373 + 0 x22374 + 0 x22375 + 0 x22376 + 0 x22377 + 0 x22378 + 0 x22379 + 0 x22380 + 0 x22381 + 0 x22382 + 0 x22383 + 0 x22384 + 0 x22385 + 0 x22386 + 0 x22387 + 0 x22388 + 0 x22389 + 0 x22390 + 0 x22391 + 0 x22392 + 0 x22393 + 0 x22394 + 0 x22395 + 0 x22396 + 0 x22397 + 0 x22398 + 0 x22399 + 0 x22400 + 0 x22401 + 0 x22402 + 0 x22403 + 0 x22404 + 0 x22405 + 0 x22406 + 0 x22407 + 0 x22408 + 0 x22409 + 0 x22410 + 0 x22411 + 0 x22412 + 0 x22413 + 0 x22414 + 0 x22415 + 0 x22416 + 0 x22417 + 0 x22418 + 0 x22419 + 0 x22420 + 0 x22421 + 0 x22422 + 0 x22423 + 0 x22424 + 0 x22425 + 0 x22426 + 0 x22427 + 0 x22428 + 0 x22429 + 0 x22430 + 0 x22431 + 0 x22432 + 0 x22433 + 0 x22434 + 0 x22435 + 0 x22436 + 0 x22437 + 0 x22438 + 0 x22439 + 0 x22440 + 0 x22441 + 0 x22442 + 0 x22443 + 0 x22444 + 0 x22445 + 0 x22446 + 0 x22447 + 0 x22448 + 0 x22449 + 0 x22450 + 0 x22451 + 0 x22452 + 0 x22453 + 0 x22454 + 0 x22455 + 0 x22456 + 0 x22457 + 0 x22458 + 0 x22459 + 0 x22460 + 0 x22461 + 0 x22462 + 0 x22463 + 0 x22464 + 0 x22465 + 0 x22466 + 0 x22467 + 0 x22468 + 0 x22469 + 0 x22470 + 0 x22471 + 0 x22472 + 0 x22473 + 0 x22474 + 0 x22475 + 0 x22476 + 0 x22477 + 0 x22478 + 0 x22479 + 0 x22480 + 0 x22481 + 0 x22482 + 0 x22483 + 0 x22484 + 0 x22485 + 0 x22486 + 0 x22487 + 0 x22488 + 0 x22489 + 0 x22490 + 0 x22491 + 0 x22492 + 0 x22493 + 0 x22494 + 0 x22495 + 0 x22496 + 0 x22497 + 0 x22498 + 0 x22499 + 0 x22500 + 0 x22501 + 0 x22502 + 0 x22503 + 0 x22504 + 0 x22505 + 0 x22506 + 0 x22507 + 0 x22508 + 0 x22509 + 0 x22510 + 0 x22511 + 0 x22512 + 0 x22513 + 0 x22514 + 0 x22515 + 0 x22516 + 0 x22517 + 0 x22518 + 0 x22519 + 0 x22520 + 0 x22521 + 0 x22522 + 0 x22523 + 0 x22524 + 0 x22525 + 0 x22526 + 0 x22527 + 0 x22528 + 0 x22529 + 0 x22530 + 0 x22531 + 0 x22532 + 0 x22533 + 0 x22534 + 0 x22535 + 0 x22536 + 0 x22537 + 0 x22538 + 0 x22539 + 0 x22540 + 0 x22541 + 0 x22542 + 0 x22543 + 0 x22544 + 0 x22545 + 0 x22546 + 0 x22547 + 0 x22548 + 0 x22549 + 0 x22550 + 0 x22551 + 0 x22552 + 0 x22553 + 0 x22554 + 0 x22555 + 0 x22556 + 0 x22557 + 0 x22558 + 0 x22559 + 0 x22560 + 0 x22561 + 0 x22562 + 0 x22563 + 0 x22564 + 0 x22565 + 0 x22566 + 0 x22567 + 0 x22568 + 0 x22569 + 0 x22570 + 0 x22571 + 0 x22572 + 0 x22573 + 0 x22574 + 0 x22575 + 0 x22576 + 0 x22577 + 0 x22578 + 0 x22579 + 0 x22580 + 0 x22581 + 0 x22582 + 0 x22583 + 0 x22584 + 0 x22585 + 0 x22586 + 0 x22587 + 0 x22588 + 0 x22589 + 0 x22590 + 0 x22591 + 0 x22592 + 0 x22593 + 0 x22594 + 0 x22595 + 0 x22596 + 0 x22597 + 0 x22598 + 0 x22599 + 0 x22600 + 0 x22601 + 0 x22602 + 0 x22603 + 0 x22604 + 0 x22605 + 0 x22606 + 0 x22607 + 0 x22608 + 0 x22609 + 0 x22610 + 0 x22611 + 0 x22612 + 0 x22613 + 0 x22614 + 0 x22615 + 0 x22616 + 0 x22617 + 0 x22618 + 0 x22619 + 0 x22620 + 0 x22621 + 0 x22622 + 0 x22623 + 0 x22624 + 0 x22625 + 0 x22626 + 0 x22627 + 0 x22628 + 0 x22629 + 0 x22630 + 0 x22631 + 0 x22632 + 0 x22633 + 0 x22634 + 0 x22635 + 0 x22636 + 0 x22637 + 0 x22638 + 0 x22639 + 0 x22640 + 0 x22641 + 0 x22642 + 0 x22643 + 0 x22644 + 0 x22645 + 0 x22646 + 0 x22647 + 0 x22648 + 0 x22649 + 0 x22650 + 0 x22651 + 0 x22652 + 0 x22653 + 0 x22654 + 0 x22655 + 0 x22656 + 0 x22657 + 0 x22658 + 0 x22659 + 0 x22660 + 0 x22661 + 0 x22662 + 0 x22663 + 0 x22664 + 0 x22665 + 0 x22666 + 0 x22667 + 0 x22668 + 0 x22669 + 0 x22670 + 0 x22671 + 0 x22672 + 0 x22673 + 0 x22674 + 0 x22675 + 0 x22676 + 0 x22677 + 0 x22678 + 0 x22679 + 0 x22680 + 0 x22681 + 0 x22682 + 0 x22683 + 0 x22684 + 0 x22685 + 0 x22686 + 0 x22687 + 0 x22688 + 0 x22689 + 0 x22690 + 0 x22691 + 0 x22692 + 0 x22693 + 0 x22694 + 0 x22695 + 0 x22696 + 0 x22697 + 0 x22698 + 0 x22699 + 0 x22700 + 0 x22701 + 0 x22702 + 0 x22703 + 0 x22704 + 0 x22705 + 0 x22706 + 0 x22707 + 0 x22708 + 0 x22709 + 0 x22710 + 0 x22711 + 0 x22712 + 0 x22713 + 0 x22714 + 0 x22715 + 0 x22716 + 0 x22717 + 0 x22718 + 0 x22719 + 0 x22720 + 0 x22721 + 0 x22722 + 0 x22723 + 0 x22724 + 0 x22725 + 0 x22726 + 0 x22727 + 0 x22728 + 0 x22729 + 0 x22730 + 0 x22731 + 0 x22732 + 0 x22733 + 0 x22734 + 0 x22735 + 0 x22736 + 0 x22737 + 0 x22738 + 0 x22739 + 0 x22740 + 0 x22741 + 0 x22742 + 0 x22743 + 0 x22744 + 0 x22745 + 0 x22746 + 0 x22747 + 0 x22748 + 0 x22749 + 0 x22750 + 0 x22751 + 0 x22752 + 0 x22753 + 0 x22754 + 0 x22755 + 0 x22756 + 0 x22757 + 0 x22758 + 0 x22759 + 0 x22760 + 0 x22761 + 0 x22762 + 0 x22763 + 0 x22764 + 0 x22765 + 0 x22766 + 0 x22767 + 0 x22768 + 0 x22769 + 0 x22770 + 0 x22771 + 0 x22772 + 0 x22773 + 0 x22774 + 0 x22775 + 0 x22776 + 0 x22777 + 0 x22778 + 0 x22779 + 0 x22780 + 0 x22781 + 0 x22782 + 0 x22783 + 0 x22784 + 0 x22785 + 0 x22786 + 0 x22787 + 0 x22788 + 0 x22789 + 0 x22790 + 0 x22791 + 0 x22792 + 0 x22793 + 0 x22794 + 0 x22795 + 0 x22796 + 0 x22797 + 0 x22798 + 0 x22799 + 0 x22800 + 0 x22801 + 0 x22802 + 0 x22803 + 0 x22804 + 0 x22805 + 0 x22806 + 0 x22807 + 0 x22808 + 0 x22809 + 0 x22810 + 0 x22811 + 0 x22812 + 0 x22813 + 0 x22814 + 0 x22815 + 0 x22816 + 0 x22817 + 0 x22818 + 0 x22819 + 0 x22820 + 0 x22821 + 0 x22822 + 0 x22823 + 0 x22824 + 0 x22825 + 0 x22826 + 0 x22827 + 0 x22828 + 0 x22829 + 0 x22830 + 0 x22831 + 0 x22832 + 0 x22833 + 0 x22834 + 0 x22835 + 0 x22836 + 0 x22837 + 0 x22838 + 0 x22839 + 0 x22840 + 0 x22841 + 0 x22842 + 0 x22843 + 0 x22844 + 0 x22845 + 0 x22846 + 0 x22847 + 0 x22848 + 0 x22849 + 0 x22850 + 0 x22851 + 0 x22852 + 0 x22853 + 0 x22854 + 0 x22855 + 0 x22856 + 0 x22857 + 0 x22858 + 0 x22859 + 0 x22860 + 0 x22861 + 0 x22862 + 0 x22863 + 0 x22864 + 0 x22865 + 0 x22866 + 0 x22867 + 0 x22868 + 0 x22869 + 0 x22870 + 0 x22871 + 0 x22872 + 0 x22873 + 0 x22874 + 0 x22875 + 0 x22876 + 0 x22877 + 0 x22878 + 0 x22879 + 0 x22880 + 0 x22881 + 0 x22882 + 0 x22883 + 0 x22884 + 0 x22885 + 0 x22886 + 0 x22887 + 0 x22888 + 0 x22889 + 0 x22890 + 0 x22891 + 0 x22892 + 0 x22893 + 0 x22894 + 0 x22895 + 0 x22896 + 0 x22897 + 0 x22898 + 0 x22899 + 0 x22900 + 0 x22901 + 0 x22902 + 0 x22903 + 0 x22904 + 0 x22905 + 0 x22906 + 0 x22907 + 0 x22908 + 0 x22909 + 0 x22910 + 0 x22911 + 0 x22912 + 0 x22913 + 0 x22914 + 0 x22915 + 0 x22916 + 0 x22917 + 0 x22918 + 0 x22919 + 0 x22920 + 0 x22921 + 0 x22922 + 0 x22923 + 0 x22924 + 0 x22925 + 0 x22926 + 0 x22927 + 0 x22928 + 0 x22929 + 0 x22930 + 0 x22931 + 0 x22932 + 0 x22933 + 0 x22934 + 0 x22935 + 0 x22936 + 0 x22937 + 0 x22938 + 0 x22939 + 0 x22940 + 0 x22941 + 0 x22942 + 0 x22943 + 0 x22944 + 0 x22945 + 0 x22946 + 0 x22947 + 0 x22948 + 0 x22949 + 0 x22950 + 0 x22951 + 0 x22952 + 0 x22953 + 0 x22954 + 0 x22955 + 0 x22956 + 0 x22957 + 0 x22958 + 0 x22959 + 0 x22960 + 0 x22961 + 0 x22962 + 0 x22963 + 0 x22964 + 0 x22965 + 0 x22966 + 0 x22967 + 0 x22968 + 0 x22969 + 0 x22970 + 0 x22971 + 0 x22972 + 0 x22973 + 0 x22974 + 0 x22975 + 0 x22976 + 0 x22977 + 0 x22978 + 0 x22979 + 0 x22980 + 0 x22981 + 0 x22982 + 0 x22983 + 0 x22984 + 0 x22985 + 0 x22986 + 0 x22987 + 0 x22988 + 0 x22989 + 0 x22990 + 0 x22991 + 0 x22992 + 0 x22993 + 0 x22994 + 0 x22995 + 0 x22996 + 0 x22997 + 0 x22998 + 0 x22999 + 0 x23000 + 0 x23001 + 0 x23002 + 0 x23003 + 0 x23004 + 0 x23005 + 0 x23006 + 0 x23007 + 0 x23008 + 0 x23009 + 0 x23010 + 0 x23011 + 0 x23012 + 0 x23013 + 0 x23014 + 0 x23015 + 0 x23016 + 0 x23017 + 0 x23018 + 0 x23019 + 0 x23020 + 0 x23021 + 0 x23022 + 0 x23023 + 0 x23024 + 0 x23025 + 0 x23026 + 0 x23027 + 0 x23028 + 0 x23029 + 0 x23030 + 0 x23031 + 0 x23032 + 0 x23033 + 0 x23034 + 0 x23035 + 0 x23036 + 0 x23037 + 0 x23038 + 0 x23039 + 0 x23040 + 0 x23041 + 0 x23042 + 0 x23043 + 0 x23044 + 0 x23045 + 0 x23046 + 0 x23047 + 0 x23048 + 0 x23049 + 0 x23050 + 0 x23051 + 0 x23052 + 0 x23053 + 0 x23054 + 0 x23055 + 0 x23056 + 0 x23057 + 0 x23058 + 0 x23059 + 0 x23060 + 0 x23061 + 0 x23062 + 0 x23063 + 0 x23064 + 0 x23065 + 0 x23066 + 0 x23067 + 0 x23068 + 0 x23069 + 0 x23070 + 0 x23071 + 0 x23072 + 0 x23073 + 0 x23074 + 0 x23075 + 0 x23076 + 0 x23077 + 0 x23078 + 0 x23079 + 0 x23080 + 0 x23081 + 0 x23082 + 0 x23083 + 0 x23084 + 0 x23085 + 0 x23086 + 0 x23087 + 0 x23088 + 0 x23089 + 0 x23090 + 0 x23091 + 0 x23092 + 0 x23093 + 0 x23094 + 0 x23095 + 0 x23096 + 0 x23097 + 0 x23098 + 0 x23099 + 0 x23100 + 0 x23101 + 0 x23102 + 0 x23103 + 0 x23104 + 0 x23105 + 0 x23106 + 0 x23107 + 0 x23108 + 0 x23109 + 0 x23110 + 0 x23111 + 0 x23112 + 0 x23113 + 0 x23114 + 0 x23115 + 0 x23116 + 0 x23117 + 0 x23118 + 0 x23119 + 0 x23120 + 0 x23121 + 0 x23122 + 0 x23123 + 0 x23124 + 0 x23125 + 0 x23126 + 0 x23127 + 0 x23128 + 0 x23129 + 0 x23130 + 0 x23131 + 0 x23132 + 0 x23133 + 0 x23134 + 0 x23135 + 0 x23136 + 0 x23137 + 0 x23138 + 0 x23139 + 0 x23140 + 0 x23141 + 0 x23142 + 0 x23143 + 0 x23144 + 0 x23145 + 0 x23146 + 0 x23147 + 0 x23148 + 0 x23149 + 0 x23150 + 0 x23151 + 0 x23152 + 0 x23153 + 0 x23154 + 0 x23155 + 0 x23156 + 0 x23157 + 0 x23158 + 0 x23159 + 0 x23160 + 0 x23161 + 0 x23162 + 0 x23163 + 0 x23164 + 0 x23165 + 0 x23166 + 0 x23167 + 0 x23168 + 0 x23169 + 0 x23170 + 0 x23171 + 0 x23172 + 0 x23173 + 0 x23174 + 0 x23175 + 0 x23176 + 0 x23177 + 0 x23178 + 0 x23179 + 0 x23180 + 0 x23181 + 0 x23182 + 0 x23183 + 0 x23184 + 0 x23185 + 0 x23186 + 0 x23187 + 0 x23188 + 0 x23189 + 0 x23190 + 0 x23191 + 0 x23192 + 0 x23193 + 0 x23194 + 0 x23195 + 0 x23196 + 0 x23197 + 0 x23198 + 0 x23199 + 0 x23200 + 0 x23201 + 0 x23202 + 0 x23203 + 0 x23204 + 0 x23205 + 0 x23206 + 0 x23207 + 0 x23208 + 0 x23209 + 0 x23210 + 0 x23211 + 0 x23212 + 0 x23213 + 0 x23214 + 0 x23215 + 0 x23216 + 0 x23217 + 0 x23218 + 0 x23219 + 0 x23220 + 0 x23221 + 0 x23222 + 0 x23223 + 0 x23224 + 0 x23225 + 0 x23226 + 0 x23227 + 0 x23228 + 0 x23229 + 0 x23230 + 0 x23231 + 0 x23232 + 0 x23233 + 0 x23234 + 0 x23235 + 0 x23236 + 0 x23237 + 0 x23238 + 0 x23239 + 0 x23240 + 0 x23241 + 0 x23242 + 0 x23243 + 0 x23244 + 0 x23245 + 0 x23246 + 0 x23247 + 0 x23248 + 0 x23249 + 0 x23250 + 0 x23251 + 0 x23252 + 0 x23253 + 0 x23254 + 0 x23255 + 0 x23256 + 0 x23257 + 0 x23258 + 0 x23259 + 0 x23260 + 0 x23261 + 0 x23262 + 0 x23263 + 0 x23264 + 0 x23265 + 0 x23266 + 0 x23267 + 0 x23268 + 0 x23269 + 0 x23270 + 0 x23271 + 0 x23272 + 0 x23273 + 0 x23274 + 0 x23275 + 0 x23276 + 0 x23277 + 0 x23278 + 0 x23279 + 0 x23280 + 0 x23281 + 0 x23282 + 0 x23283 + 0 x23284 + 0 x23285 + 0 x23286 + 0 x23287 + 0 x23288 + 0 x23289 + 0 x23290 + 0 x23291 + 0 x23292 + 0 x23293 + 0 x23294 + 0 x23295 + 0 x23296 + 0 x23297 + 0 x23298 + 0 x23299 + 0 x23300 + 0 x23301 + 0 x23302 + 0 x23303 + 0 x23304 + 0 x23305 + 0 x23306 + 0 x23307 + 0 x23308 + 0 x23309 + 0 x23310 + 0 x23311 + 0 x23312 + 0 x23313 + 0 x23314 + 0 x23315 + 0 x23316 + 0 x23317 + 0 x23318 + 0 x23319 + 0 x23320 + 0 x23321 + 0 x23322 + 0 x23323 + 0 x23324 + 0 x23325 + 0 x23326 + 0 x23327 + 0 x23328 + 0 x23329 + 0 x23330 + 0 x23331 + 0 x23332 + 0 x23333 + 0 x23334 + 0 x23335 + 0 x23336 + 0 x23337 + 0 x23338 + 0 x23339 + 0 x23340 + 0 x23341 + 0 x23342 + 0 x23343 + 0 x23344 + 0 x23345 + 0 x23346 + 0 x23347 + 0 x23348 + 0 x23349 + 0 x23350 + 0 x23351 + 0 x23352 + 0 x23353 + 0 x23354 + 0 x23355 + 0 x23356 + 0 x23357 + 0 x23358 + 0 x23359 + 0 x23360 + 0 x23361 + 0 x23362 + 0 x23363 + 0 x23364 + 0 x23365 + 0 x23366 + 0 x23367 + 0 x23368 + 0 x23369 + 0 x23370 + 0 x23371 + 0 x23372 + 0 x23373 + 0 x23374 + 0 x23375 + 0 x23376 + 0 x23377 + 0 x23378 + 0 x23379 + 0 x23380 + 0 x23381 + 0 x23382 + 0 x23383 + 0 x23384 + 0 x23385 + 0 x23386 + 0 x23387 + 0 x23388 + 0 x23389 + 0 x23390 + 0 x23391 + 0 x23392 + 0 x23393 + 0 x23394 + 0 x23395 + 0 x23396 + 0 x23397 + 0 x23398 + 0 x23399 + 0 x23400 + 0 x23401 + 0 x23402 + 0 x23403 + 0 x23404 + 0 x23405 + 0 x23406 + 0 x23407 + 0 x23408 + 0 x23409 + 0 x23410 + 0 x23411 + 0 x23412 + 0 x23413 + 0 x23414 + 0 x23415 + 0 x23416 + 0 x23417 + 0 x23418 + 0 x23419 + 0 x23420 + 0 x23421 + 0 x23422 + 0 x23423 + 0 x23424 + 0 x23425 + 0 x23426 + 0 x23427 + 0 x23428 + 0 x23429 + 0 x23430 + 0 x23431 + 0 x23432 + 0 x23433 + 0 x23434 + 0 x23435 + 0 x23436 + 0 x23437 + 0 x23438 + 0 x23439 + 0 x23440 + 0 x23441 + 0 x23442 + 0 x23443 + 0 x23444 + 0 x23445 + 0 x23446 + 0 x23447 + 0 x23448 + 0 x23449 + 0 x23450 + 0 x23451 + 0 x23452 + 0 x23453 + 0 x23454 + 0 x23455 + 0 x23456 + 0 x23457 + 0 x23458 + 0 x23459 + 0 x23460 + 0 x23461 + 0 x23462 + 0 x23463 + 0 x23464 + 0 x23465 + 0 x23466 + 0 x23467 + 0 x23468 + 0 x23469 + 0 x23470 + 0 x23471 + 0 x23472 + 0 x23473 + 0 x23474 + 0 x23475 + 0 x23476 + 0 x23477 + 0 x23478 + 0 x23479 + 0 x23480 + 0 x23481 + 0 x23482 + 0 x23483 + 0 x23484 + 0 x23485 + 0 x23486 + 0 x23487 + 0 x23488 + 0 x23489 + 0 x23490 + 0 x23491 + 0 x23492 + 0 x23493 + 0 x23494 + 0 x23495 + 0 x23496 + 0 x23497 + 0 x23498 + 0 x23499 + 0 x23500 + 0 x23501 + 0 x23502 + 0 x23503 + 0 x23504 + 0 x23505 + 0 x23506 + 0 x23507 + 0 x23508 + 0 x23509 + 0 x23510 + 0 x23511 + 0 x23512 + 0 x23513 + 0 x23514 + 0 x23515 + 0 x23516 + 0 x23517 + 0 x23518 + 0 x23519 + 0 x23520 + 0 x23521 + 0 x23522 + 0 x23523 + 0 x23524 + 0 x23525 + 0 x23526 + 0 x23527 + 0 x23528 + 0 x23529 + 0 x23530 + 0 x23531 + 0 x23532 + 0 x23533 + 0 x23534 + 0 x23535 + 0 x23536 + 0 x23537 + 0 x23538 + 0 x23539 + 0 x23540 + 0 x23541 + 0 x23542 + 0 x23543 + 0 x23544 + 0 x23545 + 0 x23546 + 0 x23547 + 0 x23548 + 0 x23549 + 0 x23550 + 0 x23551 + 0 x23552 + 0 x23553 + 0 x23554 + 0 x23555 + 0 x23556 + 0 x23557 + 0 x23558 + 0 x23559 + 0 x23560 + 0 x23561 + 0 x23562 + 0 x23563 + 0 x23564 + 0 x23565 + 0 x23566 + 0 x23567 + 0 x23568 + 0 x23569 + 0 x23570 + 0 x23571 + 0 x23572 + 0 x23573 + 0 x23574 + 0 x23575 + 0 x23576 + 0 x23577 + 0 x23578 + 0 x23579 + 0 x23580 + 0 x23581 + 0 x23582 + 0 x23583 + 0 x23584 + 0 x23585 + 0 x23586 + 0 x23587 + 0 x23588 + 0 x23589 + 0 x23590 + 0 x23591 + 0 x23592 + 0 x23593 + 0 x23594 + 0 x23595 + 0 x23596 + 0 x23597 + 0 x23598 + 0 x23599 + 0 x23600 + 0 x23601 + 0 x23602 + 0 x23603 + 0 x23604 + 0 x23605 + 0 x23606 + 0 x23607 + 0 x23608 + 0 x23609 + 0 x23610 + 0 x23611 + 0 x23612 + 0 x23613 + 0 x23614 + 0 x23615 + 0 x23616 + 0 x23617 + 0 x23618 + 0 x23619 + 0 x23620 + 0 x23621 + 0 x23622 + 0 x23623 + 0 x23624 + 0 x23625 + 0 x23626 + 0 x23627 + 0 x23628 + 0 x23629 + 0 x23630 + 0 x23631 + 0 x23632 + 0 x23633 + 0 x23634 + 0 x23635 + 0 x23636 + 0 x23637 + 0 x23638 + 0 x23639 + 0 x23640 + 0 x23641 + 0 x23642 + 0 x23643 + 0 x23644 + 0 x23645 + 0 x23646 + 0 x23647 + 0 x23648 + 0 x23649 + 0 x23650 + 0 x23651 + 0 x23652 + 0 x23653 + 0 x23654 + 0 x23655 + 0 x23656 + 0 x23657 + 0 x23658 + 0 x23659 + 0 x23660 + 0 x23661 + 0 x23662 + 0 x23663 + 0 x23664 + 0 x23665 + 0 x23666 + 0 x23667 + 0 x23668 + 0 x23669 + 0 x23670 + 0 x23671 + 0 x23672 + 0 x23673 + 0 x23674 + 0 x23675 + 0 x23676 + 0 x23677 + 0 x23678 + 0 x23679 + 0 x23680 + 0 x23681 + 0 x23682 + 0 x23683 + 0 x23684 + 0 x23685 + 0 x23686 + 0 x23687 + 0 x23688 + 0 x23689 + 0 x23690 + 0 x23691 + 0 x23692 + 0 x23693 + 0 x23694 + 0 x23695 + 0 x23696 + 0 x23697 + 0 x23698 + 0 x23699 + 0 x23700 + 0 x23701 + 0 x23702 + 0 x23703 + 0 x23704 + 0 x23705 + 0 x23706 + 0 x23707 + 0 x23708 + 0 x23709 + 0 x23710 + 0 x23711 + 0 x23712 + 0 x23713 + 0 x23714 + 0 x23715 + 0 x23716 + 0 x23717 + 0 x23718 + 0 x23719 + 0 x23720 + 0 x23721 + 0 x23722 + 0 x23723 + 0 x23724 + 0 x23725 + 0 x23726 + 0 x23727 + 0 x23728 + 0 x23729 + 0 x23730 + 0 x23731 + 0 x23732 + 0 x23733 + 0 x23734 + 0 x23735 + 0 x23736 + 0 x23737 + 0 x23738 + 0 x23739 + 0 x23740 + 0 x23741 + 0 x23742 + 0 x23743 + 0 x23744 + 0 x23745 + 0 x23746 + 0 x23747 + 0 x23748 + 0 x23749 + 0 x23750 + 0 x23751 + 0 x23752 + 0 x23753 + 0 x23754 + 0 x23755 + 0 x23756 + 0 x23757 + 0 x23758 + 0 x23759 + 0 x23760 + 0 x23761 + 0 x23762 + 0 x23763 + 0 x23764 + 0 x23765 + 0 x23766 + 0 x23767 + 0 x23768 + 0 x23769 + 0 x23770 + 0 x23771 + 0 x23772 + 0 x23773 + 0 x23774 + 0 x23775 + 0 x23776 + 0 x23777 + 0 x23778 + 0 x23779 + 0 x23780 + 0 x23781 + 0 x23782 + 0 x23783 + 0 x23784 + 0 x23785 + 0 x23786 + 0 x23787 + 0 x23788 + 0 x23789 + 0 x23790 + 0 x23791 + 0 x23792 + 0 x23793 + 0 x23794 + 0 x23795 + 0 x23796 + 0 x23797 + 0 x23798 + 0 x23799 + 0 x23800 + 0 x23801 + 0 x23802 + 0 x23803 + 0 x23804 + 0 x23805 + 0 x23806 + 0 x23807 + 0 x23808 + 0 x23809 + 0 x23810 + 0 x23811 + 0 x23812 + 0 x23813 + 0 x23814 + 0 x23815 + 0 x23816 + 0 x23817 + 0 x23818 + 0 x23819 + 0 x23820 + 0 x23821 + 0 x23822 + 0 x23823 + 0 x23824 + 0 x23825 + 0 x23826 + 0 x23827 + 0 x23828 + 0 x23829 + 0 x23830 + 0 x23831 + 0 x23832 + 0 x23833 + 0 x23834 + 0 x23835 + 0 x23836 + 0 x23837 + 0 x23838 + 0 x23839 + 0 x23840 + 0 x23841 + 0 x23842 + 0 x23843 + 0 x23844 + 0 x23845 + 0 x23846 + 0 x23847 + 0 x23848 + 0 x23849 + 0 x23850 + 0 x23851 + 0 x23852 + 0 x23853 + 0 x23854 + 0 x23855 + 0 x23856 + 0 x23857 + 0 x23858 + 0 x23859 + 0 x23860 + 0 x23861 + 0 x23862 + 0 x23863 + 0 x23864 + 0 x23865 + 0 x23866 + 0 x23867 + 0 x23868 + 0 x23869 + 0 x23870 + 0 x23871 + 0 x23872 + 0 x23873 + 0 x23874 + 0 x23875 + 0 x23876 + 0 x23877 + 0 x23878 + 0 x23879 + 0 x23880 + 0 x23881 + 0 x23882 + 0 x23883 + 0 x23884 + 0 x23885 + 0 x23886 + 0 x23887 + 0 x23888 + 0 x23889 + 0 x23890 + 0 x23891 + 0 x23892 + 0 x23893 + 0 x23894 + 0 x23895 + 0 x23896 + 0 x23897 + 0 x23898 + 0 x23899 + 0 x23900 + 0 x23901 + 0 x23902 + 0 x23903 + 0 x23904 + 0 x23905 + 0 x23906 + 0 x23907 + 0 x23908 + 0 x23909 + 0 x23910 + 0 x23911 + 0 x23912 + 0 x23913 + 0 x23914 + 0 x23915 + 0 x23916 + 0 x23917 + 0 x23918 + 0 x23919 + 0 x23920 + 0 x23921 + 0 x23922 + 0 x23923 + 0 x23924 + 0 x23925 + 0 x23926 + 0 x23927 + 0 x23928 + 0 x23929 + 0 x23930 + 0 x23931 + 0 x23932 + 0 x23933 + 0 x23934 + 0 x23935 + 0 x23936 + 0 x23937 + 0 x23938 + 0 x23939 + 0 x23940 + 0 x23941 + 0 x23942 + 0 x23943 + 0 x23944 + 0 x23945 + 0 x23946 + 0 x23947 + 0 x23948 + 0 x23949 + 0 x23950 + 0 x23951 + 0 x23952 + 0 x23953 + 0 x23954 + 0 x23955 + 0 x23956 + 0 x23957 + 0 x23958 + 0 x23959 + 0 x23960 + 0 x23961 + 0 x23962 + 0 x23963 + 0 x23964 + 0 x23965 + 0 x23966 + 0 x23967 + 0 x23968 + 0 x23969 + 0 x23970 + 0 x23971 + 0 x23972 + 0 x23973 + 0 x23974 + 0 x23975 + 0 x23976 + 0 x23977 + 0 x23978 + 0 x23979 + 0 x23980 + 0 x23981 + 0 x23982 + 0 x23983 + 0 x23984 + 0 x23985 + 0 x23986 + 0 x23987 + 0 x23988 + 0 x23989 + 0 x23990 + 0 x23991 + 0 x23992 + 0 x23993 + 0 x23994 + 0 x23995 + 0 x23996 + 0 x23997 + 0 x23998 + 0 x23999 + 0 x24000 + 0 x24001 + 0 x24002 + 0 x24003 + 0 x24004 + 0 x24005 + 0 x24006 + 0 x24007 + 0 x24008 + 0 x24009 + 0 x24010 + 0 x24011 + 0 x24012 + 0 x24013 + 0 x24014 + 0 x24015 + 0 x24016 + 0 x24017 + 0 x24018 + 0 x24019 + 0 x24020 + 0 x24021 + 0 x24022 + 0 x24023 + 0 x24024 + 0 x24025 + 0 x24026 + 0 x24027 + 0 x24028 + 0 x24029 + 0 x24030 + 0 x24031 + 0 x24032 + 0 x24033 + 0 x24034 + 0 x24035 + 0 x24036 + 0 x24037 + 0 x24038 + 0 x24039 + 0 x24040 + 0 x24041 + 0 x24042 + 0 x24043 + 0 x24044 + 0 x24045 + 0 x24046 + 0 x24047 + 0 x24048 + 0 x24049 + 0 x24050 + 0 x24051 + 0 x24052 + 0 x24053 + 0 x24054 + 0 x24055 + 0 x24056 + 0 x24057 + 0 x24058 + 0 x24059 + 0 x24060 + 0 x24061 + 0 x24062 + 0 x24063 + 0 x24064 + 0 x24065 + 0 x24066 + 0 x24067 + 0 x24068 + 0 x24069 + 0 x24070 + 0 x24071 + 0 x24072 + 0 x24073 + 0 x24074 + 0 x24075 + 0 x24076 + 0 x24077 + 0 x24078 + 0 x24079 + 0 x24080 + 0 x24081 + 0 x24082 + 0 x24083 + 0 x24084 + 0 x24085 + 0 x24086 + 0 x24087 + 0 x24088 + 0 x24089 + 0 x24090 + 0 x24091 + 0 x24092 + 0 x24093 + 0 x24094 + 0 x24095 + 0 x24096 + 0 x24097 + 0 x24098 + 0 x24099 + 0 x24100 + 0 x24101 + 0 x24102 + 0 x24103 + 0 x24104 + 0 x24105 + 0 x24106 + 0 x24107 + 0 x24108 + 0 x24109 + 0 x24110 + 0 x24111 + 0 x24112 + 0 x24113 + 0 x24114 + 0 x24115 + 0 x24116 + 0 x24117 + 0 x24118 + 0 x24119 + 0 x24120 + 0 x24121 + 0 x24122 + 0 x24123 + 0 x24124 + 0 x24125 + 0 x24126 + 0 x24127 + 0 x24128 + 0 x24129 + 0 x24130 + 0 x24131 + 0 x24132 + 0 x24133 + 0 x24134 + 0 x24135 + 0 x24136 + 0 x24137 + 0 x24138 + 0 x24139 + 0 x24140 + 0 x24141 + 0 x24142 + 0 x24143 + 0 x24144 + 0 x24145 + 0 x24146 + 0 x24147 + 0 x24148 + 0 x24149 + 0 x24150 + 0 x24151 + 0 x24152 + 0 x24153 + 0 x24154 + 0 x24155 + 0 x24156 + 0 x24157 + 0 x24158 + 0 x24159 + 0 x24160 + 0 x24161 + 0 x24162 + 0 x24163 + 0 x24164 + 0 x24165 + 0 x24166 + 0 x24167 + 0 x24168 + 0 x24169 + 0 x24170 + 0 x24171 + 0 x24172 + 0 x24173 + 0 x24174 + 0 x24175 + 0 x24176 + 0 x24177 + 0 x24178 + 0 x24179 + 0 x24180 + 0 x24181 + 0 x24182 + 0 x24183 + 0 x24184 + 0 x24185 + 0 x24186 + 0 x24187 + 0 x24188 + 0 x24189 + 0 x24190 + 0 x24191 + 0 x24192 + 0 x24193 + 0 x24194 + 0 x24195 + 0 x24196 + 0 x24197 + 0 x24198 + 0 x24199 + 0 x24200 + 0 x24201 + 0 x24202 + 0 x24203 + 0 x24204 + 0 x24205 + 0 x24206 + 0 x24207 + 0 x24208 + 0 x24209 + 0 x24210 + 0 x24211 + 0 x24212 + 0 x24213 + 0 x24214 + 0 x24215 + 0 x24216 + 0 x24217 + 0 x24218 + 0 x24219 + 0 x24220 + 0 x24221 + 0 x24222 + 0 x24223 + 0 x24224 + 0 x24225 + 0 x24226 + 0 x24227 + 0 x24228 + 0 x24229 + 0 x24230 + 0 x24231 + 0 x24232 + 0 x24233 + 0 x24234 + 0 x24235 + 0 x24236 + 0 x24237 + 0 x24238 + 0 x24239 + 0 x24240 + 0 x24241 + 0 x24242 + 0 x24243 + 0 x24244 + 0 x24245 + 0 x24246 + 0 x24247 + 0 x24248 + 0 x24249 + 0 x24250 + 0 x24251 + 0 x24252 + 0 x24253 + 0 x24254 + 0 x24255 + 0 x24256 + 0 x24257 + 0 x24258 + 0 x24259 + 0 x24260 + 0 x24261 + 0 x24262 + 0 x24263 + 0 x24264 + 0 x24265 + 0 x24266 + 0 x24267 + 0 x24268 + 0 x24269 + 0 x24270 + 0 x24271 + 0 x24272 + 0 x24273 + 0 x24274 + 0 x24275 + 0 x24276 + 0 x24277 + 0 x24278 + 0 x24279 + 0 x24280 + 0 x24281 + 0 x24282 + 0 x24283 + 0 x24284 + 0 x24285 + 0 x24286 + 0 x24287 + 0 x24288 + 0 x24289 + 0 x24290 + 0 x24291 + 0 x24292 + 0 x24293 + 0 x24294 + 0 x24295 + 0 x24296 + 0 x24297 + 0 x24298 + 0 x24299 + 0 x24300 + 0 x24301 + 0 x24302 + 0 x24303 + 0 x24304 + 0 x24305 + 0 x24306 + 0 x24307 + 0 x24308 + 0 x24309 + 0 x24310 + 0 x24311 + 0 x24312 + 0 x24313 + 0 x24314 + 0 x24315 + 0 x24316 + 0 x24317 + 0 x24318 + 0 x24319 + 0 x24320 + 0 x24321 + 0 x24322 + 0 x24323 + 0 x24324 + 0 x24325 + 0 x24326 + 0 x24327 + 0 x24328 + 0 x24329 + 0 x24330 + 0 x24331 + 0 x24332 + 0 x24333 + 0 x24334 + 0 x24335 + 0 x24336 + 0 x24337 + 0 x24338 + 0 x24339 + 0 x24340 + 0 x24341 + 0 x24342 + 0 x24343 + 0 x24344 + 0 x24345 + 0 x24346 + 0 x24347 + 0 x24348 + 0 x24349 + 0 x24350 + 0 x24351 + 0 x24352 + 0 x24353 + 0 x24354 + 0 x24355 + 0 x24356 + 0 x24357 + 0 x24358 + 0 x24359 + 0 x24360 + 0 x24361 + 0 x24362 + 0 x24363 + 0 x24364 + 0 x24365 + 0 x24366 + 0 x24367 + 0 x24368 + 0 x24369 + 0 x24370 + 0 x24371 + 0 x24372 + 0 x24373 + 0 x24374 + 0 x24375 + 0 x24376 + 0 x24377 + 0 x24378 + 0 x24379 + 0 x24380 + 0 x24381 + 0 x24382 + 0 x24383 + 0 x24384 + 0 x24385 + 0 x24386 + 0 x24387 + 0 x24388 + 0 x24389 + 0 x24390 + 0 x24391 + 0 x24392 + 0 x24393 + 0 x24394 + 0 x24395 + 0 x24396 + 0 x24397 + 0 x24398 + 0 x24399 + 0 x24400 + 0 x24401 + 0 x24402 + 0 x24403 + 0 x24404 + 0 x24405 + 0 x24406 + 0 x24407 + 0 x24408 + 0 x24409 + 0 x24410 + 0 x24411 + 0 x24412 + 0 x24413 + 0 x24414 + 0 x24415 + 0 x24416 + 0 x24417 + 0 x24418 + 0 x24419 + 0 x24420 + 0 x24421 + 0 x24422 + 0 x24423 + 0 x24424 + 0 x24425 + 0 x24426 + 0 x24427 + 0 x24428 + 0 x24429 + 0 x24430 + 0 x24431 + 0 x24432 + 0 x24433 + 0 x24434 + 0 x24435 + 0 x24436 + 0 x24437 + 0 x24438 + 0 x24439 + 0 x24440 + 0 x24441 + 0 x24442 + 0 x24443 + 0 x24444 + 0 x24445 + 0 x24446 + 0 x24447 + 0 x24448 + 0 x24449 + 0 x24450 + 0 x24451 + 0 x24452 + 0 x24453 + 0 x24454 + 0 x24455 + 0 x24456 + 0 x24457 + 0 x24458 + 0 x24459 + 0 x24460 + 0 x24461 + 0 x24462 + 0 x24463 + 0 x24464 + 0 x24465 + 0 x24466 + 0 x24467 + 0 x24468 + 0 x24469 + 0 x24470 + 0 x24471 + 0 x24472 + 0 x24473 + 0 x24474 + 0 x24475 + 0 x24476 + 0 x24477 + 0 x24478 + 0 x24479 + 0 x24480 + 0 x24481 + 0 x24482 + 0 x24483 + 0 x24484 + 0 x24485 + 0 x24486 + 0 x24487 + 0 x24488 + 0 x24489 + 0 x24490 + 0 x24491 + 0 x24492 + 0 x24493 + 0 x24494 + 0 x24495 + 0 x24496 + 0 x24497 + 0 x24498 + 0 x24499 + 0 x24500 + 0 x24501 + 0 x24502 + 0 x24503 + 0 x24504 + 0 x24505 + 0 x24506 + 0 x24507 + 0 x24508 + 0 x24509 + 0 x24510 + 0 x24511 + 0 x24512 + 0 x24513 + 0 x24514 + 0 x24515 + 0 x24516 + 0 x24517 + 0 x24518 + 0 x24519 + 0 x24520 + 0 x24521 + 0 x24522 + 0 x24523 + 0 x24524 + 0 x24525 + 0 x24526 + 0 x24527 + 0 x24528 + 0 x24529 + 0 x24530 + 0 x24531 + 0 x24532 + 0 x24533 + 0 x24534 + 0 x24535 + 0 x24536 + 0 x24537 + 0 x24538 + 0 x24539 + 0 x24540 + 0 x24541 + 0 x24542 + 0 x24543 + 0 x24544 + 0 x24545 + 0 x24546 + 0 x24547 + 0 x24548 + 0 x24549 + 0 x24550 + 0 x24551 + 0 x24552 + 0 x24553 + 0 x24554 + 0 x24555 + 0 x24556 + 0 x24557 + 0 x24558 + 0 x24559 + 0 x24560 + 0 x24561 + 0 x24562 + 0 x24563 + 0 x24564 + 0 x24565 + 0 x24566 + 0 x24567 + 0 x24568 + 0 x24569 + 0 x24570 + 0 x24571 + 0 x24572 + 0 x24573 + 0 x24574 + 0 x24575 + 0 x24576 + 0 x24577 + 0 x24578 + 0 x24579 + 0 x24580 + 0 x24581 + 0 x24582 + 0 x24583 + 0 x24584 + 0 x24585 + 0 x24586 + 0 x24587 + 0 x24588 + 0 x24589 + 0 x24590 + 0 x24591 + 0 x24592 + 0 x24593 + 0 x24594 + 0 x24595 + 0 x24596 + 0 x24597 + 0 x24598 + 0 x24599 + 0 x24600 + 0 x24601 + 0 x24602 + 0 x24603 + 0 x24604 + 0 x24605 + 0 x24606 + 0 x24607 + 0 x24608 + 0 x24609 + 0 x24610 + 0 x24611 + 0 x24612 + 0 x24613 + 0 x24614 + 0 x24615 + 0 x24616 + 0 x24617 + 0 x24618 + 0 x24619 + 0 x24620 + 0 x24621 + 0 x24622 + 0 x24623 + 0 x24624 + 0 x24625 + 0 x24626 + 0 x24627 + 0 x24628 + 0 x24629 + 0 x24630 + 0 x24631 + 0 x24632 + 0 x24633 + 0 x24634 + 0 x24635 + 0 x24636 + 0 x24637 + 0 x24638 + 0 x24639 + 0 x24640 + 0 x24641 + 0 x24642 + 0 x24643 + 0 x24644 + 0 x24645 + 0 x24646 + 0 x24647 + 0 x24648 + 0 x24649 + 0 x24650 + 0 x24651 + 0 x24652 + 0 x24653 + 0 x24654 + 0 x24655 + 0 x24656 + 0 x24657 + 0 x24658 + 0 x24659 + 0 x24660 + 0 x24661 + 0 x24662 + 0 x24663 + 0 x24664 + 0 x24665 + 0 x24666 + 0 x24667 + 0 x24668 + 0 x24669 + 0 x24670 + 0 x24671 + 0 x24672 + 0 x24673 + 0 x24674 + 0 x24675 + 0 x24676 + 0 x24677 + 0 x24678 + 0 x24679 + 0 x24680 + 0 x24681 + 0 x24682 + 0 x24683 + 0 x24684 + 0 x24685 + 0 x24686 + 0 x24687 + 0 x24688 + 0 x24689 + 0 x24690 + 0 x24691 + 0 x24692 + 0 x24693 + 0 x24694 + 0 x24695 + 0 x24696 + 0 x24697 + 0 x24698 + 0 x24699 + 0 x24700 + 0 x24701 + 0 x24702 + 0 x24703 + 0 x24704 + 0 x24705 + 0 x24706 + 0 x24707 + 0 x24708 + 0 x24709 + 0 x24710 + 0 x24711 + 0 x24712 + 0 x24713 + 0 x24714 + 0 x24715 + 0 x24716 + 0 x24717 + 0 x24718 + 0 x24719 + 0 x24720 + 0 x24721 + 0 x24722 + 0 x24723 + 0 x24724 + 0 x24725 + 0 x24726 + 0 x24727 + 0 x24728 + 0 x24729 + 0 x24730 + 0 x24731 + 0 x24732 + 0 x24733 + 0 x24734 + 0 x24735 + 0 x24736 + 0 x24737 + 0 x24738 + 0 x24739 + 0 x24740 + 0 x24741 + 0 x24742 + 0 x24743 + 0 x24744 + 0 x24745 + 0 x24746 + 0 x24747 + 0 x24748 + 0 x24749 + 0 x24750 + 0 x24751 + 0 x24752 + 0 x24753 + 0 x24754 + 0 x24755 + 0 x24756 + 0 x24757 + 0 x24758 + 0 x24759 + 0 x24760 + 0 x24761 + 0 x24762 + 0 x24763 + 0 x24764 + 0 x24765 + 0 x24766 + 0 x24767 + 0 x24768 + 0 x24769 + 0 x24770 + 0 x24771 + 0 x24772 + 0 x24773 + 0 x24774 + 0 x24775 + 0 x24776 + 0 x24777 + 0 x24778 + 0 x24779 + 0 x24780 + 0 x24781 + 0 x24782 + 0 x24783 + 0 x24784 + 0 x24785 + 0 x24786 + 0 x24787 + 0 x24788 + 0 x24789 + 0 x24790 + 0 x24791 + 0 x24792 + 0 x24793 + 0 x24794 + 0 x24795 + 0 x24796 + 0 x24797 + 0 x24798 + 0 x24799 + 0 x24800 + 0 x24801 + 0 x24802 + 0 x24803 + 0 x24804 + 0 x24805 + 0 x24806 + 0 x24807 + 0 x24808 + 0 x24809 + 0 x24810 + 0 x24811 + 0 x24812 + 0 x24813 + 0 x24814 + 0 x24815 + 0 x24816 + 0 x24817 + 0 x24818 + 0 x24819 + 0 x24820 + 0 x24821 + 0 x24822 + 0 x24823 + 0 x24824 + 0 x24825 + 0 x24826 + 0 x24827 + 0 x24828 + 0 x24829 + 0 x24830 + 0 x24831 + 0 x24832 + 0 x24833 + 0 x24834 + 0 x24835 + 0 x24836 + 0 x24837 + 0 x24838 + 0 x24839 + 0 x24840 + 0 x24841 + 0 x24842 + 0 x24843 + 0 x24844 + 0 x24845 + 0 x24846 + 0 x24847 + 0 x24848 + 0 x24849 + 0 x24850 + 0 x24851 + 0 x24852 + 0 x24853 + 0 x24854 + 0 x24855 + 0 x24856 + 0 x24857 + 0 x24858 + 0 x24859 + 0 x24860 + 0 x24861 + 0 x24862 + 0 x24863 + 0 x24864 + 0 x24865 + 0 x24866 + 0 x24867 + 0 x24868 + 0 x24869 + 0 x24870 + 0 x24871 + 0 x24872 + 0 x24873 + 0 x24874 + 0 x24875 + 0 x24876 + 0 x24877 + 0 x24878 + 0 x24879 + 0 x24880 + 0 x24881 + 0 x24882 + 0 x24883 + 0 x24884 + 0 x24885 + 0 x24886 + 0 x24887 + 0 x24888 + 0 x24889 + 0 x24890 + 0 x24891 + 0 x24892 + 0 x24893 + 0 x24894 + 0 x24895 + 0 x24896 + 0 x24897 + 0 x24898 + 0 x24899 + 0 x24900 + 0 x24901 + 0 x24902 + 0 x24903 + 0 x24904 + 0 x24905 + 0 x24906 + 0 x24907 + 0 x24908 + 0 x24909 + 0 x24910 + 0 x24911 + 0 x24912 + 0 x24913 + 0 x24914 + 0 x24915 + 0 x24916 + 0 x24917 + 0 x24918 + 0 x24919 + 0 x24920 + 0 x24921 + 0 x24922 + 0 x24923 + 0 x24924 + 0 x24925 + 0 x24926 + 0 x24927 + 0 x24928 + 0 x24929 + 0 x24930 + 0 x24931 + 0 x24932 + 0 x24933 + 0 x24934 + 0 x24935 + 0 x24936 + 0 x24937 + 0 x24938 + 0 x24939 + 0 x24940 + 0 x24941 + 0 x24942 + 0 x24943 + 0 x24944 + 0 x24945 + 0 x24946 + 0 x24947 + 0 x24948 + 0 x24949 + 0 x24950 + 0 x24951 + 0 x24952 + 0 x24953 + 0 x24954 + 0 x24955 + 0 x24956 + 0 x24957 + 0 x24958 + 0 x24959 + 0 x24960 + 0 x24961 + 0 x24962 + 0 x24963 + 0 x24964 + 0 x24965 + 0 x24966 + 0 x24967 + 0 x24968 + 0 x24969 + 0 x24970 + 0 x24971 + 0 x24972 + 0 x24973 + 0 x24974 + 0 x24975 + 0 x24976 + 0 x24977 + 0 x24978 + 0 x24979 + 0 x24980 + 0 x24981 + 0 x24982 + 0 x24983 + 0 x24984 + 0 x24985 + 0 x24986 + 0 x24987 + 0 x24988 + 0 x24989 + 0 x24990 + 0 x24991 + 0 x24992 + 0 x24993 + 0 x24994 + 0 x24995 + 0 x24996 + 0 x24997 + 0 x24998 + 0 x24999 + 0 x25000 + 0 x25001 + 0 x25002 + 0 x25003 + 0 x25004 + 0 x25005 + 0 x25006 + 0 x25007 + 0 x25008 + 0 x25009 + 0 x25010 + 0 x25011 + 0 x25012 + 0 x25013 + 0 x25014 + 0 x25015 + 0 x25016 + 0 x25017 + 0 x25018 + 0 x25019 + 0 x25020 + 0 x25021 + 0 x25022 + 0 x25023 + 0 x25024 + 0 x25025 + 0 x25026 + 0 x25027 + 0 x25028 + 0 x25029 + 0 x25030 + 0 x25031 + 0 x25032 + 0 x25033 + 0 x25034 + 0 x25035 + 0 x25036 + 0 x25037 + 0 x25038 + 0 x25039 + 0 x25040 + 0 x25041 + 0 x25042 + 0 x25043 + 0 x25044 + 0 x25045 + 0 x25046 + 0 x25047 + 0 x25048 + 0 x25049 + 0 x25050 + 0 x25051 + 0 x25052 + 0 x25053 + 0 x25054 + 0 x25055 + 0 x25056 + 0 x25057 + 0 x25058 + 0 x25059 + 0 x25060 + 0 x25061 + 0 x25062 + 0 x25063 + 0 x25064 + 0 x25065 + 0 x25066 + 0 x25067 + 0 x25068 + 0 x25069 + 0 x25070 + 0 x25071 + 0 x25072 + 0 x25073 + 0 x25074 + 0 x25075 + 0 x25076 + 0 x25077 + 0 x25078 + 0 x25079 + 0 x25080 + 0 x25081 + 0 x25082 + 0 x25083 + 0 x25084 + 0 x25085 + 0 x25086 + 0 x25087 + 0 x25088 + 0 x25089 + 0 x25090 + 0 x25091 + 0 x25092 + 0 x25093 + 0 x25094 + 0 x25095 + 0 x25096 + 0 x25097 + 0 x25098 + 0 x25099 + 0 x25100 + 0 x25101 + 0 x25102 + 0 x25103 + 0 x25104 + 0 x25105 + 0 x25106 + 0 x25107 + 0 x25108 + 0 x25109 + 0 x25110 + 0 x25111 + 0 x25112 + 0 x25113 + 0 x25114 + 0 x25115 + 0 x25116 + 0 x25117 + 0 x25118 + 0 x25119 + 0 x25120 + 0 x25121 + 0 x25122 + 0 x25123 + 0 x25124 + 0 x25125 + 0 x25126 + 0 x25127 + 0 x25128 + 0 x25129 + 0 x25130 + 0 x25131 + 0 x25132 + 0 x25133 + 0 x25134 + 0 x25135 + 0 x25136 + 0 x25137 + 0 x25138 + 0 x25139 + 0 x25140 + 0 x25141 + 0 x25142 + 0 x25143 + 0 x25144 + 0 x25145 + 0 x25146 + 0 x25147 + 0 x25148 + 0 x25149 + 0 x25150 + 0 x25151 + 0 x25152 + 0 x25153 + 0 x25154 + 0 x25155 + 0 x25156 + 0 x25157 + 0 x25158 + 0 x25159 + 0 x25160 + 0 x25161 + 0 x25162 + 0 x25163 + 0 x25164 + 0 x25165 + 0 x25166 + 0 x25167 + 0 x25168 + 0 x25169 + 0 x25170 + 0 x25171 + 0 x25172 + 0 x25173 + 0 x25174 + 0 x25175 + 0 x25176 + 0 x25177 + 0 x25178 + 0 x25179 + 0 x25180 + 0 x25181 + 0 x25182 + 0 x25183 + 0 x25184 + 0 x25185 + 0 x25186 + 0 x25187 + 0 x25188 + 0 x25189 + 0 x25190 + 0 x25191 + 0 x25192 + 0 x25193 + 0 x25194 + 0 x25195 + 0 x25196 + 0 x25197 + 0 x25198 + 0 x25199 + 0 x25200 + 0 x25201 + 0 x25202 + 0 x25203 + 0 x25204 + 0 x25205 + 0 x25206 + 0 x25207 + 0 x25208 + 0 x25209 + 0 x25210 + 0 x25211 + 0 x25212 + 0 x25213 + 0 x25214 + 0 x25215 + 0 x25216 + 0 x25217 + 0 x25218 + 0 x25219 + 0 x25220 + 0 x25221 + 0 x25222 + 0 x25223 + 0 x25224 + 0 x25225 + 0 x25226 + 0 x25227 + 0 x25228 + 0 x25229 + 0 x25230 + 0 x25231 + 0 x25232 + 0 x25233 + 0 x25234 + 0 x25235 + 0 x25236 + 0 x25237 + 0 x25238 + 0 x25239 + 0 x25240 + 0 x25241 + 0 x25242 + 0 x25243 + 0 x25244 + 0 x25245 + 0 x25246 + 0 x25247 + 0 x25248 + 0 x25249 + 0 x25250 + 0 x25251 + 0 x25252 + 0 x25253 + 0 x25254 + 0 x25255 + 0 x25256 + 0 x25257 + 0 x25258 + 0 x25259 + 0 x25260 + 0 x25261 + 0 x25262 + 0 x25263 + 0 x25264 + 0 x25265 + 0 x25266 + 0 x25267 + 0 x25268 + 0 x25269 + 0 x25270 + 0 x25271 + 0 x25272 + 0 x25273 + 0 x25274 + 0 x25275 + 0 x25276 + 0 x25277 + 0 x25278 + 0 x25279 + 0 x25280 + 0 x25281 + 0 x25282 + 0 x25283 + 0 x25284 + 0 x25285 + 0 x25286 + 0 x25287 + 0 x25288 + 0 x25289 + 0 x25290 + 0 x25291 + 0 x25292 + 0 x25293 + 0 x25294 + 0 x25295 + 0 x25296 + 0 x25297 + 0 x25298 + 0 x25299 + 0 x25300 + 0 x25301 + 0 x25302 + 0 x25303 + 0 x25304 + 0 x25305 + 0 x25306 + 0 x25307 + 0 x25308 + 0 x25309 + 0 x25310 + 0 x25311 + 0 x25312 + 0 x25313 + 0 x25314 + 0 x25315 + 0 x25316 + 0 x25317 + 0 x25318 + 0 x25319 + 0 x25320 + 0 x25321 + 0 x25322 + 0 x25323 + 0 x25324 + 0 x25325 + 0 x25326 + 0 x25327 + 0 x25328 + 0 x25329 + 0 x25330 + 0 x25331 + 0 x25332 + 0 x25333 + 0 x25334 + 0 x25335 + 0 x25336 + 0 x25337 + 0 x25338 + 0 x25339 + 0 x25340 + 0 x25341 + 0 x25342 + 0 x25343 + 0 x25344 + 0 x25345 + 0 x25346 + 0 x25347 + 0 x25348 + 0 x25349 + 0 x25350 + 0 x25351 + 0 x25352 + 0 x25353 + 0 x25354 + 0 x25355 + 0 x25356 + 0 x25357 + 0 x25358 + 0 x25359 + 0 x25360 + 0 x25361 + 0 x25362 + 0 x25363 + 0 x25364 + 0 x25365 + 0 x25366 + 0 x25367 + 0 x25368 + 0 x25369 + 0 x25370 + 0 x25371 + 0 x25372 + 0 x25373 + 0 x25374 + 0 x25375 + 0 x25376 + 0 x25377 + 0 x25378 + 0 x25379 + 0 x25380 + 0 x25381 + 0 x25382 + 0 x25383 + 0 x25384 + 0 x25385 + 0 x25386 + 0 x25387 + 0 x25388 + 0 x25389 + 0 x25390 + 0 x25391 + 0 x25392 + 0 x25393 + 0 x25394 + 0 x25395 + 0 x25396 + 0 x25397 + 0 x25398 + 0 x25399 + 0 x25400 + 0 x25401 + 0 x25402 + 0 x25403 + 0 x25404 + 0 x25405 + 0 x25406 + 0 x25407 + 0 x25408 + 0 x25409 + 0 x25410 + 0 x25411 + 0 x25412 + 0 x25413 + 0 x25414 + 0 x25415 + 0 x25416 + 0 x25417 + 0 x25418 + 0 x25419 + 0 x25420 + 0 x25421 + 0 x25422 + 0 x25423 + 0 x25424 + 0 x25425 + 0 x25426 + 0 x25427 + 0 x25428 + 0 x25429 + 0 x25430 + 0 x25431 + 0 x25432 + 0 x25433 + 0 x25434 + 0 x25435 + 0 x25436 + 0 x25437 + 0 x25438 + 0 x25439 + 0 x25440 + 0 x25441 + 0 x25442 + 0 x25443 + 0 x25444 + 0 x25445 + 0 x25446 + 0 x25447 + 0 x25448 + 0 x25449 + 0 x25450 + 0 x25451 + 0 x25452 + 0 x25453 + 0 x25454 + 0 x25455 + 0 x25456 + 0 x25457 + 0 x25458 + 0 x25459 + 0 x25460 + 0 x25461 + 0 x25462 + 0 x25463 + 0 x25464 + 0 x25465 + 0 x25466 + 0 x25467 + 0 x25468 + 0 x25469 + 0 x25470 + 0 x25471 + 0 x25472 + 0 x25473 + 0 x25474 + 0 x25475 + 0 x25476 + 0 x25477 + 0 x25478 + 0 x25479 + 0 x25480 + 0 x25481 + 0 x25482 + 0 x25483 + 0 x25484 + 0 x25485 + 0 x25486 + 0 x25487 + 0 x25488 + 0 x25489 + 0 x25490 + 0 x25491 + 0 x25492 + 0 x25493 + 0 x25494 + 0 x25495 + 0 x25496 + 0 x25497 + 0 x25498 + 0 x25499 + 0 x25500 + 0 x25501 + 0 x25502 + 0 x25503 + 0 x25504 + 0 x25505 + 0 x25506 + 0 x25507 + 0 x25508 + 0 x25509 + 0 x25510 + 0 x25511 + 0 x25512 + 0 x25513 + 0 x25514 + 0 x25515 + 0 x25516 + 0 x25517 + 0 x25518 + 0 x25519 + 0 x25520 + 0 x25521 + 0 x25522 + 0 x25523 + 0 x25524 + 0 x25525 + 0 x25526 + 0 x25527 + 0 x25528 + 0 x25529 + 0 x25530 + 0 x25531 + 0 x25532 + 0 x25533 + 0 x25534 + 0 x25535 + 0 x25536 + 0 x25537 + 0 x25538 + 0 x25539 + 0 x25540 + 0 x25541 + 0 x25542 + 0 x25543 + 0 x25544 + 0 x25545 + 0 x25546 + 0 x25547 + 0 x25548 + 0 x25549 + 0 x25550 + 0 x25551 + 0 x25552 + 0 x25553 + 0 x25554 + 0 x25555 + 0 x25556 + 0 x25557 + 0 x25558 + 0 x25559 + 0 x25560 + 0 x25561 + 0 x25562 + 0 x25563 + 0 x25564 + 0 x25565 + 0 x25566 + 0 x25567 + 0 x25568 + 0 x25569 + 0 x25570 + 0 x25571 + 0 x25572 + 0 x25573 + 0 x25574 + 0 x25575 + 0 x25576 + 0 x25577 + 0 x25578 + 0 x25579 + 0 x25580 + 0 x25581 + 0 x25582 + 0 x25583 + 0 x25584 + 0 x25585 + 0 x25586 + 0 x25587 + 0 x25588 + 0 x25589 + 0 x25590 + 0 x25591 + 0 x25592 + 0 x25593 + 0 x25594 + 0 x25595 + 0 x25596 + 0 x25597 + 0 x25598 + 0 x25599 + 0 x25600 + 0 x25601 + 0 x25602 + 0 x25603 + 0 x25604 + 0 x25605 + 0 x25606 + 0 x25607 + 0 x25608 + 0 x25609 + 0 x25610 + 0 x25611 + 0 x25612 + 0 x25613 + 0 x25614 + 0 x25615 + 0 x25616 + 0 x25617 + 0 x25618 + 0 x25619 + 0 x25620 + 0 x25621 + 0 x25622 + 0 x25623 + 0 x25624 + 0 x25625 + 0 x25626 + 0 x25627 + 0 x25628 + 0 x25629 + 0 x25630 + 0 x25631 + 0 x25632 + 0 x25633 + 0 x25634 + 0 x25635 + 0 x25636 + 0 x25637 + 0 x25638 + 0 x25639 + 0 x25640 + 0 x25641 + 0 x25642 + 0 x25643 + 0 x25644 + 0 x25645 + 0 x25646 + 0 x25647 + 0 x25648 + 0 x25649 + 0 x25650 + 0 x25651 + 0 x25652 + 0 x25653 + 0 x25654 + 0 x25655 + 0 x25656 + 0 x25657 + 0 x25658 + 0 x25659 + 0 x25660 + 0 x25661 + 0 x25662 + 0 x25663 + 0 x25664 + 0 x25665 + 0 x25666 + 0 x25667 + 0 x25668 + 0 x25669 + 0 x25670 + 0 x25671 + 0 x25672 + 0 x25673 + 0 x25674 + 0 x25675 + 0 x25676 + 0 x25677 + 0 x25678 + 0 x25679 + 0 x25680 + 0 x25681 + 0 x25682 + 0 x25683 + 0 x25684 + 0 x25685 + 0 x25686 + 0 x25687 + 0 x25688 + 0 x25689 + 0 x25690 + 0 x25691 + 0 x25692 + 0 x25693 + 0 x25694 + 0 x25695 + 0 x25696 + 0 x25697 + 0 x25698 + 0 x25699 + 0 x25700 + 0 x25701 + 0 x25702 + 0 x25703 + 0 x25704 + 0 x25705 + 0 x25706 + 0 x25707 + 0 x25708 + 0 x25709 + 0 x25710 + 0 x25711 + 0 x25712 + 0 x25713 + 0 x25714 + 0 x25715 + 0 x25716 + 0 x25717 + 0 x25718 + 0 x25719 + 0 x25720 + 0 x25721 + 0 x25722 + 0 x25723 + 0 x25724 + 0 x25725 + 0 x25726 + 0 x25727 + 0 x25728 + 0 x25729 + 0 x25730 + 0 x25731 + 0 x25732 + 0 x25733 + 0 x25734 + 0 x25735 + 0 x25736 + 0 x25737 + 0 x25738 + 0 x25739 + 0 x25740 + 0 x25741 + 0 x25742 + 0 x25743 + 0 x25744 + 0 x25745 + 0 x25746 + 0 x25747 + 0 x25748 + 0 x25749 + 0 x25750 + 0 x25751 + 0 x25752 + 0 x25753 + 0 x25754 + 0 x25755 + 0 x25756 + 0 x25757 + 0 x25758 + 0 x25759 + 0 x25760 + 0 x25761 + 0 x25762 + 0 x25763 + 0 x25764 + 0 x25765 + 0 x25766 + 0 x25767 + 0 x25768 + 0 x25769 + 0 x25770 + 0 x25771 + 0 x25772 + 0 x25773 + 0 x25774 + 0 x25775 + 0 x25776 + 0 x25777 + 0 x25778 + 0 x25779 + 0 x25780 + 0 x25781 + 0 x25782 + 0 x25783 + 0 x25784 + 0 x25785 + 0 x25786 + 0 x25787 + 0 x25788 + 0 x25789 + 0 x25790 + 0 x25791 + 0 x25792 + 0 x25793 + 0 x25794 + 0 x25795 + 0 x25796 + 0 x25797 + 0 x25798 + 0 x25799 + 0 x25800 + 0 x25801 + 0 x25802 + 0 x25803 + 0 x25804 + 0 x25805 + 0 x25806 + 0 x25807 + 0 x25808 + 0 x25809 + 0 x25810 + 0 x25811 + 0 x25812 + 0 x25813 + 0 x25814 + 0 x25815 + 0 x25816 + 0 x25817 + 0 x25818 + 0 x25819 + 0 x25820 + 0 x25821 + 0 x25822 + 0 x25823 + 0 x25824 + 0 x25825 + 0 x25826 + 0 x25827 + 0 x25828 + 0 x25829 + 0 x25830 + 0 x25831 + 0 x25832 + 0 x25833 + 0 x25834 + 0 x25835 + 0 x25836 + 0 x25837 + 0 x25838 + 0 x25839 + 0 x25840 + 0 x25841 + 0 x25842 + 0 x25843 + 0 x25844 + 0 x25845 + 0 x25846 + 0 x25847 + 0 x25848 + 0 x25849 + 0 x25850 + 0 x25851 + 0 x25852 + 0 x25853 + 0 x25854 + 0 x25855 + 0 x25856 + 0 x25857 + 0 x25858 + 0 x25859 + 0 x25860 + 0 x25861 + 0 x25862 + 0 x25863 + 0 x25864 + 0 x25865 + 0 x25866 + 0 x25867 + 0 x25868 + 0 x25869 + 0 x25870 + 0 x25871 + 0 x25872 + 0 x25873 + 0 x25874 + 0 x25875 + 0 x25876 + 0 x25877 + 0 x25878 + 0 x25879 + 0 x25880 + 0 x25881 + 0 x25882 + 0 x25883 + 0 x25884 + 0 x25885 + 0 x25886 + 0 x25887 + 0 x25888 + 0 x25889 + 0 x25890 + 0 x25891 + 0 x25892 + 0 x25893 + 0 x25894 + 0 x25895 + 0 x25896 + 0 x25897 + 0 x25898 + 0 x25899 + 0 x25900 + 0 x25901 + 0 x25902 + 0 x25903 + 0 x25904 + 0 x25905 + 0 x25906 + 0 x25907 + 0 x25908 + 0 x25909 + 0 x25910 + 0 x25911 + 0 x25912 + 0 x25913 + 0 x25914 + 0 x25915 + 0 x25916 + 0 x25917 + 0 x25918 + 0 x25919 + 0 x25920 + 0 x25921 + 0 x25922 + 0 x25923 + 0 x25924 + 0 x25925 + 0 x25926 + 0 x25927 + 0 x25928 + 0 x25929 + 0 x25930 + 0 x25931 + 0 x25932 + 0 x25933 + 0 x25934 + 0 x25935 + 0 x25936 + 0 x25937 + 0 x25938 + 0 x25939 + 0 x25940 + 0 x25941 + 0 x25942 + 0 x25943 + 0 x25944 + 0 x25945 + 0 x25946 + 0 x25947 + 0 x25948 + 0 x25949 + 0 x25950 + 0 x25951 + 0 x25952 + 0 x25953 + 0 x25954 + 0 x25955 + 0 x25956 + 0 x25957 + 0 x25958 + 0 x25959 + 0 x25960 + 0 x25961 + 0 x25962 + 0 x25963 + 0 x25964 + 0 x25965 + 0 x25966 + 0 x25967 + 0 x25968 + 0 x25969 + 0 x25970 + 0 x25971 + 0 x25972 + 0 x25973 + 0 x25974 + 0 x25975 + 0 x25976 + 0 x25977 + 0 x25978 + 0 x25979 + 0 x25980 + 0 x25981 + 0 x25982 + 0 x25983 + 0 x25984 + 0 x25985 + 0 x25986 + 0 x25987 + 0 x25988 + 0 x25989 + 0 x25990 + 0 x25991 + 0 x25992 + 0 x25993 + 0 x25994 + 0 x25995 + 0 x25996 + 0 x25997 + 0 x25998 + 0 x25999 + 0 x26000 + 0 x26001 + 0 x26002 + 0 x26003 + 0 x26004 + 0 x26005 + 0 x26006 + 0 x26007 + 0 x26008 + 0 x26009 + 0 x26010 + 0 x26011 + 0 x26012 + 0 x26013 + 0 x26014 + 0 x26015 + 0 x26016 + 0 x26017 + 0 x26018 + 0 x26019 + 0 x26020 + 0 x26021 + 0 x26022 + 0 x26023 + 0 x26024 + 0 x26025 + 0 x26026 + 0 x26027 + 0 x26028 + 0 x26029 + 0 x26030 + 0 x26031 + 0 x26032 + 0 x26033 + 0 x26034 + 0 x26035 + 0 x26036 + 0 x26037 + 0 x26038 + 0 x26039 + 0 x26040 + 0 x26041 + 0 x26042 + 0 x26043 + 0 x26044 + 0 x26045 + 0 x26046 + 0 x26047 + 0 x26048 + 0 x26049 + 0 x26050 + 0 x26051 + 0 x26052 + 0 x26053 + 0 x26054 + 0 x26055 + 0 x26056 + 0 x26057 + 0 x26058 + 0 x26059 + 0 x26060 + 0 x26061 + 0 x26062 + 0 x26063 + 0 x26064 + 0 x26065 + 0 x26066 + 0 x26067 + 0 x26068 + 0 x26069 + 0 x26070 + 0 x26071 + 0 x26072 + 0 x26073 + 0 x26074 + 0 x26075 + 0 x26076 + 0 x26077 + 0 x26078 + 0 x26079 + 0 x26080 + 0 x26081 + 0 x26082 + 0 x26083 + 0 x26084 + 0 x26085 + 0 x26086 + 0 x26087 + 0 x26088 + 0 x26089 + 0 x26090 + 0 x26091 + 0 x26092 + 0 x26093 + 0 x26094 + 0 x26095 + 0 x26096 + 0 x26097 + 0 x26098 + 0 x26099 + 0 x26100 + 0 x26101 + 0 x26102 + 0 x26103 + 0 x26104 + 0 x26105 + 0 x26106 + 0 x26107 + 0 x26108 + 0 x26109 + 0 x26110 + 0 x26111 + 0 x26112 + 0 x26113 + 0 x26114 + 0 x26115 + 0 x26116 + 0 x26117 + 0 x26118 + 0 x26119 + 0 x26120 + 0 x26121 + 0 x26122 + 0 x26123 + 0 x26124 + 0 x26125 + 0 x26126 + 0 x26127 + 0 x26128 + 0 x26129 + 0 x26130 + 0 x26131 + 0 x26132 + 0 x26133 + 0 x26134 + 0 x26135 + 0 x26136 + 0 x26137 + 0 x26138 + 0 x26139 + 0 x26140 + 0 x26141 + 0 x26142 + 0 x26143 + 0 x26144 + 0 x26145 + 0 x26146 + 0 x26147 + 0 x26148 + 0 x26149 + 0 x26150 + 0 x26151 + 0 x26152 + 0 x26153 + 0 x26154 + 0 x26155 + 0 x26156 + 0 x26157 + 0 x26158 + 0 x26159 + 0 x26160 + 0 x26161 + 0 x26162 + 0 x26163 + 0 x26164 + 0 x26165 + 0 x26166 + 0 x26167 + 0 x26168 + 0 x26169 + 0 x26170 + 0 x26171 + 0 x26172 + 0 x26173 + 0 x26174 + 0 x26175 + 0 x26176 + 0 x26177 + 0 x26178 + 0 x26179 + 0 x26180 + 0 x26181 + 0 x26182 + 0 x26183 + 0 x26184 + 0 x26185 + 0 x26186 + 0 x26187 + 0 x26188 + 0 x26189 + 0 x26190 + 0 x26191 + 0 x26192 + 0 x26193 + 0 x26194 + 0 x26195 + 0 x26196 + 0 x26197 + 0 x26198 + 0 x26199 + 0 x26200 + 0 x26201 + 0 x26202 + 0 x26203 + 0 x26204 + 0 x26205 + 0 x26206 + 0 x26207 + 0 x26208 + 0 x26209 + 0 x26210 + 0 x26211 + 0 x26212 + 0 x26213 + 0 x26214 + 0 x26215 + 0 x26216 + 0 x26217 + 0 x26218 + 0 x26219 + 0 x26220 + 0 x26221 + 0 x26222 + 0 x26223 + 0 x26224 + 0 x26225 + 0 x26226 + 0 x26227 + 0 x26228 + 0 x26229 + 0 x26230 + 0 x26231 + 0 x26232 + 0 x26233 + 0 x26234 + 0 x26235 + 0 x26236 + 0 x26237 + 0 x26238 + 0 x26239 + 0 x26240 + 0 x26241 + 0 x26242 + 0 x26243 + 0 x26244 + 0 x26245 + 0 x26246 + 0 x26247 + 0 x26248 + 0 x26249 + 0 x26250 + 0 x26251 + 0 x26252 + 0 x26253 + 0 x26254 + 0 x26255 + 0 x26256 + 0 x26257 + 0 x26258 + 0 x26259 + 0 x26260 + 0 x26261 + 0 x26262 + 0 x26263 + 0 x26264 + 0 x26265 + 0 x26266 + 0 x26267 + 0 x26268 + 0 x26269 + 0 x26270 + 0 x26271 + 0 x26272 + 0 x26273 + 0 x26274 + 0 x26275 + 0 x26276 + 0 x26277 + 0 x26278 + 0 x26279 + 0 x26280 + 0 x26281 + 0 x26282 + 0 x26283 + 0 x26284 + 0 x26285 + 0 x26286 + 0 x26287 + 0 x26288 + 0 x26289 + 0 x26290 + 0 x26291 + 0 x26292 + 0 x26293 + 0 x26294 + 0 x26295 + 0 x26296 + 0 x26297 + 0 x26298 + 0 x26299 + 0 x26300 + 0 x26301 + 0 x26302 + 0 x26303 + 0 x26304 + 0 x26305 + 0 x26306 + 0 x26307 + 0 x26308 + 0 x26309 + 0 x26310 + 0 x26311 + 0 x26312 + 0 x26313 + 0 x26314 + 0 x26315 + 0 x26316 + 0 x26317 + 0 x26318 + 0 x26319 + 0 x26320 + 0 x26321 + 0 x26322 + 0 x26323 + 0 x26324 + 0 x26325 + 0 x26326 + 0 x26327 + 0 x26328 + 0 x26329 + 0 x26330 + 0 x26331 + 0 x26332 + 0 x26333 + 0 x26334 + 0 x26335 + 0 x26336 + 0 x26337 + 0 x26338 + 0 x26339 + 0 x26340 + 0 x26341 + 0 x26342 + 0 x26343 + 0 x26344 + 0 x26345 + 0 x26346 + 0 x26347 + 0 x26348 + 0 x26349 + 0 x26350 + 0 x26351 + 0 x26352 + 0 x26353 + 0 x26354 + 0 x26355 + 0 x26356 + 0 x26357 + 0 x26358 + 0 x26359 + 0 x26360 + 0 x26361 + 0 x26362 + 0 x26363 + 0 x26364 + 0 x26365 + 0 x26366 + 0 x26367 + 0 x26368 + 0 x26369 + 0 x26370 + 0 x26371 + 0 x26372 + 0 x26373 + 0 x26374 + 0 x26375 + 0 x26376 + 0 x26377 + 0 x26378 + 0 x26379 + 0 x26380 + 0 x26381 + 0 x26382 + 0 x26383 + 0 x26384 + 0 x26385 + 0 x26386 + 0 x26387 + 0 x26388 + 0 x26389 + 0 x26390 + 0 x26391 + 0 x26392 + 0 x26393 + 0 x26394 + 0 x26395 + 0 x26396 + 0 x26397 + 0 x26398 + 0 x26399 + 0 x26400 + 0 x26401 + 0 x26402 + 0 x26403 + 0 x26404 + 0 x26405 + 0 x26406 + 0 x26407 + 0 x26408 + 0 x26409 + 0 x26410 + 0 x26411 + 0 x26412 + 0 x26413 + 0 x26414 + 0 x26415 + 0 x26416 + 0 x26417 + 0 x26418 + 0 x26419 + 0 x26420 + 0 x26421 + 0 x26422 + 0 x26423 + 0 x26424 + 0 x26425 + 0 x26426 + 0 x26427 + 0 x26428 + 0 x26429 + 0 x26430 + 0 x26431 + 0 x26432 + 0 x26433 + 0 x26434 + 0 x26435 + 0 x26436 + 0 x26437 + 0 x26438 + 0 x26439 + 0 x26440 + 0 x26441 + 0 x26442 + 0 x26443 + 0 x26444 + 0 x26445 + 0 x26446 + 0 x26447 + 0 x26448 + 0 x26449 + 0 x26450 + 0 x26451 + 0 x26452 + 0 x26453 + 0 x26454 + 0 x26455 + 0 x26456 + 0 x26457 + 0 x26458 + 0 x26459 + 0 x26460 + 0 x26461 + 0 x26462 + 0 x26463 + 0 x26464 + 0 x26465 + 0 x26466 + 0 x26467 + 0 x26468 + 0 x26469 + 0 x26470 + 0 x26471 + 0 x26472 + 0 x26473 + 0 x26474 + 0 x26475 + 0 x26476 + 0 x26477 + 0 x26478 + 0 x26479 + 0 x26480 + 0 x26481 + 0 x26482 + 0 x26483 + 0 x26484 + 0 x26485 + 0 x26486 + 0 x26487 + 0 x26488 + 0 x26489 + 0 x26490 + 0 x26491 + 0 x26492 + 0 x26493 + 0 x26494 + 0 x26495 + 0 x26496 + 0 x26497 + 0 x26498 + 0 x26499 + 0 x26500 + 0 x26501 + 0 x26502 + 0 x26503 + 0 x26504 + 0 x26505 + 0 x26506 + 0 x26507 + 0 x26508 + 0 x26509 + 0 x26510 + 0 x26511 + 0 x26512 + 0 x26513 + 0 x26514 + 0 x26515 + 0 x26516 + 0 x26517 + 0 x26518 + 0 x26519 + 0 x26520 + 0 x26521 + 0 x26522 + 0 x26523 + 0 x26524 + 0 x26525 + 0 x26526 + 0 x26527 + 0 x26528 + 0 x26529 + 0 x26530 + 0 x26531 + 0 x26532 + 0 x26533 + 0 x26534 + 0 x26535 + 0 x26536 + 0 x26537 + 0 x26538 + 0 x26539 + 0 x26540 + 0 x26541 + 0 x26542 + 0 x26543 + 0 x26544 + 0 x26545 + 0 x26546 + 0 x26547 + 0 x26548 + 0 x26549 + 0 x26550 + 0 x26551 + 0 x26552 + 0 x26553 + 0 x26554 + 0 x26555 + 0 x26556 + 0 x26557 + 0 x26558 + 0 x26559 + 0 x26560 + 0 x26561 + 0 x26562 + 0 x26563 + 0 x26564 + 0 x26565 + 0 x26566 + 0 x26567 + 0 x26568 + 0 x26569 + 0 x26570 + 0 x26571 + 0 x26572 + 0 x26573 + 0 x26574 + 0 x26575 + 0 x26576 + 0 x26577 + 0 x26578 + 0 x26579 + 0 x26580 + 0 x26581 + 0 x26582 + 0 x26583 + 0 x26584 + 0 x26585 + 0 x26586 + 0 x26587 + 0 x26588 + 0 x26589 + 0 x26590 + 0 x26591 + 0 x26592 + 0 x26593 + 0 x26594 + 0 x26595 + 0 x26596 + 0 x26597 + 0 x26598 + 0 x26599 + 0 x26600 + 0 x26601 + 0 x26602 + 0 x26603 + 0 x26604 + 0 x26605 + 0 x26606 + 0 x26607 + 0 x26608 + 0 x26609 + 0 x26610 + 0 x26611 + 0 x26612 + 0 x26613 + 0 x26614 + 0 x26615 + 0 x26616 + 0 x26617 + 0 x26618 + 0 x26619 + 0 x26620 + 0 x26621 + 0 x26622 + 0 x26623 + 0 x26624 + 0 x26625 + 0 x26626 + 0 x26627 + 0 x26628 + 0 x26629 + 0 x26630 + 0 x26631 + 0 x26632 + 0 x26633 + 0 x26634 + 0 x26635 + 0 x26636 + 0 x26637 + 0 x26638 + 0 x26639 + 0 x26640 + 0 x26641 + 0 x26642 + 0 x26643 + 0 x26644 + 0 x26645 + 0 x26646 + 0 x26647 + 0 x26648 + 0 x26649 + 0 x26650 + 0 x26651 + 0 x26652 + 0 x26653 + 0 x26654 + 0 x26655 + 0 x26656 + 0 x26657 + 0 x26658 + 0 x26659 + 0 x26660 + 0 x26661 + 0 x26662 + 0 x26663 + 0 x26664 + 0 x26665 + 0 x26666 + 0 x26667 + 0 x26668 + 0 x26669 + 0 x26670 + 0 x26671 + 0 x26672 + 0 x26673 + 0 x26674 + 0 x26675 + 0 x26676 + 0 x26677 + 0 x26678 + 0 x26679 + 0 x26680 + 0 x26681 + 0 x26682 + 0 x26683 + 0 x26684 + 0 x26685 + 0 x26686 + 0 x26687 + 0 x26688 + 0 x26689 + 0 x26690 + 0 x26691 + 0 x26692 + 0 x26693 + 0 x26694 + 0 x26695 + 0 x26696 + 0 x26697 + 0 x26698 + 0 x26699 + 0 x26700 + 0 x26701 + 0 x26702 + 0 x26703 + 0 x26704 + 0 x26705 + 0 x26706 + 0 x26707 + 0 x26708 + 0 x26709 + 0 x26710 + 0 x26711 + 0 x26712 + 0 x26713 + 0 x26714 + 0 x26715 + 0 x26716 + 0 x26717 + 0 x26718 + 0 x26719 + 0 x26720 + 0 x26721 + 0 x26722 + 0 x26723 + 0 x26724 + 0 x26725 + 0 x26726 + 0 x26727 + 0 x26728 + 0 x26729 + 0 x26730 + 0 x26731 + 0 x26732 + 0 x26733 + 0 x26734 + 0 x26735 + 0 x26736 + 0 x26737 + 0 x26738 + 0 x26739 + 0 x26740 + 0 x26741 + 0 x26742 + 0 x26743 + 0 x26744 + 0 x26745 + 0 x26746 + 0 x26747 + 0 x26748 + 0 x26749 + 0 x26750 + 0 x26751 + 0 x26752 + 0 x26753 + 0 x26754 + 0 x26755 + 0 x26756 + 0 x26757 + 0 x26758 + 0 x26759 + 0 x26760 + 0 x26761 + 0 x26762 + 0 x26763 + 0 x26764 + 0 x26765 + 0 x26766 + 0 x26767 + 0 x26768 + 0 x26769 + 0 x26770 + 0 x26771 + 0 x26772 + 0 x26773 + 0 x26774 + 0 x26775 + 0 x26776 + 0 x26777 + 0 x26778 + 0 x26779 + 0 x26780 + 0 x26781 + 0 x26782 + 0 x26783 + 0 x26784 + 0 x26785 + 0 x26786 + 0 x26787 + 0 x26788 + 0 x26789 + 0 x26790 + 0 x26791 + 0 x26792 + 0 x26793 + 0 x26794 + 0 x26795 + 0 x26796 + 0 x26797 + 0 x26798 + 0 x26799 + 0 x26800 + 0 x26801 + 0 x26802 + 0 x26803 + 0 x26804 + 0 x26805 + 0 x26806 + 0 x26807 + 0 x26808 + 0 x26809 + 0 x26810 + 0 x26811 + 0 x26812 + 0 x26813 + 0 x26814 + 0 x26815 + 0 x26816 + 0 x26817 + 0 x26818 + 0 x26819 + 0 x26820 + 0 x26821 + 0 x26822 + 0 x26823 + 0 x26824 + 0 x26825 + 0 x26826 + 0 x26827 + 0 x26828 + 0 x26829 + 0 x26830 + 0 x26831 + 0 x26832 + 0 x26833 + 0 x26834 + 0 x26835 + 0 x26836 + 0 x26837 + 0 x26838 + 0 x26839 + 0 x26840 + 0 x26841 + 0 x26842 + 0 x26843 + 0 x26844 + 0 x26845 + 0 x26846 + 0 x26847 + 0 x26848 + 0 x26849 + 0 x26850 + 0 x26851 + 0 x26852 + 0 x26853 + 0 x26854 + 0 x26855 + 0 x26856 + 0 x26857 + 0 x26858 + 0 x26859 + 0 x26860 + 0 x26861 + 0 x26862 + 0 x26863 + 0 x26864 + 0 x26865 + 0 x26866 + 0 x26867 + 0 x26868 + 0 x26869 + 0 x26870 + 0 x26871 + 0 x26872 + 0 x26873 + 0 x26874 + 0 x26875 + 0 x26876 + 0 x26877 + 0 x26878 + 0 x26879 + 0 x26880 + 0 x26881 + 0 x26882 + 0 x26883 + 0 x26884 + 0 x26885 + 0 x26886 + 0 x26887 + 0 x26888 + 0 x26889 + 0 x26890 + 0 x26891 + 0 x26892 + 0 x26893 + 0 x26894 + 0 x26895 + 0 x26896 + 0 x26897 + 0 x26898 + 0 x26899 + 0 x26900 + 0 x26901 + 0 x26902 + 0 x26903 + 0 x26904 + 0 x26905 + 0 x26906 + 0 x26907 + 0 x26908 + 0 x26909 + 0 x26910 + 0 x26911 + 0 x26912 + 0 x26913 + 0 x26914 + 0 x26915 + 0 x26916 + 0 x26917 + 0 x26918 + 0 x26919 + 0 x26920 + 0 x26921 + 0 x26922 + 0 x26923 + 0 x26924 + 0 x26925 + 0 x26926 + 0 x26927 + 0 x26928 + 0 x26929 + 0 x26930 + 0 x26931 + 0 x26932 + 0 x26933 + 0 x26934 + 0 x26935 + 0 x26936 + 0 x26937 + 0 x26938 + 0 x26939 + 0 x26940 + 0 x26941 + 0 x26942 + 0 x26943 + 0 x26944 + 0 x26945 + 0 x26946 + 0 x26947 + 0 x26948 + 0 x26949 + 0 x26950 + 0 x26951 + 0 x26952 + 0 x26953 + 0 x26954 + 0 x26955 + 0 x26956 + 0 x26957 + 0 x26958 + 0 x26959 + 0 x26960 + 0 x26961 + 0 x26962 + 0 x26963 + 0 x26964 + 0 x26965 + 0 x26966 + 0 x26967 + 0 x26968 + 0 x26969 + 0 x26970 + 0 x26971 + 0 x26972 + 0 x26973 + 0 x26974 + 0 x26975 + 0 x26976 + 0 x26977 + 0 x26978 + 0 x26979 + 0 x26980 + 0 x26981 + 0 x26982 + 0 x26983 + 0 x26984 + 0 x26985 + 0 x26986 + 0 x26987 + 0 x26988 + 0 x26989 + 0 x26990 + 0 x26991 + 0 x26992 + 0 x26993 + 0 x26994 + 0 x26995 + 0 x26996 + 0 x26997 + 0 x26998 + 0 x26999 + 0 x27000 + 0 x27001 + 0 x27002 + 0 x27003 + 0 x27004 + 0 x27005 + 0 x27006 + 0 x27007 + 0 x27008 + 0 x27009 + 0 x27010 + 0 x27011 + 0 x27012 + 0 x27013 + 0 x27014 + 0 x27015 + 0 x27016 + 0 x27017 + 0 x27018 + 0 x27019 + 0 x27020 + 0 x27021 + 0 x27022 + 0 x27023 + 0 x27024 + 0 x27025 + 0 x27026 + 0 x27027 + 0 x27028 + 0 x27029 + 0 x27030 + 0 x27031 + 0 x27032 + 0 x27033 + 0 x27034 + 0 x27035 + 0 x27036 + 0 x27037 + 0 x27038 + 0 x27039 + 0 x27040 + 0 x27041 + 0 x27042 + 0 x27043 + 0 x27044 + 0 x27045 + 0 x27046 + 0 x27047 + 0 x27048 + 0 x27049 + 0 x27050 + 0 x27051 + 0 x27052 + 0 x27053 + 0 x27054 + 0 x27055 + 0 x27056 + 0 x27057 + 0 x27058 + 0 x27059 + 0 x27060 + 0 x27061 + 0 x27062 + 0 x27063 + 0 x27064 + 0 x27065 + 0 x27066 + 0 x27067 + 0 x27068 + 0 x27069 + 0 x27070 + 0 x27071 + 0 x27072 + 0 x27073 + 0 x27074 + 0 x27075 + 0 x27076 + 0 x27077 + 0 x27078 + 0 x27079 + 0 x27080 + 0 x27081 + 0 x27082 + 0 x27083 + 0 x27084 + 0 x27085 + 0 x27086 + 0 x27087 + 0 x27088 + 0 x27089 + 0 x27090 + 0 x27091 + 0 x27092 + 0 x27093 + 0 x27094 + 0 x27095 + 0 x27096 + 0 x27097 + 0 x27098 + 0 x27099 + 0 x27100 + 0 x27101 + 0 x27102 + 0 x27103 + 0 x27104 + 0 x27105 + 0 x27106 + 0 x27107 + 0 x27108 + 0 x27109 + 0 x27110 + 0 x27111 + 0 x27112 + 0 x27113 + 0 x27114 + 0 x27115 + 0 x27116 + 0 x27117 + 0 x27118 + 0 x27119 + 0 x27120 + 0 x27121 + 0 x27122 + 0 x27123 + 0 x27124 + 0 x27125 + 0 x27126 + 0 x27127 + 0 x27128 + 0 x27129 + 0 x27130 + 0 x27131 + 0 x27132 + 0 x27133 + 0 x27134 + 0 x27135 + 0 x27136 + 0 x27137 + 0 x27138 + 0 x27139 + 0 x27140 + 0 x27141 + 0 x27142 + 0 x27143 + 0 x27144 + 0 x27145 + 0 x27146 + 0 x27147 + 0 x27148 + 0 x27149 + 0 x27150 + 0 x27151 + 0 x27152 + 0 x27153 + 0 x27154 + 0 x27155 + 0 x27156 + 0 x27157 + 0 x27158 + 0 x27159 + 0 x27160 + 0 x27161 + 0 x27162 + 0 x27163 + 0 x27164 + 0 x27165 + 0 x27166 + 0 x27167 + 0 x27168 + 0 x27169 + 0 x27170 + 0 x27171 + 0 x27172 + 0 x27173 + 0 x27174 + 0 x27175 + 0 x27176 + 0 x27177 + 0 x27178 + 0 x27179 + 0 x27180 + 0 x27181 + 0 x27182 + 0 x27183 + 0 x27184 + 0 x27185 + 0 x27186 + 0 x27187 + 0 x27188 + 0 x27189 + 0 x27190 + 0 x27191 + 0 x27192 + 0 x27193 + 0 x27194 + 0 x27195 + 0 x27196 + 0 x27197 + 0 x27198 + 0 x27199 + 0 x27200 + 0 x27201 + 0 x27202 + 0 x27203 + 0 x27204 + 0 x27205 + 0 x27206 + 0 x27207 + 0 x27208 + 0 x27209 + 0 x27210 + 0 x27211 + 0 x27212 + 0 x27213 + 0 x27214 + 0 x27215 + 0 x27216 + 0 x27217 + 0 x27218 + 0 x27219 + 0 x27220 + 0 x27221 + 0 x27222 + 0 x27223 + 0 x27224 + 0 x27225 + 0 x27226 + 0 x27227 + 0 x27228 + 0 x27229 + 0 x27230 + 0 x27231 + 0 x27232 + 0 x27233 + 0 x27234 + 0 x27235 + 0 x27236 + 0 x27237 + 0 x27238 + 0 x27239 + 0 x27240 + 0 x27241 + 0 x27242 + 0 x27243 + 0 x27244 + 0 x27245 + 0 x27246 + 0 x27247 + 0 x27248 + 0 x27249 + 0 x27250 + 0 x27251 + 0 x27252 + 0 x27253 + 0 x27254 + 0 x27255 + 0 x27256 + 0 x27257 + 0 x27258 + 0 x27259 + 0 x27260 + 0 x27261 + 0 x27262 + 0 x27263 + 0 x27264 + 0 x27265 + 0 x27266 + 0 x27267 + 0 x27268 + 0 x27269 + 0 x27270 + 0 x27271 + 0 x27272 + 0 x27273 + 0 x27274 + 0 x27275 + 0 x27276 + 0 x27277 + 0 x27278 + 0 x27279 + 0 x27280 + 0 x27281 + 0 x27282 + 0 x27283 + 0 x27284 + 0 x27285 + 0 x27286 + 0 x27287 + 0 x27288 + 0 x27289 + 0 x27290 + 0 x27291 + 0 x27292 + 0 x27293 + 0 x27294 + 0 x27295 + 0 x27296 + 0 x27297 + 0 x27298 + 0 x27299 + 0 x27300 + 0 x27301 + 0 x27302 + 0 x27303 + 0 x27304 + 0 x27305 + 0 x27306 + 0 x27307 + 0 x27308 + 0 x27309 + 0 x27310 + 0 x27311 + 0 x27312 + 0 x27313 + 0 x27314 + 0 x27315 + 0 x27316 + 0 x27317 + 0 x27318 + 0 x27319 + 0 x27320 + 0 x27321 + 0 x27322 + 0 x27323 + 0 x27324 + 0 x27325 + 0 x27326 + 0 x27327 + 0 x27328 + 0 x27329 + 0 x27330 + 0 x27331 + 0 x27332 + 0 x27333 + 0 x27334 + 0 x27335 + 0 x27336 + 0 x27337 + 0 x27338 + 0 x27339 + 0 x27340 + 0 x27341 + 0 x27342 + 0 x27343 + 0 x27344 + 0 x27345 + 0 x27346 + 0 x27347 + 0 x27348 + 0 x27349 + 0 x27350 + 0 x27351 + 0 x27352 + 0 x27353 + 0 x27354 + 0 x27355 + 0 x27356 + 0 x27357 + 0 x27358 + 0 x27359 + 0 x27360 + 0 x27361 + 0 x27362 + 0 x27363 + 0 x27364 + 0 x27365 + 0 x27366 + 0 x27367 + 0 x27368 + 0 x27369 + 0 x27370 + 0 x27371 + 0 x27372 + 0 x27373 + 0 x27374 + 0 x27375 + 0 x27376 + 0 x27377 + 0 x27378 + 0 x27379 + 0 x27380 + 0 x27381 + 0 x27382 + 0 x27383 + 0 x27384 + 0 x27385 + 0 x27386 + 0 x27387 + 0 x27388 + 0 x27389 + 0 x27390 + 0 x27391 + 0 x27392 + 0 x27393 + 0 x27394 + 0 x27395 + 0 x27396 + 0 x27397 + 0 x27398 + 0 x27399 + 0 x27400 + 0 x27401 + 0 x27402 + 0 x27403 + 0 x27404 + 0 x27405 + 0 x27406 + 0 x27407 + 0 x27408 + 0 x27409 + 0 x27410 + 0 x27411 + 0 x27412 + 0 x27413 + 0 x27414 + 0 x27415 + 0 x27416 + 0 x27417 + 0 x27418 + 0 x27419 + 0 x27420 + 0 x27421 + 0 x27422 + 0 x27423 + 0 x27424 + 0 x27425 + 0 x27426 + 0 x27427 + 0 x27428 + 0 x27429 + 0 x27430 + 0 x27431 + 0 x27432 + 0 x27433 + 0 x27434 + 0 x27435 + 0 x27436 + 0 x27437 + 0 x27438 + 0 x27439 + 0 x27440 + 0 x27441 + 0 x27442 + 0 x27443 + 0 x27444 + 0 x27445 + 0 x27446 + 0 x27447 + 0 x27448 + 0 x27449 + 0 x27450 + 0 x27451 + 0 x27452 + 0 x27453 + 0 x27454 + 0 x27455 + 0 x27456 + 0 x27457 + 0 x27458 + 0 x27459 + 0 x27460 + 0 x27461 + 0 x27462 + 0 x27463 + 0 x27464 + 0 x27465 + 0 x27466 + 0 x27467 + 0 x27468 + 0 x27469 + 0 x27470 + 0 x27471 + 0 x27472 + 0 x27473 + 0 x27474 + 0 x27475 + 0 x27476 + 0 x27477 + 0 x27478 + 0 x27479 + 0 x27480 + 0 x27481 + 0 x27482 + 0 x27483 + 0 x27484 + 0 x27485 + 0 x27486 + 0 x27487 + 0 x27488 + 0 x27489 + 0 x27490 + 0 x27491 + 0 x27492 + 0 x27493 + 0 x27494 + 0 x27495 + 0 x27496 + 0 x27497 + 0 x27498 + 0 x27499 + 0 x27500 + 0 x27501 + 0 x27502 + 0 x27503 + 0 x27504 + 0 x27505 + 0 x27506 + 0 x27507 + 0 x27508 + 0 x27509 + 0 x27510 + 0 x27511 + 0 x27512 + 0 x27513 + 0 x27514 + 0 x27515 + 0 x27516 + 0 x27517 + 0 x27518 + 0 x27519 + 0 x27520 + 0 x27521 + 0 x27522 + 0 x27523 + 0 x27524 + 0 x27525 + 0 x27526 + 0 x27527 + 0 x27528 + 0 x27529 + 0 x27530 + 0 x27531 + 0 x27532 + 0 x27533 + 0 x27534 + 0 x27535 + 0 x27536 + 0 x27537 + 0 x27538 + 0 x27539 + 0 x27540 + 0 x27541 + 0 x27542 + 0 x27543 + 0 x27544 + 0 x27545 + 0 x27546 + 0 x27547 + 0 x27548 + 0 x27549 + 0 x27550 + 0 x27551 + 0 x27552 + 0 x27553 + 0 x27554 + 0 x27555 + 0 x27556 + 0 x27557 + 0 x27558 + 0 x27559 + 0 x27560 + 0 x27561 + 0 x27562 + 0 x27563 + 0 x27564 + 0 x27565 + 0 x27566 + 0 x27567 + 0 x27568 + 0 x27569 + 0 x27570 + 0 x27571 + 0 x27572 + 0 x27573 + 0 x27574 + 0 x27575 + 0 x27576 + 0 x27577 + 0 x27578 + 0 x27579 + 0 x27580 + 0 x27581 + 0 x27582 + 0 x27583 + 0 x27584 + 0 x27585 + 0 x27586 + 0 x27587 + 0 x27588 + 0 x27589 + 0 x27590 + 0 x27591 + 0 x27592 + 0 x27593 + 0 x27594 + 0 x27595 + 0 x27596 + 0 x27597 + 0 x27598 + 0 x27599 + 0 x27600 + 0 x27601 + 0 x27602 + 0 x27603 + 0 x27604 + 0 x27605 + 0 x27606 + 0 x27607 + 0 x27608 + 0 x27609 + 0 x27610 + 0 x27611 + 0 x27612 + 0 x27613 + 0 x27614 + 0 x27615 + 0 x27616 + 0 x27617 + 0 x27618 + 0 x27619 + 0 x27620 + 0 x27621 + 0 x27622 + 0 x27623 + 0 x27624 + 0 x27625 + 0 x27626 + 0 x27627 + 0 x27628 + 0 x27629 + 0 x27630 + 0 x27631 + 0 x27632 + 0 x27633 + 0 x27634 + 0 x27635 + 0 x27636 + 0 x27637 + 0 x27638 + 0 x27639 + 0 x27640 + 0 x27641 + 0 x27642 + 0 x27643 + 0 x27644 + 0 x27645 + 0 x27646 + 0 x27647 + 0 x27648 + 0 x27649 + 0 x27650 + 0 x27651 + 0 x27652 + 0 x27653 + 0 x27654 + 0 x27655 + 0 x27656 + 0 x27657 + 0 x27658 + 0 x27659 + 0 x27660 + 0 x27661 + 0 x27662 + 0 x27663 + 0 x27664 + 0 x27665 + 0 x27666 + 0 x27667 + 0 x27668 + 0 x27669 + 0 x27670 + 0 x27671 + 0 x27672 + 0 x27673 + 0 x27674 + 0 x27675 + 0 x27676 + 0 x27677 + 0 x27678 + 0 x27679 + 0 x27680 + 0 x27681 + 0 x27682 + 0 x27683 + 0 x27684 + 0 x27685 + 0 x27686 + 0 x27687 + 0 x27688 + 0 x27689 + 0 x27690 + 0 x27691 + 0 x27692 + 0 x27693 + 0 x27694 + 0 x27695 + 0 x27696 + 0 x27697 + 0 x27698 + 0 x27699 + 0 x27700 + 0 x27701 + 0 x27702 + 0 x27703 + 0 x27704 + 0 x27705 + 0 x27706 + 0 x27707 + 0 x27708 + 0 x27709 + 0 x27710 + 0 x27711 + 0 x27712 + 0 x27713 + 0 x27714 + 0 x27715 + 0 x27716 + 0 x27717 + 0 x27718 + 0 x27719 + 0 x27720 + 0 x27721 + 0 x27722 + 0 x27723 + 0 x27724 + 0 x27725 + 0 x27726 + 0 x27727 + 0 x27728 + 0 x27729 + 0 x27730 + 0 x27731 + 0 x27732 + 0 x27733 + 0 x27734 + 0 x27735 + 0 x27736 + 0 x27737 + 0 x27738 + 0 x27739 + 0 x27740 + 0 x27741 + 0 x27742 + 0 x27743 + 0 x27744 + 0 x27745 + 0 x27746 + 0 x27747 + 0 x27748 + 0 x27749 + 0 x27750 + 0 x27751 + 0 x27752 + 0 x27753 + 0 x27754 + 0 x27755 + 0 x27756 + 0 x27757 + 0 x27758 + 0 x27759 + 0 x27760 + 0 x27761 + 0 x27762 + 0 x27763 + 0 x27764 + 0 x27765 + 0 x27766 + 0 x27767 + 0 x27768 + 0 x27769 + 0 x27770 + 0 x27771 + 0 x27772 + 0 x27773 + 0 x27774 + 0 x27775 + 0 x27776 + 0 x27777 + 0 x27778 + 0 x27779 + 0 x27780 + 0 x27781 + 0 x27782 + 0 x27783 + 0 x27784 + 0 x27785 + 0 x27786 + 0 x27787 + 0 x27788 + 0 x27789 + 0 x27790 + 0 x27791 + 0 x27792 + 0 x27793 + 0 x27794 + 0 x27795 + 0 x27796 + 0 x27797 + 0 x27798 + 0 x27799 + 0 x27800 + 0 x27801 + 0 x27802 + 0 x27803 + 0 x27804 + 0 x27805 + 0 x27806 + 0 x27807 + 0 x27808 + 0 x27809 + 0 x27810 + 0 x27811 + 0 x27812 + 0 x27813 + 0 x27814 + 0 x27815 + 0 x27816 + 0 x27817 + 0 x27818 + 0 x27819 + 0 x27820 + 0 x27821 + 0 x27822 + 0 x27823 + 0 x27824 + 0 x27825 + 0 x27826 + 0 x27827 + 0 x27828 + 0 x27829 + 0 x27830 + 0 x27831 + 0 x27832 + 0 x27833 + 0 x27834 + 0 x27835 + 0 x27836 + 0 x27837 + 0 x27838 + 0 x27839 + 0 x27840 + 0 x27841 + 0 x27842 + 0 x27843 + 0 x27844 + 0 x27845 + 0 x27846 + 0 x27847 + 0 x27848 + 0 x27849 + 0 x27850 + 0 x27851 + 0 x27852 + 0 x27853 + 0 x27854 + 0 x27855 + 0 x27856 + 0 x27857 + 0 x27858 + 0 x27859 + 0 x27860 + 0 x27861 + 0 x27862 + 0 x27863 + 0 x27864 + 0 x27865 + 0 x27866 + 0 x27867 + 0 x27868 + 0 x27869 + 0 x27870 + 0 x27871 + 0 x27872 + 0 x27873 + 0 x27874 + 0 x27875 + 0 x27876 + 0 x27877 + 0 x27878 + 0 x27879 + 0 x27880 + 0 x27881 + 0 x27882 + 0 x27883 + 0 x27884 + 0 x27885 + 0 x27886 + 0 x27887 + 0 x27888 + 0 x27889 + 0 x27890 + 0 x27891 + 0 x27892 + 0 x27893 + 0 x27894 + 0 x27895 + 0 x27896 + 0 x27897 + 0 x27898 + 0 x27899 + 0 x27900 + 0 x27901 + 0 x27902 + 0 x27903 + 0 x27904 + 0 x27905 + 0 x27906 + 0 x27907 + 0 x27908 + 0 x27909 + 0 x27910 + 0 x27911 + 0 x27912 + 0 x27913 + 0 x27914 + 0 x27915 + 0 x27916 + 0 x27917 + 0 x27918 + 0 x27919 + 0 x27920 + 0 x27921 + 0 x27922 + 0 x27923 + 0 x27924 + 0 x27925 + 0 x27926 + 0 x27927 + 0 x27928 + 0 x27929 + 0 x27930 + 0 x27931 + 0 x27932 + 0 x27933 + 0 x27934 + 0 x27935 + 0 x27936 + 0 x27937 + 0 x27938 + 0 x27939 + 0 x27940 + 0 x27941 + 0 x27942 + 0 x27943 + 0 x27944 + 0 x27945 + 0 x27946 + 0 x27947 + 0 x27948 + 0 x27949 + 0 x27950 + 0 x27951 + 0 x27952 + 0 x27953 + 0 x27954 + 0 x27955 + 0 x27956 + 0 x27957 + 0 x27958 + 0 x27959 + 0 x27960 + 0 x27961 + 0 x27962 + 0 x27963 + 0 x27964 + 0 x27965 + 0 x27966 + 0 x27967 + 0 x27968 + 0 x27969 + 0 x27970 + 0 x27971 + 0 x27972 + 0 x27973 + 0 x27974 + 0 x27975 + 0 x27976 + 0 x27977 + 0 x27978 + 0 x27979 + 0 x27980 + 0 x27981 + 0 x27982 + 0 x27983 + 0 x27984 + 0 x27985 + 0 x27986 + 0 x27987 + 0 x27988 + 0 x27989 + 0 x27990 + 0 x27991 + 0 x27992 + 0 x27993 + 0 x27994 + 0 x27995 + 0 x27996 + 0 x27997 + 0 x27998 + 0 x27999 + 0 x28000 + 0 x28001 + 0 x28002 + 0 x28003 + 0 x28004 + 0 x28005 + 0 x28006 + 0 x28007 + 0 x28008 + 0 x28009 + 0 x28010 + 0 x28011 + 0 x28012 + 0 x28013 + 0 x28014 + 0 x28015 + 0 x28016 + 0 x28017 + 0 x28018 + 0 x28019 + 0 x28020 + 0 x28021 + 0 x28022 + 0 x28023 + 0 x28024 + 0 x28025 + 0 x28026 + 0 x28027 + 0 x28028 + 0 x28029 + 0 x28030 + 0 x28031 + 0 x28032 + 0 x28033 + 0 x28034 + 0 x28035 + 0 x28036 + 0 x28037 + 0 x28038 + 0 x28039 + 0 x28040 + 0 x28041 + 0 x28042 + 0 x28043 + 0 x28044 + 0 x28045 + 0 x28046 + 0 x28047 + 0 x28048 + 0 x28049 + 0 x28050 + 0 x28051 + 0 x28052 + 0 x28053 + 0 x28054 + 0 x28055 + 0 x28056 + 0 x28057 + 0 x28058 + 0 x28059 + 0 x28060 + 0 x28061 + 0 x28062 + 0 x28063 + 0 x28064 + 0 x28065 + 0 x28066 + 0 x28067 + 0 x28068 + 0 x28069 + 0 x28070 + 0 x28071 + 0 x28072 + 0 x28073 + 0 x28074 + 0 x28075 + 0 x28076 + 0 x28077 + 0 x28078 + 0 x28079 + 0 x28080 + 0 x28081 + 0 x28082 + 0 x28083 + 0 x28084 + 0 x28085 + 0 x28086 + 0 x28087 + 0 x28088 + 0 x28089 + 0 x28090 + 0 x28091 + 0 x28092 + 0 x28093 + 0 x28094 + 0 x28095 + 0 x28096 + 0 x28097 + 0 x28098 + 0 x28099 + 0 x28100 + 0 x28101 + 0 x28102 + 0 x28103 + 0 x28104 + 0 x28105 + 0 x28106 + 0 x28107 + 0 x28108 + 0 x28109 + 0 x28110 + 0 x28111 + 0 x28112 + 0 x28113 + 0 x28114 + 0 x28115 + 0 x28116 + 0 x28117 + 0 x28118 + 0 x28119 + 0 x28120 + 0 x28121 + 0 x28122 + 0 x28123 + 0 x28124 + 0 x28125 + 0 x28126 + 0 x28127 + 0 x28128 + 0 x28129 + 0 x28130 + 0 x28131 + 0 x28132 + 0 x28133 + 0 x28134 + 0 x28135 + 0 x28136 + 0 x28137 + 0 x28138 + 0 x28139 + 0 x28140 + 0 x28141 + 0 x28142 + 0 x28143 + 0 x28144 + 0 x28145 + 0 x28146 + 0 x28147 + 0 x28148 + 0 x28149 + 0 x28150 + 0 x28151 + 0 x28152 + 0 x28153 + 0 x28154 + 0 x28155 + 0 x28156 + 0 x28157 + 0 x28158 + 0 x28159 + 0 x28160 + 0 x28161 + 0 x28162 + 0 x28163 + 0 x28164 + 0 x28165 + 0 x28166 + 0 x28167 + 0 x28168 + 0 x28169 + 0 x28170 + 0 x28171 + 0 x28172 + 0 x28173 + 0 x28174 + 0 x28175 + 0 x28176 + 0 x28177 + 0 x28178 + 0 x28179 + 0 x28180 + 0 x28181 + 0 x28182 + 0 x28183 + 0 x28184 + 0 x28185 + 0 x28186 + 0 x28187 + 0 x28188 + 0 x28189 + 0 x28190 + 0 x28191 + 0 x28192 + 0 x28193 + 0 x28194 + 0 x28195 + 0 x28196 + 0 x28197 + 0 x28198 + 0 x28199 + 0 x28200 + 0 x28201 + 0 x28202 + 0 x28203 + 0 x28204 + 0 x28205 + 0 x28206 + 0 x28207 + 0 x28208 + 0 x28209 + 0 x28210 + 0 x28211 + 0 x28212 + 0 x28213 + 0 x28214 + 0 x28215 + 0 x28216 + 0 x28217 + 0 x28218 + 0 x28219 + 0 x28220 + 0 x28221 + 0 x28222 + 0 x28223 + 0 x28224 + 0 x28225 + 0 x28226 + 0 x28227 + 0 x28228 + 0 x28229 + 0 x28230 + 0 x28231 + 0 x28232 + 0 x28233 + 0 x28234 + 0 x28235 + 0 x28236 + 0 x28237 + 0 x28238 + 0 x28239 + 0 x28240 + 0 x28241 + 0 x28242 + 0 x28243 + 0 x28244 + 0 x28245 + 0 x28246 + 0 x28247 + 0 x28248 + 0 x28249 + 0 x28250 + 0 x28251 + 0 x28252 + 0 x28253 + 0 x28254 + 0 x28255 + 0 x28256 + 0 x28257 + 0 x28258 + 0 x28259 + 0 x28260 + 0 x28261 + 0 x28262 + 0 x28263 + 0 x28264 + 0 x28265 + 0 x28266 + 0 x28267 + 0 x28268 + 0 x28269 + 0 x28270 + 0 x28271 + 0 x28272 + 0 x28273 + 0 x28274 + 0 x28275 + 0 x28276 + 0 x28277 + 0 x28278 + 0 x28279 + 0 x28280 + 0 x28281 + 0 x28282 + 0 x28283 + 0 x28284 + 0 x28285 + 0 x28286 + 0 x28287 + 0 x28288 + 0 x28289 + 0 x28290 + 0 x28291 + 0 x28292 + 0 x28293 + 0 x28294 + 0 x28295 + 0 x28296 + 0 x28297 + 0 x28298 + 0 x28299 + 0 x28300 + 0 x28301 + 0 x28302 + 0 x28303 + 0 x28304 + 0 x28305 + 0 x28306 + 0 x28307 + 0 x28308 + 0 x28309 + 0 x28310 + 0 x28311 + 0 x28312 + 0 x28313 + 0 x28314 + 0 x28315 + 0 x28316 + 0 x28317 + 0 x28318 + 0 x28319 + 0 x28320 + 0 x28321 + 0 x28322 + 0 x28323 + 0 x28324 + 0 x28325 + 0 x28326 + 0 x28327 + 0 x28328 + 0 x28329 + 0 x28330 + 0 x28331 + 0 x28332 + 0 x28333 + 0 x28334 + 0 x28335 + 0 x28336 + 0 x28337 + 0 x28338 + 0 x28339 + 0 x28340 + 0 x28341 + 0 x28342 + 0 x28343 + 0 x28344 + 0 x28345 + 0 x28346 + 0 x28347 + 0 x28348 + 0 x28349 + 0 x28350 + 0 x28351 + 0 x28352 + 0 x28353 + 0 x28354 + 0 x28355 + 0 x28356 + 0 x28357 + 0 x28358 + 0 x28359 + 0 x28360 + 0 x28361 + 0 x28362 + 0 x28363 + 0 x28364 + 0 x28365 + 0 x28366 + 0 x28367 + 0 x28368 + 0 x28369 + 0 x28370 + 0 x28371 + 0 x28372 + 0 x28373 + 0 x28374 + 0 x28375 + 0 x28376 + 0 x28377 + 0 x28378 + 0 x28379 + 0 x28380 + 0 x28381 + 0 x28382 + 0 x28383 + 0 x28384 + 0 x28385 + 0 x28386 + 0 x28387 + 0 x28388 + 0 x28389 + 0 x28390 + 0 x28391 + 0 x28392 + 0 x28393 + 0 x28394 + 0 x28395 + 0 x28396 + 0 x28397 + 0 x28398 + 0 x28399 + 0 x28400 + 0 x28401 + 0 x28402 + 0 x28403 + 0 x28404 + 0 x28405 + 0 x28406 + 0 x28407 + 0 x28408 + 0 x28409 + 0 x28410 + 0 x28411 + 0 x28412 + 0 x28413 + 0 x28414 + 0 x28415 + 0 x28416 + 0 x28417 + 0 x28418 + 0 x28419 + 0 x28420 + 0 x28421 + 0 x28422 + 0 x28423 + 0 x28424 + 0 x28425 + 0 x28426 + 0 x28427 + 0 x28428 + 0 x28429 + 0 x28430 + 0 x28431 + 0 x28432 + 0 x28433 + 0 x28434 + 0 x28435 + 0 x28436 + 0 x28437 + 0 x28438 + 0 x28439 + 0 x28440 + 0 x28441 + 0 x28442 + 0 x28443 + 0 x28444 + 0 x28445 + 0 x28446 + 0 x28447 + 0 x28448 + 0 x28449 + 0 x28450 + 0 x28451 + 0 x28452 + 0 x28453 + 0 x28454 + 0 x28455 + 0 x28456 + 0 x28457 + 0 x28458 + 0 x28459 + 0 x28460 + 0 x28461 + 0 x28462 + 0 x28463 + 0 x28464 + 0 x28465 + 0 x28466 + 0 x28467 + 0 x28468 + 0 x28469 + 0 x28470 + 0 x28471 + 0 x28472 + 0 x28473 + 0 x28474 + 0 x28475 + 0 x28476 + 0 x28477 + 0 x28478 + 0 x28479 + 0 x28480 + 0 x28481 + 0 x28482 + 0 x28483 + 0 x28484 + 0 x28485 + 0 x28486 + 0 x28487 + 0 x28488 + 0 x28489 + 0 x28490 + 0 x28491 + 0 x28492 + 0 x28493 + 0 x28494 + 0 x28495 + 0 x28496 + 0 x28497 + 0 x28498 + 0 x28499 + 0 x28500 + 0 x28501 + 0 x28502 + 0 x28503 + 0 x28504 + 0 x28505 + 0 x28506 + 0 x28507 + 0 x28508 + 0 x28509 + 0 x28510 + 0 x28511 + 0 x28512 + 0 x28513 + 0 x28514 + 0 x28515 + 0 x28516 + 0 x28517 + 0 x28518 + 0 x28519 + 0 x28520 + 0 x28521 + 0 x28522 + 0 x28523 + 0 x28524 + 0 x28525 + 0 x28526 + 0 x28527 + 0 x28528 + 0 x28529 + 0 x28530 + 0 x28531 + 0 x28532 + 0 x28533 + 0 x28534 + 0 x28535 + 0 x28536 + 0 x28537 + 0 x28538 + 0 x28539 + 0 x28540 + 0 x28541 + 0 x28542 + 0 x28543 + 0 x28544 + 0 x28545 + 0 x28546 + 0 x28547 + 0 x28548 + 0 x28549 + 0 x28550 + 0 x28551 + 0 x28552 + 0 x28553 + 0 x28554 + 0 x28555 + 0 x28556 + 0 x28557 + 0 x28558 + 0 x28559 + 0 x28560 + 0 x28561 + 0 x28562 + 0 x28563 + 0 x28564 + 0 x28565 + 0 x28566 + 0 x28567 + 0 x28568 + 0 x28569 + 0 x28570 + 0 x28571 + 0 x28572 + 0 x28573 + 0 x28574 + 0 x28575 + 0 x28576 + 0 x28577 + 0 x28578 + 0 x28579 + 0 x28580 + 0 x28581 + 0 x28582 + 0 x28583 + 0 x28584 + 0 x28585 + 0 x28586 + 0 x28587 + 0 x28588 + 0 x28589 + 0 x28590 + 0 x28591 + 0 x28592 + 0 x28593 + 0 x28594 + 0 x28595 + 0 x28596 + 0 x28597 + 0 x28598 + 0 x28599 + 0 x28600 + 0 x28601 + 0 x28602 + 0 x28603 + 0 x28604 + 0 x28605 + 0 x28606 + 0 x28607 + 0 x28608 + 0 x28609 + 0 x28610 + 0 x28611 + 0 x28612 + 0 x28613 + 0 x28614 + 0 x28615 + 0 x28616 + 0 x28617 + 0 x28618 + 0 x28619 + 0 x28620 + 0 x28621 + 0 x28622 + 0 x28623 + 0 x28624 + 0 x28625 + 0 x28626 + 0 x28627 + 0 x28628 + 0 x28629 + 0 x28630 + 0 x28631 + 0 x28632 + 0 x28633 + 0 x28634 + 0 x28635 + 0 x28636 + 0 x28637 + 0 x28638 + 0 x28639 + 0 x28640 + 0 x28641 + 0 x28642 + 0 x28643 + 0 x28644 + 0 x28645 + 0 x28646 + 0 x28647 + 0 x28648 + 0 x28649 + 0 x28650 + 0 x28651 + 0 x28652 + 0 x28653 + 0 x28654 + 0 x28655 + 0 x28656 + 0 x28657 + 0 x28658 + 0 x28659 + 0 x28660 + 0 x28661 + 0 x28662 + 0 x28663 + 0 x28664 + 0 x28665 + 0 x28666 + 0 x28667 + 0 x28668 + 0 x28669 + 0 x28670 + 0 x28671 + 0 x28672 + 0 x28673 + 0 x28674 + 0 x28675 + 0 x28676 + 0 x28677 + 0 x28678 + 0 x28679 + 0 x28680 + 0 x28681 + 0 x28682 + 0 x28683 + 0 x28684 + 0 x28685 + 0 x28686 + 0 x28687 + 0 x28688 + 0 x28689 + 0 x28690 + 0 x28691 + 0 x28692 + 0 x28693 + 0 x28694 + 0 x28695 + 0 x28696 + 0 x28697 + 0 x28698 + 0 x28699 + 0 x28700 + 0 x28701 + 0 x28702 + 0 x28703 + 0 x28704 + 0 x28705 + 0 x28706 + 0 x28707 + 0 x28708 + 0 x28709 + 0 x28710 + 0 x28711 + 0 x28712 + 0 x28713 + 0 x28714 + 0 x28715 + 0 x28716 + 0 x28717 + 0 x28718 + 0 x28719 + 0 x28720 + 0 x28721 + 0 x28722 + 0 x28723 + 0 x28724 + 0 x28725 + 0 x28726 + 0 x28727 + 0 x28728 + 0 x28729 + 0 x28730 + 0 x28731 + 0 x28732 + 0 x28733 + 0 x28734 + 0 x28735 + 0 x28736 + 0 x28737 + 0 x28738 + 0 x28739 + 0 x28740 + 0 x28741 + 0 x28742 + 0 x28743 + 0 x28744 + 0 x28745 + 0 x28746 + 0 x28747 + 0 x28748 + 0 x28749 + 0 x28750 + 0 x28751 + 0 x28752 + 0 x28753 + 0 x28754 + 0 x28755 + 0 x28756 + 0 x28757 + 0 x28758 + 0 x28759 + 0 x28760 + 0 x28761 + 0 x28762 + 0 x28763 + 0 x28764 + 0 x28765 + 0 x28766 + 0 x28767 + 0 x28768 + 0 x28769 + 0 x28770 + 0 x28771 + 0 x28772 + 0 x28773 + 0 x28774 + 0 x28775 + 0 x28776 + 0 x28777 + 0 x28778 + 0 x28779 + 0 x28780 + 0 x28781 + 0 x28782 + 0 x28783 + 0 x28784 + 0 x28785 + 0 x28786 + 0 x28787 + 0 x28788 + 0 x28789 + 0 x28790 + 0 x28791 + 0 x28792 + 0 x28793 + 0 x28794 + 0 x28795 + 0 x28796 + 0 x28797 + 0 x28798 + 0 x28799 + 0 x28800 + 0 x28801 + 0 x28802 + 0 x28803 + 0 x28804 + 0 x28805 + 0 x28806 + 0 x28807 + 0 x28808 + 0 x28809 + 0 x28810 + 0 x28811 + 0 x28812 + 0 x28813 + 0 x28814 + 0 x28815 + 0 x28816 + 0 x28817 + 0 x28818 + 0 x28819 + 0 x28820 + 0 x28821 + 0 x28822 + 0 x28823 + 0 x28824 + 0 x28825 + 0 x28826 + 0 x28827 + 0 x28828 + 0 x28829 + 0 x28830 + 0 x28831 + 0 x28832 + 0 x28833 + 0 x28834 + 0 x28835 + 0 x28836 + 0 x28837 + 0 x28838 + 0 x28839 + 0 x28840 + 0 x28841 + 0 x28842 + 0 x28843 + 0 x28844 + 0 x28845 + 0 x28846 + 0 x28847 + 0 x28848 + 0 x28849 + 0 x28850 + 0 x28851 + 0 x28852 + 0 x28853 + 0 x28854 + 0 x28855 + 0 x28856 + 0 x28857 + 0 x28858 + 0 x28859 + 0 x28860 + 0 x28861 + 0 x28862 + 0 x28863 + 0 x28864 + 0 x28865 + 0 x28866 + 0 x28867 + 0 x28868 + 0 x28869 + 0 x28870 + 0 x28871 + 0 x28872 + 0 x28873 + 0 x28874 + 0 x28875 + 0 x28876 + 0 x28877 + 0 x28878 + 0 x28879 + 0 x28880 + 0 x28881 + 0 x28882 + 0 x28883 + 0 x28884 + 0 x28885 + 0 x28886 + 0 x28887 + 0 x28888 + 0 x28889 + 0 x28890 + 0 x28891 + 0 x28892 + 0 x28893 + 0 x28894 + 0 x28895 + 0 x28896 + 0 x28897 + 0 x28898 + 0 x28899 + 0 x28900 + 0 x28901 + 0 x28902 + 0 x28903 + 0 x28904 + 0 x28905 + 0 x28906 + 0 x28907 + 0 x28908 + 0 x28909 + 0 x28910 + 0 x28911 + 0 x28912 + 0 x28913 + 0 x28914 + 0 x28915 + 0 x28916 + 0 x28917 + 0 x28918 + 0 x28919 + 0 x28920 + 0 x28921 + 0 x28922 + 0 x28923 + 0 x28924 + 0 x28925 + 0 x28926 + 0 x28927 + 0 x28928 + 0 x28929 + 0 x28930 + 0 x28931 + 0 x28932 + 0 x28933 + 0 x28934 + 0 x28935 + 0 x28936 + 0 x28937 + 0 x28938 + 0 x28939 + 0 x28940 + 0 x28941 + 0 x28942 + 0 x28943 + 0 x28944 + 0 x28945 + 0 x28946 + 0 x28947 + 0 x28948 + 0 x28949 + 0 x28950 Subject To e2: - 118 b2 + x4802 <= 0 e3: - 33 b2 + x4802 >= 0 e4: - 118 b3 + x4803 <= 0 e5: - 33 b3 + x4803 >= 0 e6: - 118 b4 + x4804 <= 0 e7: - 33 b4 + x4804 >= 0 e8: - 118 b5 + x4805 <= 0 e9: - 33 b5 + x4805 >= 0 e10: - 118 b6 + x4806 <= 0 e11: - 33 b6 + x4806 >= 0 e12: - 118 b7 + x4807 <= 0 e13: - 33 b7 + x4807 >= 0 e14: - 118 b8 + x4808 <= 0 e15: - 33 b8 + x4808 >= 0 e16: - 118 b9 + x4809 <= 0 e17: - 33 b9 + x4809 >= 0 e18: - 118 b10 + x4810 <= 0 e19: - 33 b10 + x4810 >= 0 e20: - 118 b11 + x4811 <= 0 e21: - 33 b11 + x4811 >= 0 e22: - 118 b12 + x4812 <= 0 e23: - 33 b12 + x4812 >= 0 e24: - 118 b13 + x4813 <= 0 e25: - 33 b13 + x4813 >= 0 e26: - 118 b14 + x4814 <= 0 e27: - 33 b14 + x4814 >= 0 e28: - 118 b15 + x4815 <= 0 e29: - 33 b15 + x4815 >= 0 e30: - 118 b16 + x4816 <= 0 e31: - 33 b16 + x4816 >= 0 e32: - 118 b17 + x4817 <= 0 e33: - 33 b17 + x4817 >= 0 e34: - 118 b18 + x4818 <= 0 e35: - 33 b18 + x4818 >= 0 e36: - 118 b19 + x4819 <= 0 e37: - 33 b19 + x4819 >= 0 e38: - 118 b20 + x4820 <= 0 e39: - 33 b20 + x4820 >= 0 e40: - 118 b21 + x4821 <= 0 e41: - 33 b21 + x4821 >= 0 e42: - 118 b22 + x4822 <= 0 e43: - 33 b22 + x4822 >= 0 e44: - 118 b23 + x4823 <= 0 e45: - 33 b23 + x4823 >= 0 e46: - 118 b24 + x4824 <= 0 e47: - 33 b24 + x4824 >= 0 e48: - 118 b25 + x4825 <= 0 e49: - 33 b25 + x4825 >= 0 e50: - 111 b26 + x4826 <= 0 e51: - 38 b26 + x4826 >= 0 e52: - 111 b27 + x4827 <= 0 e53: - 38 b27 + x4827 >= 0 e54: - 111 b28 + x4828 <= 0 e55: - 38 b28 + x4828 >= 0 e56: - 111 b29 + x4829 <= 0 e57: - 38 b29 + x4829 >= 0 e58: - 111 b30 + x4830 <= 0 e59: - 38 b30 + x4830 >= 0 e60: - 111 b31 + x4831 <= 0 e61: - 38 b31 + x4831 >= 0 e62: - 111 b32 + x4832 <= 0 e63: - 38 b32 + x4832 >= 0 e64: - 111 b33 + x4833 <= 0 e65: - 38 b33 + x4833 >= 0 e66: - 111 b34 + x4834 <= 0 e67: - 38 b34 + x4834 >= 0 e68: - 111 b35 + x4835 <= 0 e69: - 38 b35 + x4835 >= 0 e70: - 111 b36 + x4836 <= 0 e71: - 38 b36 + x4836 >= 0 e72: - 111 b37 + x4837 <= 0 e73: - 38 b37 + x4837 >= 0 e74: - 111 b38 + x4838 <= 0 e75: - 38 b38 + x4838 >= 0 e76: - 111 b39 + x4839 <= 0 e77: - 38 b39 + x4839 >= 0 e78: - 111 b40 + x4840 <= 0 e79: - 38 b40 + x4840 >= 0 e80: - 111 b41 + x4841 <= 0 e81: - 38 b41 + x4841 >= 0 e82: - 111 b42 + x4842 <= 0 e83: - 38 b42 + x4842 >= 0 e84: - 111 b43 + x4843 <= 0 e85: - 38 b43 + x4843 >= 0 e86: - 111 b44 + x4844 <= 0 e87: - 38 b44 + x4844 >= 0 e88: - 111 b45 + x4845 <= 0 e89: - 38 b45 + x4845 >= 0 e90: - 111 b46 + x4846 <= 0 e91: - 38 b46 + x4846 >= 0 e92: - 111 b47 + x4847 <= 0 e93: - 38 b47 + x4847 >= 0 e94: - 111 b48 + x4848 <= 0 e95: - 38 b48 + x4848 >= 0 e96: - 111 b49 + x4849 <= 0 e97: - 38 b49 + x4849 >= 0 e98: - 105 b50 + x4850 <= 0 e99: - 44 b50 + x4850 >= 0 e100: - 105 b51 + x4851 <= 0 e101: - 44 b51 + x4851 >= 0 e102: - 105 b52 + x4852 <= 0 e103: - 44 b52 + x4852 >= 0 e104: - 105 b53 + x4853 <= 0 e105: - 44 b53 + x4853 >= 0 e106: - 105 b54 + x4854 <= 0 e107: - 44 b54 + x4854 >= 0 e108: - 105 b55 + x4855 <= 0 e109: - 44 b55 + x4855 >= 0 e110: - 105 b56 + x4856 <= 0 e111: - 44 b56 + x4856 >= 0 e112: - 105 b57 + x4857 <= 0 e113: - 44 b57 + x4857 >= 0 e114: - 105 b58 + x4858 <= 0 e115: - 44 b58 + x4858 >= 0 e116: - 105 b59 + x4859 <= 0 e117: - 44 b59 + x4859 >= 0 e118: - 105 b60 + x4860 <= 0 e119: - 44 b60 + x4860 >= 0 e120: - 105 b61 + x4861 <= 0 e121: - 44 b61 + x4861 >= 0 e122: - 105 b62 + x4862 <= 0 e123: - 44 b62 + x4862 >= 0 e124: - 105 b63 + x4863 <= 0 e125: - 44 b63 + x4863 >= 0 e126: - 105 b64 + x4864 <= 0 e127: - 44 b64 + x4864 >= 0 e128: - 105 b65 + x4865 <= 0 e129: - 44 b65 + x4865 >= 0 e130: - 105 b66 + x4866 <= 0 e131: - 44 b66 + x4866 >= 0 e132: - 105 b67 + x4867 <= 0 e133: - 44 b67 + x4867 >= 0 e134: - 105 b68 + x4868 <= 0 e135: - 44 b68 + x4868 >= 0 e136: - 105 b69 + x4869 <= 0 e137: - 44 b69 + x4869 >= 0 e138: - 105 b70 + x4870 <= 0 e139: - 44 b70 + x4870 >= 0 e140: - 105 b71 + x4871 <= 0 e141: - 44 b71 + x4871 >= 0 e142: - 105 b72 + x4872 <= 0 e143: - 44 b72 + x4872 >= 0 e144: - 105 b73 + x4873 <= 0 e145: - 44 b73 + x4873 >= 0 e146: - 123 b74 + x4874 <= 0 e147: - 40 b74 + x4874 >= 0 e148: - 123 b75 + x4875 <= 0 e149: - 40 b75 + x4875 >= 0 e150: - 123 b76 + x4876 <= 0 e151: - 40 b76 + x4876 >= 0 e152: - 123 b77 + x4877 <= 0 e153: - 40 b77 + x4877 >= 0 e154: - 123 b78 + x4878 <= 0 e155: - 40 b78 + x4878 >= 0 e156: - 123 b79 + x4879 <= 0 e157: - 40 b79 + x4879 >= 0 e158: - 123 b80 + x4880 <= 0 e159: - 40 b80 + x4880 >= 0 e160: - 123 b81 + x4881 <= 0 e161: - 40 b81 + x4881 >= 0 e162: - 123 b82 + x4882 <= 0 e163: - 40 b82 + x4882 >= 0 e164: - 123 b83 + x4883 <= 0 e165: - 40 b83 + x4883 >= 0 e166: - 123 b84 + x4884 <= 0 e167: - 40 b84 + x4884 >= 0 e168: - 123 b85 + x4885 <= 0 e169: - 40 b85 + x4885 >= 0 e170: - 123 b86 + x4886 <= 0 e171: - 40 b86 + x4886 >= 0 e172: - 123 b87 + x4887 <= 0 e173: - 40 b87 + x4887 >= 0 e174: - 123 b88 + x4888 <= 0 e175: - 40 b88 + x4888 >= 0 e176: - 123 b89 + x4889 <= 0 e177: - 40 b89 + x4889 >= 0 e178: - 123 b90 + x4890 <= 0 e179: - 40 b90 + x4890 >= 0 e180: - 123 b91 + x4891 <= 0 e181: - 40 b91 + x4891 >= 0 e182: - 123 b92 + x4892 <= 0 e183: - 40 b92 + x4892 >= 0 e184: - 123 b93 + x4893 <= 0 e185: - 40 b93 + x4893 >= 0 e186: - 123 b94 + x4894 <= 0 e187: - 40 b94 + x4894 >= 0 e188: - 123 b95 + x4895 <= 0 e189: - 40 b95 + x4895 >= 0 e190: - 123 b96 + x4896 <= 0 e191: - 40 b96 + x4896 >= 0 e192: - 123 b97 + x4897 <= 0 e193: - 40 b97 + x4897 >= 0 e194: - 105 b98 + x4898 <= 0 e195: - 42 b98 + x4898 >= 0 e196: - 105 b99 + x4899 <= 0 e197: - 42 b99 + x4899 >= 0 e198: - 105 b100 + x4900 <= 0 e199: - 42 b100 + x4900 >= 0 e200: - 105 b101 + x4901 <= 0 e201: - 42 b101 + x4901 >= 0 e202: - 105 b102 + x4902 <= 0 e203: - 42 b102 + x4902 >= 0 e204: - 105 b103 + x4903 <= 0 e205: - 42 b103 + x4903 >= 0 e206: - 105 b104 + x4904 <= 0 e207: - 42 b104 + x4904 >= 0 e208: - 105 b105 + x4905 <= 0 e209: - 42 b105 + x4905 >= 0 e210: - 105 b106 + x4906 <= 0 e211: - 42 b106 + x4906 >= 0 e212: - 105 b107 + x4907 <= 0 e213: - 42 b107 + x4907 >= 0 e214: - 105 b108 + x4908 <= 0 e215: - 42 b108 + x4908 >= 0 e216: - 105 b109 + x4909 <= 0 e217: - 42 b109 + x4909 >= 0 e218: - 105 b110 + x4910 <= 0 e219: - 42 b110 + x4910 >= 0 e220: - 105 b111 + x4911 <= 0 e221: - 42 b111 + x4911 >= 0 e222: - 105 b112 + x4912 <= 0 e223: - 42 b112 + x4912 >= 0 e224: - 105 b113 + x4913 <= 0 e225: - 42 b113 + x4913 >= 0 e226: - 105 b114 + x4914 <= 0 e227: - 42 b114 + x4914 >= 0 e228: - 105 b115 + x4915 <= 0 e229: - 42 b115 + x4915 >= 0 e230: - 105 b116 + x4916 <= 0 e231: - 42 b116 + x4916 >= 0 e232: - 105 b117 + x4917 <= 0 e233: - 42 b117 + x4917 >= 0 e234: - 105 b118 + x4918 <= 0 e235: - 42 b118 + x4918 >= 0 e236: - 105 b119 + x4919 <= 0 e237: - 42 b119 + x4919 >= 0 e238: - 105 b120 + x4920 <= 0 e239: - 42 b120 + x4920 >= 0 e240: - 105 b121 + x4921 <= 0 e241: - 42 b121 + x4921 >= 0 e242: - 117 b122 + x4922 <= 0 e243: - 43 b122 + x4922 >= 0 e244: - 117 b123 + x4923 <= 0 e245: - 43 b123 + x4923 >= 0 e246: - 117 b124 + x4924 <= 0 e247: - 43 b124 + x4924 >= 0 e248: - 117 b125 + x4925 <= 0 e249: - 43 b125 + x4925 >= 0 e250: - 117 b126 + x4926 <= 0 e251: - 43 b126 + x4926 >= 0 e252: - 117 b127 + x4927 <= 0 e253: - 43 b127 + x4927 >= 0 e254: - 117 b128 + x4928 <= 0 e255: - 43 b128 + x4928 >= 0 e256: - 117 b129 + x4929 <= 0 e257: - 43 b129 + x4929 >= 0 e258: - 117 b130 + x4930 <= 0 e259: - 43 b130 + x4930 >= 0 e260: - 117 b131 + x4931 <= 0 e261: - 43 b131 + x4931 >= 0 e262: - 117 b132 + x4932 <= 0 e263: - 43 b132 + x4932 >= 0 e264: - 117 b133 + x4933 <= 0 e265: - 43 b133 + x4933 >= 0 e266: - 117 b134 + x4934 <= 0 e267: - 43 b134 + x4934 >= 0 e268: - 117 b135 + x4935 <= 0 e269: - 43 b135 + x4935 >= 0 e270: - 117 b136 + x4936 <= 0 e271: - 43 b136 + x4936 >= 0 e272: - 117 b137 + x4937 <= 0 e273: - 43 b137 + x4937 >= 0 e274: - 117 b138 + x4938 <= 0 e275: - 43 b138 + x4938 >= 0 e276: - 117 b139 + x4939 <= 0 e277: - 43 b139 + x4939 >= 0 e278: - 117 b140 + x4940 <= 0 e279: - 43 b140 + x4940 >= 0 e280: - 117 b141 + x4941 <= 0 e281: - 43 b141 + x4941 >= 0 e282: - 117 b142 + x4942 <= 0 e283: - 43 b142 + x4942 >= 0 e284: - 117 b143 + x4943 <= 0 e285: - 43 b143 + x4943 >= 0 e286: - 117 b144 + x4944 <= 0 e287: - 43 b144 + x4944 >= 0 e288: - 117 b145 + x4945 <= 0 e289: - 43 b145 + x4945 >= 0 e290: - 120 b146 + x4946 <= 0 e291: - 39 b146 + x4946 >= 0 e292: - 120 b147 + x4947 <= 0 e293: - 39 b147 + x4947 >= 0 e294: - 120 b148 + x4948 <= 0 e295: - 39 b148 + x4948 >= 0 e296: - 120 b149 + x4949 <= 0 e297: - 39 b149 + x4949 >= 0 e298: - 120 b150 + x4950 <= 0 e299: - 39 b150 + x4950 >= 0 e300: - 120 b151 + x4951 <= 0 e301: - 39 b151 + x4951 >= 0 e302: - 120 b152 + x4952 <= 0 e303: - 39 b152 + x4952 >= 0 e304: - 120 b153 + x4953 <= 0 e305: - 39 b153 + x4953 >= 0 e306: - 120 b154 + x4954 <= 0 e307: - 39 b154 + x4954 >= 0 e308: - 120 b155 + x4955 <= 0 e309: - 39 b155 + x4955 >= 0 e310: - 120 b156 + x4956 <= 0 e311: - 39 b156 + x4956 >= 0 e312: - 120 b157 + x4957 <= 0 e313: - 39 b157 + x4957 >= 0 e314: - 120 b158 + x4958 <= 0 e315: - 39 b158 + x4958 >= 0 e316: - 120 b159 + x4959 <= 0 e317: - 39 b159 + x4959 >= 0 e318: - 120 b160 + x4960 <= 0 e319: - 39 b160 + x4960 >= 0 e320: - 120 b161 + x4961 <= 0 e321: - 39 b161 + x4961 >= 0 e322: - 120 b162 + x4962 <= 0 e323: - 39 b162 + x4962 >= 0 e324: - 120 b163 + x4963 <= 0 e325: - 39 b163 + x4963 >= 0 e326: - 120 b164 + x4964 <= 0 e327: - 39 b164 + x4964 >= 0 e328: - 120 b165 + x4965 <= 0 e329: - 39 b165 + x4965 >= 0 e330: - 120 b166 + x4966 <= 0 e331: - 39 b166 + x4966 >= 0 e332: - 120 b167 + x4967 <= 0 e333: - 39 b167 + x4967 >= 0 e334: - 120 b168 + x4968 <= 0 e335: - 39 b168 + x4968 >= 0 e336: - 120 b169 + x4969 <= 0 e337: - 39 b169 + x4969 >= 0 e338: - 101 b170 + x4970 <= 0 e339: - 37 b170 + x4970 >= 0 e340: - 101 b171 + x4971 <= 0 e341: - 37 b171 + x4971 >= 0 e342: - 101 b172 + x4972 <= 0 e343: - 37 b172 + x4972 >= 0 e344: - 101 b173 + x4973 <= 0 e345: - 37 b173 + x4973 >= 0 e346: - 101 b174 + x4974 <= 0 e347: - 37 b174 + x4974 >= 0 e348: - 101 b175 + x4975 <= 0 e349: - 37 b175 + x4975 >= 0 e350: - 101 b176 + x4976 <= 0 e351: - 37 b176 + x4976 >= 0 e352: - 101 b177 + x4977 <= 0 e353: - 37 b177 + x4977 >= 0 e354: - 101 b178 + x4978 <= 0 e355: - 37 b178 + x4978 >= 0 e356: - 101 b179 + x4979 <= 0 e357: - 37 b179 + x4979 >= 0 e358: - 101 b180 + x4980 <= 0 e359: - 37 b180 + x4980 >= 0 e360: - 101 b181 + x4981 <= 0 e361: - 37 b181 + x4981 >= 0 e362: - 101 b182 + x4982 <= 0 e363: - 37 b182 + x4982 >= 0 e364: - 101 b183 + x4983 <= 0 e365: - 37 b183 + x4983 >= 0 e366: - 101 b184 + x4984 <= 0 e367: - 37 b184 + x4984 >= 0 e368: - 101 b185 + x4985 <= 0 e369: - 37 b185 + x4985 >= 0 e370: - 101 b186 + x4986 <= 0 e371: - 37 b186 + x4986 >= 0 e372: - 101 b187 + x4987 <= 0 e373: - 37 b187 + x4987 >= 0 e374: - 101 b188 + x4988 <= 0 e375: - 37 b188 + x4988 >= 0 e376: - 101 b189 + x4989 <= 0 e377: - 37 b189 + x4989 >= 0 e378: - 101 b190 + x4990 <= 0 e379: - 37 b190 + x4990 >= 0 e380: - 101 b191 + x4991 <= 0 e381: - 37 b191 + x4991 >= 0 e382: - 101 b192 + x4992 <= 0 e383: - 37 b192 + x4992 >= 0 e384: - 101 b193 + x4993 <= 0 e385: - 37 b193 + x4993 >= 0 e386: - 118 b194 + x4994 <= 0 e387: - 39 b194 + x4994 >= 0 e388: - 118 b195 + x4995 <= 0 e389: - 39 b195 + x4995 >= 0 e390: - 118 b196 + x4996 <= 0 e391: - 39 b196 + x4996 >= 0 e392: - 118 b197 + x4997 <= 0 e393: - 39 b197 + x4997 >= 0 e394: - 118 b198 + x4998 <= 0 e395: - 39 b198 + x4998 >= 0 e396: - 118 b199 + x4999 <= 0 e397: - 39 b199 + x4999 >= 0 e398: - 118 b200 + x5000 <= 0 e399: - 39 b200 + x5000 >= 0 e400: - 118 b201 + x5001 <= 0 e401: - 39 b201 + x5001 >= 0 e402: - 118 b202 + x5002 <= 0 e403: - 39 b202 + x5002 >= 0 e404: - 118 b203 + x5003 <= 0 e405: - 39 b203 + x5003 >= 0 e406: - 118 b204 + x5004 <= 0 e407: - 39 b204 + x5004 >= 0 e408: - 118 b205 + x5005 <= 0 e409: - 39 b205 + x5005 >= 0 e410: - 118 b206 + x5006 <= 0 e411: - 39 b206 + x5006 >= 0 e412: - 118 b207 + x5007 <= 0 e413: - 39 b207 + x5007 >= 0 e414: - 118 b208 + x5008 <= 0 e415: - 39 b208 + x5008 >= 0 e416: - 118 b209 + x5009 <= 0 e417: - 39 b209 + x5009 >= 0 e418: - 118 b210 + x5010 <= 0 e419: - 39 b210 + x5010 >= 0 e420: - 118 b211 + x5011 <= 0 e421: - 39 b211 + x5011 >= 0 e422: - 118 b212 + x5012 <= 0 e423: - 39 b212 + x5012 >= 0 e424: - 118 b213 + x5013 <= 0 e425: - 39 b213 + x5013 >= 0 e426: - 118 b214 + x5014 <= 0 e427: - 39 b214 + x5014 >= 0 e428: - 118 b215 + x5015 <= 0 e429: - 39 b215 + x5015 >= 0 e430: - 118 b216 + x5016 <= 0 e431: - 39 b216 + x5016 >= 0 e432: - 118 b217 + x5017 <= 0 e433: - 39 b217 + x5017 >= 0 e434: - 105 b218 + x5018 <= 0 e435: - 45 b218 + x5018 >= 0 e436: - 105 b219 + x5019 <= 0 e437: - 45 b219 + x5019 >= 0 e438: - 105 b220 + x5020 <= 0 e439: - 45 b220 + x5020 >= 0 e440: - 105 b221 + x5021 <= 0 e441: - 45 b221 + x5021 >= 0 e442: - 105 b222 + x5022 <= 0 e443: - 45 b222 + x5022 >= 0 e444: - 105 b223 + x5023 <= 0 e445: - 45 b223 + x5023 >= 0 e446: - 105 b224 + x5024 <= 0 e447: - 45 b224 + x5024 >= 0 e448: - 105 b225 + x5025 <= 0 e449: - 45 b225 + x5025 >= 0 e450: - 105 b226 + x5026 <= 0 e451: - 45 b226 + x5026 >= 0 e452: - 105 b227 + x5027 <= 0 e453: - 45 b227 + x5027 >= 0 e454: - 105 b228 + x5028 <= 0 e455: - 45 b228 + x5028 >= 0 e456: - 105 b229 + x5029 <= 0 e457: - 45 b229 + x5029 >= 0 e458: - 105 b230 + x5030 <= 0 e459: - 45 b230 + x5030 >= 0 e460: - 105 b231 + x5031 <= 0 e461: - 45 b231 + x5031 >= 0 e462: - 105 b232 + x5032 <= 0 e463: - 45 b232 + x5032 >= 0 e464: - 105 b233 + x5033 <= 0 e465: - 45 b233 + x5033 >= 0 e466: - 105 b234 + x5034 <= 0 e467: - 45 b234 + x5034 >= 0 e468: - 105 b235 + x5035 <= 0 e469: - 45 b235 + x5035 >= 0 e470: - 105 b236 + x5036 <= 0 e471: - 45 b236 + x5036 >= 0 e472: - 105 b237 + x5037 <= 0 e473: - 45 b237 + x5037 >= 0 e474: - 105 b238 + x5038 <= 0 e475: - 45 b238 + x5038 >= 0 e476: - 105 b239 + x5039 <= 0 e477: - 45 b239 + x5039 >= 0 e478: - 105 b240 + x5040 <= 0 e479: - 45 b240 + x5040 >= 0 e480: - 105 b241 + x5041 <= 0 e481: - 45 b241 + x5041 >= 0 e482: - 106 b242 + x5042 <= 0 e483: - 42 b242 + x5042 >= 0 e484: - 106 b243 + x5043 <= 0 e485: - 42 b243 + x5043 >= 0 e486: - 106 b244 + x5044 <= 0 e487: - 42 b244 + x5044 >= 0 e488: - 106 b245 + x5045 <= 0 e489: - 42 b245 + x5045 >= 0 e490: - 106 b246 + x5046 <= 0 e491: - 42 b246 + x5046 >= 0 e492: - 106 b247 + x5047 <= 0 e493: - 42 b247 + x5047 >= 0 e494: - 106 b248 + x5048 <= 0 e495: - 42 b248 + x5048 >= 0 e496: - 106 b249 + x5049 <= 0 e497: - 42 b249 + x5049 >= 0 e498: - 106 b250 + x5050 <= 0 e499: - 42 b250 + x5050 >= 0 e500: - 106 b251 + x5051 <= 0 e501: - 42 b251 + x5051 >= 0 e502: - 106 b252 + x5052 <= 0 e503: - 42 b252 + x5052 >= 0 e504: - 106 b253 + x5053 <= 0 e505: - 42 b253 + x5053 >= 0 e506: - 106 b254 + x5054 <= 0 e507: - 42 b254 + x5054 >= 0 e508: - 106 b255 + x5055 <= 0 e509: - 42 b255 + x5055 >= 0 e510: - 106 b256 + x5056 <= 0 e511: - 42 b256 + x5056 >= 0 e512: - 106 b257 + x5057 <= 0 e513: - 42 b257 + x5057 >= 0 e514: - 106 b258 + x5058 <= 0 e515: - 42 b258 + x5058 >= 0 e516: - 106 b259 + x5059 <= 0 e517: - 42 b259 + x5059 >= 0 e518: - 106 b260 + x5060 <= 0 e519: - 42 b260 + x5060 >= 0 e520: - 106 b261 + x5061 <= 0 e521: - 42 b261 + x5061 >= 0 e522: - 106 b262 + x5062 <= 0 e523: - 42 b262 + x5062 >= 0 e524: - 106 b263 + x5063 <= 0 e525: - 42 b263 + x5063 >= 0 e526: - 106 b264 + x5064 <= 0 e527: - 42 b264 + x5064 >= 0 e528: - 106 b265 + x5065 <= 0 e529: - 42 b265 + x5065 >= 0 e530: - 122 b266 + x5066 <= 0 e531: - 43 b266 + x5066 >= 0 e532: - 122 b267 + x5067 <= 0 e533: - 43 b267 + x5067 >= 0 e534: - 122 b268 + x5068 <= 0 e535: - 43 b268 + x5068 >= 0 e536: - 122 b269 + x5069 <= 0 e537: - 43 b269 + x5069 >= 0 e538: - 122 b270 + x5070 <= 0 e539: - 43 b270 + x5070 >= 0 e540: - 122 b271 + x5071 <= 0 e541: - 43 b271 + x5071 >= 0 e542: - 122 b272 + x5072 <= 0 e543: - 43 b272 + x5072 >= 0 e544: - 122 b273 + x5073 <= 0 e545: - 43 b273 + x5073 >= 0 e546: - 122 b274 + x5074 <= 0 e547: - 43 b274 + x5074 >= 0 e548: - 122 b275 + x5075 <= 0 e549: - 43 b275 + x5075 >= 0 e550: - 122 b276 + x5076 <= 0 e551: - 43 b276 + x5076 >= 0 e552: - 122 b277 + x5077 <= 0 e553: - 43 b277 + x5077 >= 0 e554: - 122 b278 + x5078 <= 0 e555: - 43 b278 + x5078 >= 0 e556: - 122 b279 + x5079 <= 0 e557: - 43 b279 + x5079 >= 0 e558: - 122 b280 + x5080 <= 0 e559: - 43 b280 + x5080 >= 0 e560: - 122 b281 + x5081 <= 0 e561: - 43 b281 + x5081 >= 0 e562: - 122 b282 + x5082 <= 0 e563: - 43 b282 + x5082 >= 0 e564: - 122 b283 + x5083 <= 0 e565: - 43 b283 + x5083 >= 0 e566: - 122 b284 + x5084 <= 0 e567: - 43 b284 + x5084 >= 0 e568: - 122 b285 + x5085 <= 0 e569: - 43 b285 + x5085 >= 0 e570: - 122 b286 + x5086 <= 0 e571: - 43 b286 + x5086 >= 0 e572: - 122 b287 + x5087 <= 0 e573: - 43 b287 + x5087 >= 0 e574: - 122 b288 + x5088 <= 0 e575: - 43 b288 + x5088 >= 0 e576: - 122 b289 + x5089 <= 0 e577: - 43 b289 + x5089 >= 0 e578: - 128 b290 + x5090 <= 0 e579: - 37 b290 + x5090 >= 0 e580: - 128 b291 + x5091 <= 0 e581: - 37 b291 + x5091 >= 0 e582: - 128 b292 + x5092 <= 0 e583: - 37 b292 + x5092 >= 0 e584: - 128 b293 + x5093 <= 0 e585: - 37 b293 + x5093 >= 0 e586: - 128 b294 + x5094 <= 0 e587: - 37 b294 + x5094 >= 0 e588: - 128 b295 + x5095 <= 0 e589: - 37 b295 + x5095 >= 0 e590: - 128 b296 + x5096 <= 0 e591: - 37 b296 + x5096 >= 0 e592: - 128 b297 + x5097 <= 0 e593: - 37 b297 + x5097 >= 0 e594: - 128 b298 + x5098 <= 0 e595: - 37 b298 + x5098 >= 0 e596: - 128 b299 + x5099 <= 0 e597: - 37 b299 + x5099 >= 0 e598: - 128 b300 + x5100 <= 0 e599: - 37 b300 + x5100 >= 0 e600: - 128 b301 + x5101 <= 0 e601: - 37 b301 + x5101 >= 0 e602: - 128 b302 + x5102 <= 0 e603: - 37 b302 + x5102 >= 0 e604: - 128 b303 + x5103 <= 0 e605: - 37 b303 + x5103 >= 0 e606: - 128 b304 + x5104 <= 0 e607: - 37 b304 + x5104 >= 0 e608: - 128 b305 + x5105 <= 0 e609: - 37 b305 + x5105 >= 0 e610: - 128 b306 + x5106 <= 0 e611: - 37 b306 + x5106 >= 0 e612: - 128 b307 + x5107 <= 0 e613: - 37 b307 + x5107 >= 0 e614: - 128 b308 + x5108 <= 0 e615: - 37 b308 + x5108 >= 0 e616: - 128 b309 + x5109 <= 0 e617: - 37 b309 + x5109 >= 0 e618: - 128 b310 + x5110 <= 0 e619: - 37 b310 + x5110 >= 0 e620: - 128 b311 + x5111 <= 0 e621: - 37 b311 + x5111 >= 0 e622: - 128 b312 + x5112 <= 0 e623: - 37 b312 + x5112 >= 0 e624: - 128 b313 + x5113 <= 0 e625: - 37 b313 + x5113 >= 0 e626: - 107 b314 + x5114 <= 0 e627: - 40 b314 + x5114 >= 0 e628: - 107 b315 + x5115 <= 0 e629: - 40 b315 + x5115 >= 0 e630: - 107 b316 + x5116 <= 0 e631: - 40 b316 + x5116 >= 0 e632: - 107 b317 + x5117 <= 0 e633: - 40 b317 + x5117 >= 0 e634: - 107 b318 + x5118 <= 0 e635: - 40 b318 + x5118 >= 0 e636: - 107 b319 + x5119 <= 0 e637: - 40 b319 + x5119 >= 0 e638: - 107 b320 + x5120 <= 0 e639: - 40 b320 + x5120 >= 0 e640: - 107 b321 + x5121 <= 0 e641: - 40 b321 + x5121 >= 0 e642: - 107 b322 + x5122 <= 0 e643: - 40 b322 + x5122 >= 0 e644: - 107 b323 + x5123 <= 0 e645: - 40 b323 + x5123 >= 0 e646: - 107 b324 + x5124 <= 0 e647: - 40 b324 + x5124 >= 0 e648: - 107 b325 + x5125 <= 0 e649: - 40 b325 + x5125 >= 0 e650: - 107 b326 + x5126 <= 0 e651: - 40 b326 + x5126 >= 0 e652: - 107 b327 + x5127 <= 0 e653: - 40 b327 + x5127 >= 0 e654: - 107 b328 + x5128 <= 0 e655: - 40 b328 + x5128 >= 0 e656: - 107 b329 + x5129 <= 0 e657: - 40 b329 + x5129 >= 0 e658: - 107 b330 + x5130 <= 0 e659: - 40 b330 + x5130 >= 0 e660: - 107 b331 + x5131 <= 0 e661: - 40 b331 + x5131 >= 0 e662: - 107 b332 + x5132 <= 0 e663: - 40 b332 + x5132 >= 0 e664: - 107 b333 + x5133 <= 0 e665: - 40 b333 + x5133 >= 0 e666: - 107 b334 + x5134 <= 0 e667: - 40 b334 + x5134 >= 0 e668: - 107 b335 + x5135 <= 0 e669: - 40 b335 + x5135 >= 0 e670: - 107 b336 + x5136 <= 0 e671: - 40 b336 + x5136 >= 0 e672: - 107 b337 + x5137 <= 0 e673: - 40 b337 + x5137 >= 0 e674: - 125 b338 + x5138 <= 0 e675: - 43 b338 + x5138 >= 0 e676: - 125 b339 + x5139 <= 0 e677: - 43 b339 + x5139 >= 0 e678: - 125 b340 + x5140 <= 0 e679: - 43 b340 + x5140 >= 0 e680: - 125 b341 + x5141 <= 0 e681: - 43 b341 + x5141 >= 0 e682: - 125 b342 + x5142 <= 0 e683: - 43 b342 + x5142 >= 0 e684: - 125 b343 + x5143 <= 0 e685: - 43 b343 + x5143 >= 0 e686: - 125 b344 + x5144 <= 0 e687: - 43 b344 + x5144 >= 0 e688: - 125 b345 + x5145 <= 0 e689: - 43 b345 + x5145 >= 0 e690: - 125 b346 + x5146 <= 0 e691: - 43 b346 + x5146 >= 0 e692: - 125 b347 + x5147 <= 0 e693: - 43 b347 + x5147 >= 0 e694: - 125 b348 + x5148 <= 0 e695: - 43 b348 + x5148 >= 0 e696: - 125 b349 + x5149 <= 0 e697: - 43 b349 + x5149 >= 0 e698: - 125 b350 + x5150 <= 0 e699: - 43 b350 + x5150 >= 0 e700: - 125 b351 + x5151 <= 0 e701: - 43 b351 + x5151 >= 0 e702: - 125 b352 + x5152 <= 0 e703: - 43 b352 + x5152 >= 0 e704: - 125 b353 + x5153 <= 0 e705: - 43 b353 + x5153 >= 0 e706: - 125 b354 + x5154 <= 0 e707: - 43 b354 + x5154 >= 0 e708: - 125 b355 + x5155 <= 0 e709: - 43 b355 + x5155 >= 0 e710: - 125 b356 + x5156 <= 0 e711: - 43 b356 + x5156 >= 0 e712: - 125 b357 + x5157 <= 0 e713: - 43 b357 + x5157 >= 0 e714: - 125 b358 + x5158 <= 0 e715: - 43 b358 + x5158 >= 0 e716: - 125 b359 + x5159 <= 0 e717: - 43 b359 + x5159 >= 0 e718: - 125 b360 + x5160 <= 0 e719: - 43 b360 + x5160 >= 0 e720: - 125 b361 + x5161 <= 0 e721: - 43 b361 + x5161 >= 0 e722: - 114 b362 + x5162 <= 0 e723: - 42 b362 + x5162 >= 0 e724: - 114 b363 + x5163 <= 0 e725: - 42 b363 + x5163 >= 0 e726: - 114 b364 + x5164 <= 0 e727: - 42 b364 + x5164 >= 0 e728: - 114 b365 + x5165 <= 0 e729: - 42 b365 + x5165 >= 0 e730: - 114 b366 + x5166 <= 0 e731: - 42 b366 + x5166 >= 0 e732: - 114 b367 + x5167 <= 0 e733: - 42 b367 + x5167 >= 0 e734: - 114 b368 + x5168 <= 0 e735: - 42 b368 + x5168 >= 0 e736: - 114 b369 + x5169 <= 0 e737: - 42 b369 + x5169 >= 0 e738: - 114 b370 + x5170 <= 0 e739: - 42 b370 + x5170 >= 0 e740: - 114 b371 + x5171 <= 0 e741: - 42 b371 + x5171 >= 0 e742: - 114 b372 + x5172 <= 0 e743: - 42 b372 + x5172 >= 0 e744: - 114 b373 + x5173 <= 0 e745: - 42 b373 + x5173 >= 0 e746: - 114 b374 + x5174 <= 0 e747: - 42 b374 + x5174 >= 0 e748: - 114 b375 + x5175 <= 0 e749: - 42 b375 + x5175 >= 0 e750: - 114 b376 + x5176 <= 0 e751: - 42 b376 + x5176 >= 0 e752: - 114 b377 + x5177 <= 0 e753: - 42 b377 + x5177 >= 0 e754: - 114 b378 + x5178 <= 0 e755: - 42 b378 + x5178 >= 0 e756: - 114 b379 + x5179 <= 0 e757: - 42 b379 + x5179 >= 0 e758: - 114 b380 + x5180 <= 0 e759: - 42 b380 + x5180 >= 0 e760: - 114 b381 + x5181 <= 0 e761: - 42 b381 + x5181 >= 0 e762: - 114 b382 + x5182 <= 0 e763: - 42 b382 + x5182 >= 0 e764: - 114 b383 + x5183 <= 0 e765: - 42 b383 + x5183 >= 0 e766: - 114 b384 + x5184 <= 0 e767: - 42 b384 + x5184 >= 0 e768: - 114 b385 + x5185 <= 0 e769: - 42 b385 + x5185 >= 0 e770: - 109 b386 + x5186 <= 0 e771: - 49 b386 + x5186 >= 0 e772: - 109 b387 + x5187 <= 0 e773: - 49 b387 + x5187 >= 0 e774: - 109 b388 + x5188 <= 0 e775: - 49 b388 + x5188 >= 0 e776: - 109 b389 + x5189 <= 0 e777: - 49 b389 + x5189 >= 0 e778: - 109 b390 + x5190 <= 0 e779: - 49 b390 + x5190 >= 0 e780: - 109 b391 + x5191 <= 0 e781: - 49 b391 + x5191 >= 0 e782: - 109 b392 + x5192 <= 0 e783: - 49 b392 + x5192 >= 0 e784: - 109 b393 + x5193 <= 0 e785: - 49 b393 + x5193 >= 0 e786: - 109 b394 + x5194 <= 0 e787: - 49 b394 + x5194 >= 0 e788: - 109 b395 + x5195 <= 0 e789: - 49 b395 + x5195 >= 0 e790: - 109 b396 + x5196 <= 0 e791: - 49 b396 + x5196 >= 0 e792: - 109 b397 + x5197 <= 0 e793: - 49 b397 + x5197 >= 0 e794: - 109 b398 + x5198 <= 0 e795: - 49 b398 + x5198 >= 0 e796: - 109 b399 + x5199 <= 0 e797: - 49 b399 + x5199 >= 0 e798: - 109 b400 + x5200 <= 0 e799: - 49 b400 + x5200 >= 0 e800: - 109 b401 + x5201 <= 0 e801: - 49 b401 + x5201 >= 0 e802: - 109 b402 + x5202 <= 0 e803: - 49 b402 + x5202 >= 0 e804: - 109 b403 + x5203 <= 0 e805: - 49 b403 + x5203 >= 0 e806: - 109 b404 + x5204 <= 0 e807: - 49 b404 + x5204 >= 0 e808: - 109 b405 + x5205 <= 0 e809: - 49 b405 + x5205 >= 0 e810: - 109 b406 + x5206 <= 0 e811: - 49 b406 + x5206 >= 0 e812: - 109 b407 + x5207 <= 0 e813: - 49 b407 + x5207 >= 0 e814: - 109 b408 + x5208 <= 0 e815: - 49 b408 + x5208 >= 0 e816: - 109 b409 + x5209 <= 0 e817: - 49 b409 + x5209 >= 0 e818: - 118 b410 + x5210 <= 0 e819: - 33 b410 + x5210 >= 0 e820: - 118 b411 + x5211 <= 0 e821: - 33 b411 + x5211 >= 0 e822: - 118 b412 + x5212 <= 0 e823: - 33 b412 + x5212 >= 0 e824: - 118 b413 + x5213 <= 0 e825: - 33 b413 + x5213 >= 0 e826: - 118 b414 + x5214 <= 0 e827: - 33 b414 + x5214 >= 0 e828: - 118 b415 + x5215 <= 0 e829: - 33 b415 + x5215 >= 0 e830: - 118 b416 + x5216 <= 0 e831: - 33 b416 + x5216 >= 0 e832: - 118 b417 + x5217 <= 0 e833: - 33 b417 + x5217 >= 0 e834: - 118 b418 + x5218 <= 0 e835: - 33 b418 + x5218 >= 0 e836: - 118 b419 + x5219 <= 0 e837: - 33 b419 + x5219 >= 0 e838: - 118 b420 + x5220 <= 0 e839: - 33 b420 + x5220 >= 0 e840: - 118 b421 + x5221 <= 0 e841: - 33 b421 + x5221 >= 0 e842: - 118 b422 + x5222 <= 0 e843: - 33 b422 + x5222 >= 0 e844: - 118 b423 + x5223 <= 0 e845: - 33 b423 + x5223 >= 0 e846: - 118 b424 + x5224 <= 0 e847: - 33 b424 + x5224 >= 0 e848: - 118 b425 + x5225 <= 0 e849: - 33 b425 + x5225 >= 0 e850: - 118 b426 + x5226 <= 0 e851: - 33 b426 + x5226 >= 0 e852: - 118 b427 + x5227 <= 0 e853: - 33 b427 + x5227 >= 0 e854: - 118 b428 + x5228 <= 0 e855: - 33 b428 + x5228 >= 0 e856: - 118 b429 + x5229 <= 0 e857: - 33 b429 + x5229 >= 0 e858: - 118 b430 + x5230 <= 0 e859: - 33 b430 + x5230 >= 0 e860: - 118 b431 + x5231 <= 0 e861: - 33 b431 + x5231 >= 0 e862: - 118 b432 + x5232 <= 0 e863: - 33 b432 + x5232 >= 0 e864: - 118 b433 + x5233 <= 0 e865: - 33 b433 + x5233 >= 0 e866: - 109 b434 + x5234 <= 0 e867: - 34 b434 + x5234 >= 0 e868: - 109 b435 + x5235 <= 0 e869: - 34 b435 + x5235 >= 0 e870: - 109 b436 + x5236 <= 0 e871: - 34 b436 + x5236 >= 0 e872: - 109 b437 + x5237 <= 0 e873: - 34 b437 + x5237 >= 0 e874: - 109 b438 + x5238 <= 0 e875: - 34 b438 + x5238 >= 0 e876: - 109 b439 + x5239 <= 0 e877: - 34 b439 + x5239 >= 0 e878: - 109 b440 + x5240 <= 0 e879: - 34 b440 + x5240 >= 0 e880: - 109 b441 + x5241 <= 0 e881: - 34 b441 + x5241 >= 0 e882: - 109 b442 + x5242 <= 0 e883: - 34 b442 + x5242 >= 0 e884: - 109 b443 + x5243 <= 0 e885: - 34 b443 + x5243 >= 0 e886: - 109 b444 + x5244 <= 0 e887: - 34 b444 + x5244 >= 0 e888: - 109 b445 + x5245 <= 0 e889: - 34 b445 + x5245 >= 0 e890: - 109 b446 + x5246 <= 0 e891: - 34 b446 + x5246 >= 0 e892: - 109 b447 + x5247 <= 0 e893: - 34 b447 + x5247 >= 0 e894: - 109 b448 + x5248 <= 0 e895: - 34 b448 + x5248 >= 0 e896: - 109 b449 + x5249 <= 0 e897: - 34 b449 + x5249 >= 0 e898: - 109 b450 + x5250 <= 0 e899: - 34 b450 + x5250 >= 0 e900: - 109 b451 + x5251 <= 0 e901: - 34 b451 + x5251 >= 0 e902: - 109 b452 + x5252 <= 0 e903: - 34 b452 + x5252 >= 0 e904: - 109 b453 + x5253 <= 0 e905: - 34 b453 + x5253 >= 0 e906: - 109 b454 + x5254 <= 0 e907: - 34 b454 + x5254 >= 0 e908: - 109 b455 + x5255 <= 0 e909: - 34 b455 + x5255 >= 0 e910: - 109 b456 + x5256 <= 0 e911: - 34 b456 + x5256 >= 0 e912: - 109 b457 + x5257 <= 0 e913: - 34 b457 + x5257 >= 0 e914: - 115 b458 + x5258 <= 0 e915: - 43 b458 + x5258 >= 0 e916: - 115 b459 + x5259 <= 0 e917: - 43 b459 + x5259 >= 0 e918: - 115 b460 + x5260 <= 0 e919: - 43 b460 + x5260 >= 0 e920: - 115 b461 + x5261 <= 0 e921: - 43 b461 + x5261 >= 0 e922: - 115 b462 + x5262 <= 0 e923: - 43 b462 + x5262 >= 0 e924: - 115 b463 + x5263 <= 0 e925: - 43 b463 + x5263 >= 0 e926: - 115 b464 + x5264 <= 0 e927: - 43 b464 + x5264 >= 0 e928: - 115 b465 + x5265 <= 0 e929: - 43 b465 + x5265 >= 0 e930: - 115 b466 + x5266 <= 0 e931: - 43 b466 + x5266 >= 0 e932: - 115 b467 + x5267 <= 0 e933: - 43 b467 + x5267 >= 0 e934: - 115 b468 + x5268 <= 0 e935: - 43 b468 + x5268 >= 0 e936: - 115 b469 + x5269 <= 0 e937: - 43 b469 + x5269 >= 0 e938: - 115 b470 + x5270 <= 0 e939: - 43 b470 + x5270 >= 0 e940: - 115 b471 + x5271 <= 0 e941: - 43 b471 + x5271 >= 0 e942: - 115 b472 + x5272 <= 0 e943: - 43 b472 + x5272 >= 0 e944: - 115 b473 + x5273 <= 0 e945: - 43 b473 + x5273 >= 0 e946: - 115 b474 + x5274 <= 0 e947: - 43 b474 + x5274 >= 0 e948: - 115 b475 + x5275 <= 0 e949: - 43 b475 + x5275 >= 0 e950: - 115 b476 + x5276 <= 0 e951: - 43 b476 + x5276 >= 0 e952: - 115 b477 + x5277 <= 0 e953: - 43 b477 + x5277 >= 0 e954: - 115 b478 + x5278 <= 0 e955: - 43 b478 + x5278 >= 0 e956: - 115 b479 + x5279 <= 0 e957: - 43 b479 + x5279 >= 0 e958: - 115 b480 + x5280 <= 0 e959: - 43 b480 + x5280 >= 0 e960: - 115 b481 + x5281 <= 0 e961: - 43 b481 + x5281 >= 0 e962: - 128 b482 + x5282 <= 0 e963: - 30 b482 + x5282 >= 0 e964: - 128 b483 + x5283 <= 0 e965: - 30 b483 + x5283 >= 0 e966: - 128 b484 + x5284 <= 0 e967: - 30 b484 + x5284 >= 0 e968: - 128 b485 + x5285 <= 0 e969: - 30 b485 + x5285 >= 0 e970: - 128 b486 + x5286 <= 0 e971: - 30 b486 + x5286 >= 0 e972: - 128 b487 + x5287 <= 0 e973: - 30 b487 + x5287 >= 0 e974: - 128 b488 + x5288 <= 0 e975: - 30 b488 + x5288 >= 0 e976: - 128 b489 + x5289 <= 0 e977: - 30 b489 + x5289 >= 0 e978: - 128 b490 + x5290 <= 0 e979: - 30 b490 + x5290 >= 0 e980: - 128 b491 + x5291 <= 0 e981: - 30 b491 + x5291 >= 0 e982: - 128 b492 + x5292 <= 0 e983: - 30 b492 + x5292 >= 0 e984: - 128 b493 + x5293 <= 0 e985: - 30 b493 + x5293 >= 0 e986: - 128 b494 + x5294 <= 0 e987: - 30 b494 + x5294 >= 0 e988: - 128 b495 + x5295 <= 0 e989: - 30 b495 + x5295 >= 0 e990: - 128 b496 + x5296 <= 0 e991: - 30 b496 + x5296 >= 0 e992: - 128 b497 + x5297 <= 0 e993: - 30 b497 + x5297 >= 0 e994: - 128 b498 + x5298 <= 0 e995: - 30 b498 + x5298 >= 0 e996: - 128 b499 + x5299 <= 0 e997: - 30 b499 + x5299 >= 0 e998: - 128 b500 + x5300 <= 0 e999: - 30 b500 + x5300 >= 0 e1000: - 128 b501 + x5301 <= 0 e1001: - 30 b501 + x5301 >= 0 e1002: - 128 b502 + x5302 <= 0 e1003: - 30 b502 + x5302 >= 0 e1004: - 128 b503 + x5303 <= 0 e1005: - 30 b503 + x5303 >= 0 e1006: - 128 b504 + x5304 <= 0 e1007: - 30 b504 + x5304 >= 0 e1008: - 128 b505 + x5305 <= 0 e1009: - 30 b505 + x5305 >= 0 e1010: - 115 b506 + x5306 <= 0 e1011: - 41 b506 + x5306 >= 0 e1012: - 115 b507 + x5307 <= 0 e1013: - 41 b507 + x5307 >= 0 e1014: - 115 b508 + x5308 <= 0 e1015: - 41 b508 + x5308 >= 0 e1016: - 115 b509 + x5309 <= 0 e1017: - 41 b509 + x5309 >= 0 e1018: - 115 b510 + x5310 <= 0 e1019: - 41 b510 + x5310 >= 0 e1020: - 115 b511 + x5311 <= 0 e1021: - 41 b511 + x5311 >= 0 e1022: - 115 b512 + x5312 <= 0 e1023: - 41 b512 + x5312 >= 0 e1024: - 115 b513 + x5313 <= 0 e1025: - 41 b513 + x5313 >= 0 e1026: - 115 b514 + x5314 <= 0 e1027: - 41 b514 + x5314 >= 0 e1028: - 115 b515 + x5315 <= 0 e1029: - 41 b515 + x5315 >= 0 e1030: - 115 b516 + x5316 <= 0 e1031: - 41 b516 + x5316 >= 0 e1032: - 115 b517 + x5317 <= 0 e1033: - 41 b517 + x5317 >= 0 e1034: - 115 b518 + x5318 <= 0 e1035: - 41 b518 + x5318 >= 0 e1036: - 115 b519 + x5319 <= 0 e1037: - 41 b519 + x5319 >= 0 e1038: - 115 b520 + x5320 <= 0 e1039: - 41 b520 + x5320 >= 0 e1040: - 115 b521 + x5321 <= 0 e1041: - 41 b521 + x5321 >= 0 e1042: - 115 b522 + x5322 <= 0 e1043: - 41 b522 + x5322 >= 0 e1044: - 115 b523 + x5323 <= 0 e1045: - 41 b523 + x5323 >= 0 e1046: - 115 b524 + x5324 <= 0 e1047: - 41 b524 + x5324 >= 0 e1048: - 115 b525 + x5325 <= 0 e1049: - 41 b525 + x5325 >= 0 e1050: - 115 b526 + x5326 <= 0 e1051: - 41 b526 + x5326 >= 0 e1052: - 115 b527 + x5327 <= 0 e1053: - 41 b527 + x5327 >= 0 e1054: - 115 b528 + x5328 <= 0 e1055: - 41 b528 + x5328 >= 0 e1056: - 115 b529 + x5329 <= 0 e1057: - 41 b529 + x5329 >= 0 e1058: - 127 b530 + x5330 <= 0 e1059: - 43 b530 + x5330 >= 0 e1060: - 127 b531 + x5331 <= 0 e1061: - 43 b531 + x5331 >= 0 e1062: - 127 b532 + x5332 <= 0 e1063: - 43 b532 + x5332 >= 0 e1064: - 127 b533 + x5333 <= 0 e1065: - 43 b533 + x5333 >= 0 e1066: - 127 b534 + x5334 <= 0 e1067: - 43 b534 + x5334 >= 0 e1068: - 127 b535 + x5335 <= 0 e1069: - 43 b535 + x5335 >= 0 e1070: - 127 b536 + x5336 <= 0 e1071: - 43 b536 + x5336 >= 0 e1072: - 127 b537 + x5337 <= 0 e1073: - 43 b537 + x5337 >= 0 e1074: - 127 b538 + x5338 <= 0 e1075: - 43 b538 + x5338 >= 0 e1076: - 127 b539 + x5339 <= 0 e1077: - 43 b539 + x5339 >= 0 e1078: - 127 b540 + x5340 <= 0 e1079: - 43 b540 + x5340 >= 0 e1080: - 127 b541 + x5341 <= 0 e1081: - 43 b541 + x5341 >= 0 e1082: - 127 b542 + x5342 <= 0 e1083: - 43 b542 + x5342 >= 0 e1084: - 127 b543 + x5343 <= 0 e1085: - 43 b543 + x5343 >= 0 e1086: - 127 b544 + x5344 <= 0 e1087: - 43 b544 + x5344 >= 0 e1088: - 127 b545 + x5345 <= 0 e1089: - 43 b545 + x5345 >= 0 e1090: - 127 b546 + x5346 <= 0 e1091: - 43 b546 + x5346 >= 0 e1092: - 127 b547 + x5347 <= 0 e1093: - 43 b547 + x5347 >= 0 e1094: - 127 b548 + x5348 <= 0 e1095: - 43 b548 + x5348 >= 0 e1096: - 127 b549 + x5349 <= 0 e1097: - 43 b549 + x5349 >= 0 e1098: - 127 b550 + x5350 <= 0 e1099: - 43 b550 + x5350 >= 0 e1100: - 127 b551 + x5351 <= 0 e1101: - 43 b551 + x5351 >= 0 e1102: - 127 b552 + x5352 <= 0 e1103: - 43 b552 + x5352 >= 0 e1104: - 127 b553 + x5353 <= 0 e1105: - 43 b553 + x5353 >= 0 e1106: - 126 b554 + x5354 <= 0 e1107: - 41 b554 + x5354 >= 0 e1108: - 126 b555 + x5355 <= 0 e1109: - 41 b555 + x5355 >= 0 e1110: - 126 b556 + x5356 <= 0 e1111: - 41 b556 + x5356 >= 0 e1112: - 126 b557 + x5357 <= 0 e1113: - 41 b557 + x5357 >= 0 e1114: - 126 b558 + x5358 <= 0 e1115: - 41 b558 + x5358 >= 0 e1116: - 126 b559 + x5359 <= 0 e1117: - 41 b559 + x5359 >= 0 e1118: - 126 b560 + x5360 <= 0 e1119: - 41 b560 + x5360 >= 0 e1120: - 126 b561 + x5361 <= 0 e1121: - 41 b561 + x5361 >= 0 e1122: - 126 b562 + x5362 <= 0 e1123: - 41 b562 + x5362 >= 0 e1124: - 126 b563 + x5363 <= 0 e1125: - 41 b563 + x5363 >= 0 e1126: - 126 b564 + x5364 <= 0 e1127: - 41 b564 + x5364 >= 0 e1128: - 126 b565 + x5365 <= 0 e1129: - 41 b565 + x5365 >= 0 e1130: - 126 b566 + x5366 <= 0 e1131: - 41 b566 + x5366 >= 0 e1132: - 126 b567 + x5367 <= 0 e1133: - 41 b567 + x5367 >= 0 e1134: - 126 b568 + x5368 <= 0 e1135: - 41 b568 + x5368 >= 0 e1136: - 126 b569 + x5369 <= 0 e1137: - 41 b569 + x5369 >= 0 e1138: - 126 b570 + x5370 <= 0 e1139: - 41 b570 + x5370 >= 0 e1140: - 126 b571 + x5371 <= 0 e1141: - 41 b571 + x5371 >= 0 e1142: - 126 b572 + x5372 <= 0 e1143: - 41 b572 + x5372 >= 0 e1144: - 126 b573 + x5373 <= 0 e1145: - 41 b573 + x5373 >= 0 e1146: - 126 b574 + x5374 <= 0 e1147: - 41 b574 + x5374 >= 0 e1148: - 126 b575 + x5375 <= 0 e1149: - 41 b575 + x5375 >= 0 e1150: - 126 b576 + x5376 <= 0 e1151: - 41 b576 + x5376 >= 0 e1152: - 126 b577 + x5377 <= 0 e1153: - 41 b577 + x5377 >= 0 e1154: - 127 b578 + x5378 <= 0 e1155: - 48 b578 + x5378 >= 0 e1156: - 127 b579 + x5379 <= 0 e1157: - 48 b579 + x5379 >= 0 e1158: - 127 b580 + x5380 <= 0 e1159: - 48 b580 + x5380 >= 0 e1160: - 127 b581 + x5381 <= 0 e1161: - 48 b581 + x5381 >= 0 e1162: - 127 b582 + x5382 <= 0 e1163: - 48 b582 + x5382 >= 0 e1164: - 127 b583 + x5383 <= 0 e1165: - 48 b583 + x5383 >= 0 e1166: - 127 b584 + x5384 <= 0 e1167: - 48 b584 + x5384 >= 0 e1168: - 127 b585 + x5385 <= 0 e1169: - 48 b585 + x5385 >= 0 e1170: - 127 b586 + x5386 <= 0 e1171: - 48 b586 + x5386 >= 0 e1172: - 127 b587 + x5387 <= 0 e1173: - 48 b587 + x5387 >= 0 e1174: - 127 b588 + x5388 <= 0 e1175: - 48 b588 + x5388 >= 0 e1176: - 127 b589 + x5389 <= 0 e1177: - 48 b589 + x5389 >= 0 e1178: - 127 b590 + x5390 <= 0 e1179: - 48 b590 + x5390 >= 0 e1180: - 127 b591 + x5391 <= 0 e1181: - 48 b591 + x5391 >= 0 e1182: - 127 b592 + x5392 <= 0 e1183: - 48 b592 + x5392 >= 0 e1184: - 127 b593 + x5393 <= 0 e1185: - 48 b593 + x5393 >= 0 e1186: - 127 b594 + x5394 <= 0 e1187: - 48 b594 + x5394 >= 0 e1188: - 127 b595 + x5395 <= 0 e1189: - 48 b595 + x5395 >= 0 e1190: - 127 b596 + x5396 <= 0 e1191: - 48 b596 + x5396 >= 0 e1192: - 127 b597 + x5397 <= 0 e1193: - 48 b597 + x5397 >= 0 e1194: - 127 b598 + x5398 <= 0 e1195: - 48 b598 + x5398 >= 0 e1196: - 127 b599 + x5399 <= 0 e1197: - 48 b599 + x5399 >= 0 e1198: - 127 b600 + x5400 <= 0 e1199: - 48 b600 + x5400 >= 0 e1200: - 127 b601 + x5401 <= 0 e1201: - 48 b601 + x5401 >= 0 e1202: - 103 b602 + x5402 <= 0 e1203: - 35 b602 + x5402 >= 0 e1204: - 103 b603 + x5403 <= 0 e1205: - 35 b603 + x5403 >= 0 e1206: - 103 b604 + x5404 <= 0 e1207: - 35 b604 + x5404 >= 0 e1208: - 103 b605 + x5405 <= 0 e1209: - 35 b605 + x5405 >= 0 e1210: - 103 b606 + x5406 <= 0 e1211: - 35 b606 + x5406 >= 0 e1212: - 103 b607 + x5407 <= 0 e1213: - 35 b607 + x5407 >= 0 e1214: - 103 b608 + x5408 <= 0 e1215: - 35 b608 + x5408 >= 0 e1216: - 103 b609 + x5409 <= 0 e1217: - 35 b609 + x5409 >= 0 e1218: - 103 b610 + x5410 <= 0 e1219: - 35 b610 + x5410 >= 0 e1220: - 103 b611 + x5411 <= 0 e1221: - 35 b611 + x5411 >= 0 e1222: - 103 b612 + x5412 <= 0 e1223: - 35 b612 + x5412 >= 0 e1224: - 103 b613 + x5413 <= 0 e1225: - 35 b613 + x5413 >= 0 e1226: - 103 b614 + x5414 <= 0 e1227: - 35 b614 + x5414 >= 0 e1228: - 103 b615 + x5415 <= 0 e1229: - 35 b615 + x5415 >= 0 e1230: - 103 b616 + x5416 <= 0 e1231: - 35 b616 + x5416 >= 0 e1232: - 103 b617 + x5417 <= 0 e1233: - 35 b617 + x5417 >= 0 e1234: - 103 b618 + x5418 <= 0 e1235: - 35 b618 + x5418 >= 0 e1236: - 103 b619 + x5419 <= 0 e1237: - 35 b619 + x5419 >= 0 e1238: - 103 b620 + x5420 <= 0 e1239: - 35 b620 + x5420 >= 0 e1240: - 103 b621 + x5421 <= 0 e1241: - 35 b621 + x5421 >= 0 e1242: - 103 b622 + x5422 <= 0 e1243: - 35 b622 + x5422 >= 0 e1244: - 103 b623 + x5423 <= 0 e1245: - 35 b623 + x5423 >= 0 e1246: - 103 b624 + x5424 <= 0 e1247: - 35 b624 + x5424 >= 0 e1248: - 103 b625 + x5425 <= 0 e1249: - 35 b625 + x5425 >= 0 e1250: - 124 b626 + x5426 <= 0 e1251: - 43 b626 + x5426 >= 0 e1252: - 124 b627 + x5427 <= 0 e1253: - 43 b627 + x5427 >= 0 e1254: - 124 b628 + x5428 <= 0 e1255: - 43 b628 + x5428 >= 0 e1256: - 124 b629 + x5429 <= 0 e1257: - 43 b629 + x5429 >= 0 e1258: - 124 b630 + x5430 <= 0 e1259: - 43 b630 + x5430 >= 0 e1260: - 124 b631 + x5431 <= 0 e1261: - 43 b631 + x5431 >= 0 e1262: - 124 b632 + x5432 <= 0 e1263: - 43 b632 + x5432 >= 0 e1264: - 124 b633 + x5433 <= 0 e1265: - 43 b633 + x5433 >= 0 e1266: - 124 b634 + x5434 <= 0 e1267: - 43 b634 + x5434 >= 0 e1268: - 124 b635 + x5435 <= 0 e1269: - 43 b635 + x5435 >= 0 e1270: - 124 b636 + x5436 <= 0 e1271: - 43 b636 + x5436 >= 0 e1272: - 124 b637 + x5437 <= 0 e1273: - 43 b637 + x5437 >= 0 e1274: - 124 b638 + x5438 <= 0 e1275: - 43 b638 + x5438 >= 0 e1276: - 124 b639 + x5439 <= 0 e1277: - 43 b639 + x5439 >= 0 e1278: - 124 b640 + x5440 <= 0 e1279: - 43 b640 + x5440 >= 0 e1280: - 124 b641 + x5441 <= 0 e1281: - 43 b641 + x5441 >= 0 e1282: - 124 b642 + x5442 <= 0 e1283: - 43 b642 + x5442 >= 0 e1284: - 124 b643 + x5443 <= 0 e1285: - 43 b643 + x5443 >= 0 e1286: - 124 b644 + x5444 <= 0 e1287: - 43 b644 + x5444 >= 0 e1288: - 124 b645 + x5445 <= 0 e1289: - 43 b645 + x5445 >= 0 e1290: - 124 b646 + x5446 <= 0 e1291: - 43 b646 + x5446 >= 0 e1292: - 124 b647 + x5447 <= 0 e1293: - 43 b647 + x5447 >= 0 e1294: - 124 b648 + x5448 <= 0 e1295: - 43 b648 + x5448 >= 0 e1296: - 124 b649 + x5449 <= 0 e1297: - 43 b649 + x5449 >= 0 e1298: - 120 b650 + x5450 <= 0 e1299: - 43 b650 + x5450 >= 0 e1300: - 120 b651 + x5451 <= 0 e1301: - 43 b651 + x5451 >= 0 e1302: - 120 b652 + x5452 <= 0 e1303: - 43 b652 + x5452 >= 0 e1304: - 120 b653 + x5453 <= 0 e1305: - 43 b653 + x5453 >= 0 e1306: - 120 b654 + x5454 <= 0 e1307: - 43 b654 + x5454 >= 0 e1308: - 120 b655 + x5455 <= 0 e1309: - 43 b655 + x5455 >= 0 e1310: - 120 b656 + x5456 <= 0 e1311: - 43 b656 + x5456 >= 0 e1312: - 120 b657 + x5457 <= 0 e1313: - 43 b657 + x5457 >= 0 e1314: - 120 b658 + x5458 <= 0 e1315: - 43 b658 + x5458 >= 0 e1316: - 120 b659 + x5459 <= 0 e1317: - 43 b659 + x5459 >= 0 e1318: - 120 b660 + x5460 <= 0 e1319: - 43 b660 + x5460 >= 0 e1320: - 120 b661 + x5461 <= 0 e1321: - 43 b661 + x5461 >= 0 e1322: - 120 b662 + x5462 <= 0 e1323: - 43 b662 + x5462 >= 0 e1324: - 120 b663 + x5463 <= 0 e1325: - 43 b663 + x5463 >= 0 e1326: - 120 b664 + x5464 <= 0 e1327: - 43 b664 + x5464 >= 0 e1328: - 120 b665 + x5465 <= 0 e1329: - 43 b665 + x5465 >= 0 e1330: - 120 b666 + x5466 <= 0 e1331: - 43 b666 + x5466 >= 0 e1332: - 120 b667 + x5467 <= 0 e1333: - 43 b667 + x5467 >= 0 e1334: - 120 b668 + x5468 <= 0 e1335: - 43 b668 + x5468 >= 0 e1336: - 120 b669 + x5469 <= 0 e1337: - 43 b669 + x5469 >= 0 e1338: - 120 b670 + x5470 <= 0 e1339: - 43 b670 + x5470 >= 0 e1340: - 120 b671 + x5471 <= 0 e1341: - 43 b671 + x5471 >= 0 e1342: - 120 b672 + x5472 <= 0 e1343: - 43 b672 + x5472 >= 0 e1344: - 120 b673 + x5473 <= 0 e1345: - 43 b673 + x5473 >= 0 e1346: - 115 b674 + x5474 <= 0 e1347: - 47 b674 + x5474 >= 0 e1348: - 115 b675 + x5475 <= 0 e1349: - 47 b675 + x5475 >= 0 e1350: - 115 b676 + x5476 <= 0 e1351: - 47 b676 + x5476 >= 0 e1352: - 115 b677 + x5477 <= 0 e1353: - 47 b677 + x5477 >= 0 e1354: - 115 b678 + x5478 <= 0 e1355: - 47 b678 + x5478 >= 0 e1356: - 115 b679 + x5479 <= 0 e1357: - 47 b679 + x5479 >= 0 e1358: - 115 b680 + x5480 <= 0 e1359: - 47 b680 + x5480 >= 0 e1360: - 115 b681 + x5481 <= 0 e1361: - 47 b681 + x5481 >= 0 e1362: - 115 b682 + x5482 <= 0 e1363: - 47 b682 + x5482 >= 0 e1364: - 115 b683 + x5483 <= 0 e1365: - 47 b683 + x5483 >= 0 e1366: - 115 b684 + x5484 <= 0 e1367: - 47 b684 + x5484 >= 0 e1368: - 115 b685 + x5485 <= 0 e1369: - 47 b685 + x5485 >= 0 e1370: - 115 b686 + x5486 <= 0 e1371: - 47 b686 + x5486 >= 0 e1372: - 115 b687 + x5487 <= 0 e1373: - 47 b687 + x5487 >= 0 e1374: - 115 b688 + x5488 <= 0 e1375: - 47 b688 + x5488 >= 0 e1376: - 115 b689 + x5489 <= 0 e1377: - 47 b689 + x5489 >= 0 e1378: - 115 b690 + x5490 <= 0 e1379: - 47 b690 + x5490 >= 0 e1380: - 115 b691 + x5491 <= 0 e1381: - 47 b691 + x5491 >= 0 e1382: - 115 b692 + x5492 <= 0 e1383: - 47 b692 + x5492 >= 0 e1384: - 115 b693 + x5493 <= 0 e1385: - 47 b693 + x5493 >= 0 e1386: - 115 b694 + x5494 <= 0 e1387: - 47 b694 + x5494 >= 0 e1388: - 115 b695 + x5495 <= 0 e1389: - 47 b695 + x5495 >= 0 e1390: - 115 b696 + x5496 <= 0 e1391: - 47 b696 + x5496 >= 0 e1392: - 115 b697 + x5497 <= 0 e1393: - 47 b697 + x5497 >= 0 e1394: - 109 b698 + x5498 <= 0 e1395: - 44 b698 + x5498 >= 0 e1396: - 109 b699 + x5499 <= 0 e1397: - 44 b699 + x5499 >= 0 e1398: - 109 b700 + x5500 <= 0 e1399: - 44 b700 + x5500 >= 0 e1400: - 109 b701 + x5501 <= 0 e1401: - 44 b701 + x5501 >= 0 e1402: - 109 b702 + x5502 <= 0 e1403: - 44 b702 + x5502 >= 0 e1404: - 109 b703 + x5503 <= 0 e1405: - 44 b703 + x5503 >= 0 e1406: - 109 b704 + x5504 <= 0 e1407: - 44 b704 + x5504 >= 0 e1408: - 109 b705 + x5505 <= 0 e1409: - 44 b705 + x5505 >= 0 e1410: - 109 b706 + x5506 <= 0 e1411: - 44 b706 + x5506 >= 0 e1412: - 109 b707 + x5507 <= 0 e1413: - 44 b707 + x5507 >= 0 e1414: - 109 b708 + x5508 <= 0 e1415: - 44 b708 + x5508 >= 0 e1416: - 109 b709 + x5509 <= 0 e1417: - 44 b709 + x5509 >= 0 e1418: - 109 b710 + x5510 <= 0 e1419: - 44 b710 + x5510 >= 0 e1420: - 109 b711 + x5511 <= 0 e1421: - 44 b711 + x5511 >= 0 e1422: - 109 b712 + x5512 <= 0 e1423: - 44 b712 + x5512 >= 0 e1424: - 109 b713 + x5513 <= 0 e1425: - 44 b713 + x5513 >= 0 e1426: - 109 b714 + x5514 <= 0 e1427: - 44 b714 + x5514 >= 0 e1428: - 109 b715 + x5515 <= 0 e1429: - 44 b715 + x5515 >= 0 e1430: - 109 b716 + x5516 <= 0 e1431: - 44 b716 + x5516 >= 0 e1432: - 109 b717 + x5517 <= 0 e1433: - 44 b717 + x5517 >= 0 e1434: - 109 b718 + x5518 <= 0 e1435: - 44 b718 + x5518 >= 0 e1436: - 109 b719 + x5519 <= 0 e1437: - 44 b719 + x5519 >= 0 e1438: - 109 b720 + x5520 <= 0 e1439: - 44 b720 + x5520 >= 0 e1440: - 109 b721 + x5521 <= 0 e1441: - 44 b721 + x5521 >= 0 e1442: - 118 b722 + x5522 <= 0 e1443: - 49 b722 + x5522 >= 0 e1444: - 118 b723 + x5523 <= 0 e1445: - 49 b723 + x5523 >= 0 e1446: - 118 b724 + x5524 <= 0 e1447: - 49 b724 + x5524 >= 0 e1448: - 118 b725 + x5525 <= 0 e1449: - 49 b725 + x5525 >= 0 e1450: - 118 b726 + x5526 <= 0 e1451: - 49 b726 + x5526 >= 0 e1452: - 118 b727 + x5527 <= 0 e1453: - 49 b727 + x5527 >= 0 e1454: - 118 b728 + x5528 <= 0 e1455: - 49 b728 + x5528 >= 0 e1456: - 118 b729 + x5529 <= 0 e1457: - 49 b729 + x5529 >= 0 e1458: - 118 b730 + x5530 <= 0 e1459: - 49 b730 + x5530 >= 0 e1460: - 118 b731 + x5531 <= 0 e1461: - 49 b731 + x5531 >= 0 e1462: - 118 b732 + x5532 <= 0 e1463: - 49 b732 + x5532 >= 0 e1464: - 118 b733 + x5533 <= 0 e1465: - 49 b733 + x5533 >= 0 e1466: - 118 b734 + x5534 <= 0 e1467: - 49 b734 + x5534 >= 0 e1468: - 118 b735 + x5535 <= 0 e1469: - 49 b735 + x5535 >= 0 e1470: - 118 b736 + x5536 <= 0 e1471: - 49 b736 + x5536 >= 0 e1472: - 118 b737 + x5537 <= 0 e1473: - 49 b737 + x5537 >= 0 e1474: - 118 b738 + x5538 <= 0 e1475: - 49 b738 + x5538 >= 0 e1476: - 118 b739 + x5539 <= 0 e1477: - 49 b739 + x5539 >= 0 e1478: - 118 b740 + x5540 <= 0 e1479: - 49 b740 + x5540 >= 0 e1480: - 118 b741 + x5541 <= 0 e1481: - 49 b741 + x5541 >= 0 e1482: - 118 b742 + x5542 <= 0 e1483: - 49 b742 + x5542 >= 0 e1484: - 118 b743 + x5543 <= 0 e1485: - 49 b743 + x5543 >= 0 e1486: - 118 b744 + x5544 <= 0 e1487: - 49 b744 + x5544 >= 0 e1488: - 118 b745 + x5545 <= 0 e1489: - 49 b745 + x5545 >= 0 e1490: - 114 b746 + x5546 <= 0 e1491: - 48 b746 + x5546 >= 0 e1492: - 114 b747 + x5547 <= 0 e1493: - 48 b747 + x5547 >= 0 e1494: - 114 b748 + x5548 <= 0 e1495: - 48 b748 + x5548 >= 0 e1496: - 114 b749 + x5549 <= 0 e1497: - 48 b749 + x5549 >= 0 e1498: - 114 b750 + x5550 <= 0 e1499: - 48 b750 + x5550 >= 0 e1500: - 114 b751 + x5551 <= 0 e1501: - 48 b751 + x5551 >= 0 e1502: - 114 b752 + x5552 <= 0 e1503: - 48 b752 + x5552 >= 0 e1504: - 114 b753 + x5553 <= 0 e1505: - 48 b753 + x5553 >= 0 e1506: - 114 b754 + x5554 <= 0 e1507: - 48 b754 + x5554 >= 0 e1508: - 114 b755 + x5555 <= 0 e1509: - 48 b755 + x5555 >= 0 e1510: - 114 b756 + x5556 <= 0 e1511: - 48 b756 + x5556 >= 0 e1512: - 114 b757 + x5557 <= 0 e1513: - 48 b757 + x5557 >= 0 e1514: - 114 b758 + x5558 <= 0 e1515: - 48 b758 + x5558 >= 0 e1516: - 114 b759 + x5559 <= 0 e1517: - 48 b759 + x5559 >= 0 e1518: - 114 b760 + x5560 <= 0 e1519: - 48 b760 + x5560 >= 0 e1520: - 114 b761 + x5561 <= 0 e1521: - 48 b761 + x5561 >= 0 e1522: - 114 b762 + x5562 <= 0 e1523: - 48 b762 + x5562 >= 0 e1524: - 114 b763 + x5563 <= 0 e1525: - 48 b763 + x5563 >= 0 e1526: - 114 b764 + x5564 <= 0 e1527: - 48 b764 + x5564 >= 0 e1528: - 114 b765 + x5565 <= 0 e1529: - 48 b765 + x5565 >= 0 e1530: - 114 b766 + x5566 <= 0 e1531: - 48 b766 + x5566 >= 0 e1532: - 114 b767 + x5567 <= 0 e1533: - 48 b767 + x5567 >= 0 e1534: - 114 b768 + x5568 <= 0 e1535: - 48 b768 + x5568 >= 0 e1536: - 114 b769 + x5569 <= 0 e1537: - 48 b769 + x5569 >= 0 e1538: - 105 b770 + x5570 <= 0 e1539: - 42 b770 + x5570 >= 0 e1540: - 105 b771 + x5571 <= 0 e1541: - 42 b771 + x5571 >= 0 e1542: - 105 b772 + x5572 <= 0 e1543: - 42 b772 + x5572 >= 0 e1544: - 105 b773 + x5573 <= 0 e1545: - 42 b773 + x5573 >= 0 e1546: - 105 b774 + x5574 <= 0 e1547: - 42 b774 + x5574 >= 0 e1548: - 105 b775 + x5575 <= 0 e1549: - 42 b775 + x5575 >= 0 e1550: - 105 b776 + x5576 <= 0 e1551: - 42 b776 + x5576 >= 0 e1552: - 105 b777 + x5577 <= 0 e1553: - 42 b777 + x5577 >= 0 e1554: - 105 b778 + x5578 <= 0 e1555: - 42 b778 + x5578 >= 0 e1556: - 105 b779 + x5579 <= 0 e1557: - 42 b779 + x5579 >= 0 e1558: - 105 b780 + x5580 <= 0 e1559: - 42 b780 + x5580 >= 0 e1560: - 105 b781 + x5581 <= 0 e1561: - 42 b781 + x5581 >= 0 e1562: - 105 b782 + x5582 <= 0 e1563: - 42 b782 + x5582 >= 0 e1564: - 105 b783 + x5583 <= 0 e1565: - 42 b783 + x5583 >= 0 e1566: - 105 b784 + x5584 <= 0 e1567: - 42 b784 + x5584 >= 0 e1568: - 105 b785 + x5585 <= 0 e1569: - 42 b785 + x5585 >= 0 e1570: - 105 b786 + x5586 <= 0 e1571: - 42 b786 + x5586 >= 0 e1572: - 105 b787 + x5587 <= 0 e1573: - 42 b787 + x5587 >= 0 e1574: - 105 b788 + x5588 <= 0 e1575: - 42 b788 + x5588 >= 0 e1576: - 105 b789 + x5589 <= 0 e1577: - 42 b789 + x5589 >= 0 e1578: - 105 b790 + x5590 <= 0 e1579: - 42 b790 + x5590 >= 0 e1580: - 105 b791 + x5591 <= 0 e1581: - 42 b791 + x5591 >= 0 e1582: - 105 b792 + x5592 <= 0 e1583: - 42 b792 + x5592 >= 0 e1584: - 105 b793 + x5593 <= 0 e1585: - 42 b793 + x5593 >= 0 e1586: - 115 b794 + x5594 <= 0 e1587: - 30 b794 + x5594 >= 0 e1588: - 115 b795 + x5595 <= 0 e1589: - 30 b795 + x5595 >= 0 e1590: - 115 b796 + x5596 <= 0 e1591: - 30 b796 + x5596 >= 0 e1592: - 115 b797 + x5597 <= 0 e1593: - 30 b797 + x5597 >= 0 e1594: - 115 b798 + x5598 <= 0 e1595: - 30 b798 + x5598 >= 0 e1596: - 115 b799 + x5599 <= 0 e1597: - 30 b799 + x5599 >= 0 e1598: - 115 b800 + x5600 <= 0 e1599: - 30 b800 + x5600 >= 0 e1600: - 115 b801 + x5601 <= 0 e1601: - 30 b801 + x5601 >= 0 e1602: - 115 b802 + x5602 <= 0 e1603: - 30 b802 + x5602 >= 0 e1604: - 115 b803 + x5603 <= 0 e1605: - 30 b803 + x5603 >= 0 e1606: - 115 b804 + x5604 <= 0 e1607: - 30 b804 + x5604 >= 0 e1608: - 115 b805 + x5605 <= 0 e1609: - 30 b805 + x5605 >= 0 e1610: - 115 b806 + x5606 <= 0 e1611: - 30 b806 + x5606 >= 0 e1612: - 115 b807 + x5607 <= 0 e1613: - 30 b807 + x5607 >= 0 e1614: - 115 b808 + x5608 <= 0 e1615: - 30 b808 + x5608 >= 0 e1616: - 115 b809 + x5609 <= 0 e1617: - 30 b809 + x5609 >= 0 e1618: - 115 b810 + x5610 <= 0 e1619: - 30 b810 + x5610 >= 0 e1620: - 115 b811 + x5611 <= 0 e1621: - 30 b811 + x5611 >= 0 e1622: - 115 b812 + x5612 <= 0 e1623: - 30 b812 + x5612 >= 0 e1624: - 115 b813 + x5613 <= 0 e1625: - 30 b813 + x5613 >= 0 e1626: - 115 b814 + x5614 <= 0 e1627: - 30 b814 + x5614 >= 0 e1628: - 115 b815 + x5615 <= 0 e1629: - 30 b815 + x5615 >= 0 e1630: - 115 b816 + x5616 <= 0 e1631: - 30 b816 + x5616 >= 0 e1632: - 115 b817 + x5617 <= 0 e1633: - 30 b817 + x5617 >= 0 e1634: - 112 b818 + x5618 <= 0 e1635: - 31 b818 + x5618 >= 0 e1636: - 112 b819 + x5619 <= 0 e1637: - 31 b819 + x5619 >= 0 e1638: - 112 b820 + x5620 <= 0 e1639: - 31 b820 + x5620 >= 0 e1640: - 112 b821 + x5621 <= 0 e1641: - 31 b821 + x5621 >= 0 e1642: - 112 b822 + x5622 <= 0 e1643: - 31 b822 + x5622 >= 0 e1644: - 112 b823 + x5623 <= 0 e1645: - 31 b823 + x5623 >= 0 e1646: - 112 b824 + x5624 <= 0 e1647: - 31 b824 + x5624 >= 0 e1648: - 112 b825 + x5625 <= 0 e1649: - 31 b825 + x5625 >= 0 e1650: - 112 b826 + x5626 <= 0 e1651: - 31 b826 + x5626 >= 0 e1652: - 112 b827 + x5627 <= 0 e1653: - 31 b827 + x5627 >= 0 e1654: - 112 b828 + x5628 <= 0 e1655: - 31 b828 + x5628 >= 0 e1656: - 112 b829 + x5629 <= 0 e1657: - 31 b829 + x5629 >= 0 e1658: - 112 b830 + x5630 <= 0 e1659: - 31 b830 + x5630 >= 0 e1660: - 112 b831 + x5631 <= 0 e1661: - 31 b831 + x5631 >= 0 e1662: - 112 b832 + x5632 <= 0 e1663: - 31 b832 + x5632 >= 0 e1664: - 112 b833 + x5633 <= 0 e1665: - 31 b833 + x5633 >= 0 e1666: - 112 b834 + x5634 <= 0 e1667: - 31 b834 + x5634 >= 0 e1668: - 112 b835 + x5635 <= 0 e1669: - 31 b835 + x5635 >= 0 e1670: - 112 b836 + x5636 <= 0 e1671: - 31 b836 + x5636 >= 0 e1672: - 112 b837 + x5637 <= 0 e1673: - 31 b837 + x5637 >= 0 e1674: - 112 b838 + x5638 <= 0 e1675: - 31 b838 + x5638 >= 0 e1676: - 112 b839 + x5639 <= 0 e1677: - 31 b839 + x5639 >= 0 e1678: - 112 b840 + x5640 <= 0 e1679: - 31 b840 + x5640 >= 0 e1680: - 112 b841 + x5641 <= 0 e1681: - 31 b841 + x5641 >= 0 e1682: - 116 b842 + x5642 <= 0 e1683: - 46 b842 + x5642 >= 0 e1684: - 116 b843 + x5643 <= 0 e1685: - 46 b843 + x5643 >= 0 e1686: - 116 b844 + x5644 <= 0 e1687: - 46 b844 + x5644 >= 0 e1688: - 116 b845 + x5645 <= 0 e1689: - 46 b845 + x5645 >= 0 e1690: - 116 b846 + x5646 <= 0 e1691: - 46 b846 + x5646 >= 0 e1692: - 116 b847 + x5647 <= 0 e1693: - 46 b847 + x5647 >= 0 e1694: - 116 b848 + x5648 <= 0 e1695: - 46 b848 + x5648 >= 0 e1696: - 116 b849 + x5649 <= 0 e1697: - 46 b849 + x5649 >= 0 e1698: - 116 b850 + x5650 <= 0 e1699: - 46 b850 + x5650 >= 0 e1700: - 116 b851 + x5651 <= 0 e1701: - 46 b851 + x5651 >= 0 e1702: - 116 b852 + x5652 <= 0 e1703: - 46 b852 + x5652 >= 0 e1704: - 116 b853 + x5653 <= 0 e1705: - 46 b853 + x5653 >= 0 e1706: - 116 b854 + x5654 <= 0 e1707: - 46 b854 + x5654 >= 0 e1708: - 116 b855 + x5655 <= 0 e1709: - 46 b855 + x5655 >= 0 e1710: - 116 b856 + x5656 <= 0 e1711: - 46 b856 + x5656 >= 0 e1712: - 116 b857 + x5657 <= 0 e1713: - 46 b857 + x5657 >= 0 e1714: - 116 b858 + x5658 <= 0 e1715: - 46 b858 + x5658 >= 0 e1716: - 116 b859 + x5659 <= 0 e1717: - 46 b859 + x5659 >= 0 e1718: - 116 b860 + x5660 <= 0 e1719: - 46 b860 + x5660 >= 0 e1720: - 116 b861 + x5661 <= 0 e1721: - 46 b861 + x5661 >= 0 e1722: - 116 b862 + x5662 <= 0 e1723: - 46 b862 + x5662 >= 0 e1724: - 116 b863 + x5663 <= 0 e1725: - 46 b863 + x5663 >= 0 e1726: - 116 b864 + x5664 <= 0 e1727: - 46 b864 + x5664 >= 0 e1728: - 116 b865 + x5665 <= 0 e1729: - 46 b865 + x5665 >= 0 e1730: - 116 b866 + x5666 <= 0 e1731: - 33 b866 + x5666 >= 0 e1732: - 116 b867 + x5667 <= 0 e1733: - 33 b867 + x5667 >= 0 e1734: - 116 b868 + x5668 <= 0 e1735: - 33 b868 + x5668 >= 0 e1736: - 116 b869 + x5669 <= 0 e1737: - 33 b869 + x5669 >= 0 e1738: - 116 b870 + x5670 <= 0 e1739: - 33 b870 + x5670 >= 0 e1740: - 116 b871 + x5671 <= 0 e1741: - 33 b871 + x5671 >= 0 e1742: - 116 b872 + x5672 <= 0 e1743: - 33 b872 + x5672 >= 0 e1744: - 116 b873 + x5673 <= 0 e1745: - 33 b873 + x5673 >= 0 e1746: - 116 b874 + x5674 <= 0 e1747: - 33 b874 + x5674 >= 0 e1748: - 116 b875 + x5675 <= 0 e1749: - 33 b875 + x5675 >= 0 e1750: - 116 b876 + x5676 <= 0 e1751: - 33 b876 + x5676 >= 0 e1752: - 116 b877 + x5677 <= 0 e1753: - 33 b877 + x5677 >= 0 e1754: - 116 b878 + x5678 <= 0 e1755: - 33 b878 + x5678 >= 0 e1756: - 116 b879 + x5679 <= 0 e1757: - 33 b879 + x5679 >= 0 e1758: - 116 b880 + x5680 <= 0 e1759: - 33 b880 + x5680 >= 0 e1760: - 116 b881 + x5681 <= 0 e1761: - 33 b881 + x5681 >= 0 e1762: - 116 b882 + x5682 <= 0 e1763: - 33 b882 + x5682 >= 0 e1764: - 116 b883 + x5683 <= 0 e1765: - 33 b883 + x5683 >= 0 e1766: - 116 b884 + x5684 <= 0 e1767: - 33 b884 + x5684 >= 0 e1768: - 116 b885 + x5685 <= 0 e1769: - 33 b885 + x5685 >= 0 e1770: - 116 b886 + x5686 <= 0 e1771: - 33 b886 + x5686 >= 0 e1772: - 116 b887 + x5687 <= 0 e1773: - 33 b887 + x5687 >= 0 e1774: - 116 b888 + x5688 <= 0 e1775: - 33 b888 + x5688 >= 0 e1776: - 116 b889 + x5689 <= 0 e1777: - 33 b889 + x5689 >= 0 e1778: - 111 b890 + x5690 <= 0 e1779: - 44 b890 + x5690 >= 0 e1780: - 111 b891 + x5691 <= 0 e1781: - 44 b891 + x5691 >= 0 e1782: - 111 b892 + x5692 <= 0 e1783: - 44 b892 + x5692 >= 0 e1784: - 111 b893 + x5693 <= 0 e1785: - 44 b893 + x5693 >= 0 e1786: - 111 b894 + x5694 <= 0 e1787: - 44 b894 + x5694 >= 0 e1788: - 111 b895 + x5695 <= 0 e1789: - 44 b895 + x5695 >= 0 e1790: - 111 b896 + x5696 <= 0 e1791: - 44 b896 + x5696 >= 0 e1792: - 111 b897 + x5697 <= 0 e1793: - 44 b897 + x5697 >= 0 e1794: - 111 b898 + x5698 <= 0 e1795: - 44 b898 + x5698 >= 0 e1796: - 111 b899 + x5699 <= 0 e1797: - 44 b899 + x5699 >= 0 e1798: - 111 b900 + x5700 <= 0 e1799: - 44 b900 + x5700 >= 0 e1800: - 111 b901 + x5701 <= 0 e1801: - 44 b901 + x5701 >= 0 e1802: - 111 b902 + x5702 <= 0 e1803: - 44 b902 + x5702 >= 0 e1804: - 111 b903 + x5703 <= 0 e1805: - 44 b903 + x5703 >= 0 e1806: - 111 b904 + x5704 <= 0 e1807: - 44 b904 + x5704 >= 0 e1808: - 111 b905 + x5705 <= 0 e1809: - 44 b905 + x5705 >= 0 e1810: - 111 b906 + x5706 <= 0 e1811: - 44 b906 + x5706 >= 0 e1812: - 111 b907 + x5707 <= 0 e1813: - 44 b907 + x5707 >= 0 e1814: - 111 b908 + x5708 <= 0 e1815: - 44 b908 + x5708 >= 0 e1816: - 111 b909 + x5709 <= 0 e1817: - 44 b909 + x5709 >= 0 e1818: - 111 b910 + x5710 <= 0 e1819: - 44 b910 + x5710 >= 0 e1820: - 111 b911 + x5711 <= 0 e1821: - 44 b911 + x5711 >= 0 e1822: - 111 b912 + x5712 <= 0 e1823: - 44 b912 + x5712 >= 0 e1824: - 111 b913 + x5713 <= 0 e1825: - 44 b913 + x5713 >= 0 e1826: - 115 b914 + x5714 <= 0 e1827: - 39 b914 + x5714 >= 0 e1828: - 115 b915 + x5715 <= 0 e1829: - 39 b915 + x5715 >= 0 e1830: - 115 b916 + x5716 <= 0 e1831: - 39 b916 + x5716 >= 0 e1832: - 115 b917 + x5717 <= 0 e1833: - 39 b917 + x5717 >= 0 e1834: - 115 b918 + x5718 <= 0 e1835: - 39 b918 + x5718 >= 0 e1836: - 115 b919 + x5719 <= 0 e1837: - 39 b919 + x5719 >= 0 e1838: - 115 b920 + x5720 <= 0 e1839: - 39 b920 + x5720 >= 0 e1840: - 115 b921 + x5721 <= 0 e1841: - 39 b921 + x5721 >= 0 e1842: - 115 b922 + x5722 <= 0 e1843: - 39 b922 + x5722 >= 0 e1844: - 115 b923 + x5723 <= 0 e1845: - 39 b923 + x5723 >= 0 e1846: - 115 b924 + x5724 <= 0 e1847: - 39 b924 + x5724 >= 0 e1848: - 115 b925 + x5725 <= 0 e1849: - 39 b925 + x5725 >= 0 e1850: - 115 b926 + x5726 <= 0 e1851: - 39 b926 + x5726 >= 0 e1852: - 115 b927 + x5727 <= 0 e1853: - 39 b927 + x5727 >= 0 e1854: - 115 b928 + x5728 <= 0 e1855: - 39 b928 + x5728 >= 0 e1856: - 115 b929 + x5729 <= 0 e1857: - 39 b929 + x5729 >= 0 e1858: - 115 b930 + x5730 <= 0 e1859: - 39 b930 + x5730 >= 0 e1860: - 115 b931 + x5731 <= 0 e1861: - 39 b931 + x5731 >= 0 e1862: - 115 b932 + x5732 <= 0 e1863: - 39 b932 + x5732 >= 0 e1864: - 115 b933 + x5733 <= 0 e1865: - 39 b933 + x5733 >= 0 e1866: - 115 b934 + x5734 <= 0 e1867: - 39 b934 + x5734 >= 0 e1868: - 115 b935 + x5735 <= 0 e1869: - 39 b935 + x5735 >= 0 e1870: - 115 b936 + x5736 <= 0 e1871: - 39 b936 + x5736 >= 0 e1872: - 115 b937 + x5737 <= 0 e1873: - 39 b937 + x5737 >= 0 e1874: - 105 b938 + x5738 <= 0 e1875: - 42 b938 + x5738 >= 0 e1876: - 105 b939 + x5739 <= 0 e1877: - 42 b939 + x5739 >= 0 e1878: - 105 b940 + x5740 <= 0 e1879: - 42 b940 + x5740 >= 0 e1880: - 105 b941 + x5741 <= 0 e1881: - 42 b941 + x5741 >= 0 e1882: - 105 b942 + x5742 <= 0 e1883: - 42 b942 + x5742 >= 0 e1884: - 105 b943 + x5743 <= 0 e1885: - 42 b943 + x5743 >= 0 e1886: - 105 b944 + x5744 <= 0 e1887: - 42 b944 + x5744 >= 0 e1888: - 105 b945 + x5745 <= 0 e1889: - 42 b945 + x5745 >= 0 e1890: - 105 b946 + x5746 <= 0 e1891: - 42 b946 + x5746 >= 0 e1892: - 105 b947 + x5747 <= 0 e1893: - 42 b947 + x5747 >= 0 e1894: - 105 b948 + x5748 <= 0 e1895: - 42 b948 + x5748 >= 0 e1896: - 105 b949 + x5749 <= 0 e1897: - 42 b949 + x5749 >= 0 e1898: - 105 b950 + x5750 <= 0 e1899: - 42 b950 + x5750 >= 0 e1900: - 105 b951 + x5751 <= 0 e1901: - 42 b951 + x5751 >= 0 e1902: - 105 b952 + x5752 <= 0 e1903: - 42 b952 + x5752 >= 0 e1904: - 105 b953 + x5753 <= 0 e1905: - 42 b953 + x5753 >= 0 e1906: - 105 b954 + x5754 <= 0 e1907: - 42 b954 + x5754 >= 0 e1908: - 105 b955 + x5755 <= 0 e1909: - 42 b955 + x5755 >= 0 e1910: - 105 b956 + x5756 <= 0 e1911: - 42 b956 + x5756 >= 0 e1912: - 105 b957 + x5757 <= 0 e1913: - 42 b957 + x5757 >= 0 e1914: - 105 b958 + x5758 <= 0 e1915: - 42 b958 + x5758 >= 0 e1916: - 105 b959 + x5759 <= 0 e1917: - 42 b959 + x5759 >= 0 e1918: - 105 b960 + x5760 <= 0 e1919: - 42 b960 + x5760 >= 0 e1920: - 105 b961 + x5761 <= 0 e1921: - 42 b961 + x5761 >= 0 e1922: - 128 b962 + x5762 <= 0 e1923: - 47 b962 + x5762 >= 0 e1924: - 128 b963 + x5763 <= 0 e1925: - 47 b963 + x5763 >= 0 e1926: - 128 b964 + x5764 <= 0 e1927: - 47 b964 + x5764 >= 0 e1928: - 128 b965 + x5765 <= 0 e1929: - 47 b965 + x5765 >= 0 e1930: - 128 b966 + x5766 <= 0 e1931: - 47 b966 + x5766 >= 0 e1932: - 128 b967 + x5767 <= 0 e1933: - 47 b967 + x5767 >= 0 e1934: - 128 b968 + x5768 <= 0 e1935: - 47 b968 + x5768 >= 0 e1936: - 128 b969 + x5769 <= 0 e1937: - 47 b969 + x5769 >= 0 e1938: - 128 b970 + x5770 <= 0 e1939: - 47 b970 + x5770 >= 0 e1940: - 128 b971 + x5771 <= 0 e1941: - 47 b971 + x5771 >= 0 e1942: - 128 b972 + x5772 <= 0 e1943: - 47 b972 + x5772 >= 0 e1944: - 128 b973 + x5773 <= 0 e1945: - 47 b973 + x5773 >= 0 e1946: - 128 b974 + x5774 <= 0 e1947: - 47 b974 + x5774 >= 0 e1948: - 128 b975 + x5775 <= 0 e1949: - 47 b975 + x5775 >= 0 e1950: - 128 b976 + x5776 <= 0 e1951: - 47 b976 + x5776 >= 0 e1952: - 128 b977 + x5777 <= 0 e1953: - 47 b977 + x5777 >= 0 e1954: - 128 b978 + x5778 <= 0 e1955: - 47 b978 + x5778 >= 0 e1956: - 128 b979 + x5779 <= 0 e1957: - 47 b979 + x5779 >= 0 e1958: - 128 b980 + x5780 <= 0 e1959: - 47 b980 + x5780 >= 0 e1960: - 128 b981 + x5781 <= 0 e1961: - 47 b981 + x5781 >= 0 e1962: - 128 b982 + x5782 <= 0 e1963: - 47 b982 + x5782 >= 0 e1964: - 128 b983 + x5783 <= 0 e1965: - 47 b983 + x5783 >= 0 e1966: - 128 b984 + x5784 <= 0 e1967: - 47 b984 + x5784 >= 0 e1968: - 128 b985 + x5785 <= 0 e1969: - 47 b985 + x5785 >= 0 e1970: - 112 b986 + x5786 <= 0 e1971: - 36 b986 + x5786 >= 0 e1972: - 112 b987 + x5787 <= 0 e1973: - 36 b987 + x5787 >= 0 e1974: - 112 b988 + x5788 <= 0 e1975: - 36 b988 + x5788 >= 0 e1976: - 112 b989 + x5789 <= 0 e1977: - 36 b989 + x5789 >= 0 e1978: - 112 b990 + x5790 <= 0 e1979: - 36 b990 + x5790 >= 0 e1980: - 112 b991 + x5791 <= 0 e1981: - 36 b991 + x5791 >= 0 e1982: - 112 b992 + x5792 <= 0 e1983: - 36 b992 + x5792 >= 0 e1984: - 112 b993 + x5793 <= 0 e1985: - 36 b993 + x5793 >= 0 e1986: - 112 b994 + x5794 <= 0 e1987: - 36 b994 + x5794 >= 0 e1988: - 112 b995 + x5795 <= 0 e1989: - 36 b995 + x5795 >= 0 e1990: - 112 b996 + x5796 <= 0 e1991: - 36 b996 + x5796 >= 0 e1992: - 112 b997 + x5797 <= 0 e1993: - 36 b997 + x5797 >= 0 e1994: - 112 b998 + x5798 <= 0 e1995: - 36 b998 + x5798 >= 0 e1996: - 112 b999 + x5799 <= 0 e1997: - 36 b999 + x5799 >= 0 e1998: - 112 b1000 + x5800 <= 0 e1999: - 36 b1000 + x5800 >= 0 e2000: - 112 b1001 + x5801 <= 0 e2001: - 36 b1001 + x5801 >= 0 e2002: - 112 b1002 + x5802 <= 0 e2003: - 36 b1002 + x5802 >= 0 e2004: - 112 b1003 + x5803 <= 0 e2005: - 36 b1003 + x5803 >= 0 e2006: - 112 b1004 + x5804 <= 0 e2007: - 36 b1004 + x5804 >= 0 e2008: - 112 b1005 + x5805 <= 0 e2009: - 36 b1005 + x5805 >= 0 e2010: - 112 b1006 + x5806 <= 0 e2011: - 36 b1006 + x5806 >= 0 e2012: - 112 b1007 + x5807 <= 0 e2013: - 36 b1007 + x5807 >= 0 e2014: - 112 b1008 + x5808 <= 0 e2015: - 36 b1008 + x5808 >= 0 e2016: - 112 b1009 + x5809 <= 0 e2017: - 36 b1009 + x5809 >= 0 e2018: - 104 b1010 + x5810 <= 0 e2019: - 37 b1010 + x5810 >= 0 e2020: - 104 b1011 + x5811 <= 0 e2021: - 37 b1011 + x5811 >= 0 e2022: - 104 b1012 + x5812 <= 0 e2023: - 37 b1012 + x5812 >= 0 e2024: - 104 b1013 + x5813 <= 0 e2025: - 37 b1013 + x5813 >= 0 e2026: - 104 b1014 + x5814 <= 0 e2027: - 37 b1014 + x5814 >= 0 e2028: - 104 b1015 + x5815 <= 0 e2029: - 37 b1015 + x5815 >= 0 e2030: - 104 b1016 + x5816 <= 0 e2031: - 37 b1016 + x5816 >= 0 e2032: - 104 b1017 + x5817 <= 0 e2033: - 37 b1017 + x5817 >= 0 e2034: - 104 b1018 + x5818 <= 0 e2035: - 37 b1018 + x5818 >= 0 e2036: - 104 b1019 + x5819 <= 0 e2037: - 37 b1019 + x5819 >= 0 e2038: - 104 b1020 + x5820 <= 0 e2039: - 37 b1020 + x5820 >= 0 e2040: - 104 b1021 + x5821 <= 0 e2041: - 37 b1021 + x5821 >= 0 e2042: - 104 b1022 + x5822 <= 0 e2043: - 37 b1022 + x5822 >= 0 e2044: - 104 b1023 + x5823 <= 0 e2045: - 37 b1023 + x5823 >= 0 e2046: - 104 b1024 + x5824 <= 0 e2047: - 37 b1024 + x5824 >= 0 e2048: - 104 b1025 + x5825 <= 0 e2049: - 37 b1025 + x5825 >= 0 e2050: - 104 b1026 + x5826 <= 0 e2051: - 37 b1026 + x5826 >= 0 e2052: - 104 b1027 + x5827 <= 0 e2053: - 37 b1027 + x5827 >= 0 e2054: - 104 b1028 + x5828 <= 0 e2055: - 37 b1028 + x5828 >= 0 e2056: - 104 b1029 + x5829 <= 0 e2057: - 37 b1029 + x5829 >= 0 e2058: - 104 b1030 + x5830 <= 0 e2059: - 37 b1030 + x5830 >= 0 e2060: - 104 b1031 + x5831 <= 0 e2061: - 37 b1031 + x5831 >= 0 e2062: - 104 b1032 + x5832 <= 0 e2063: - 37 b1032 + x5832 >= 0 e2064: - 104 b1033 + x5833 <= 0 e2065: - 37 b1033 + x5833 >= 0 e2066: - 101 b1034 + x5834 <= 0 e2067: - 44 b1034 + x5834 >= 0 e2068: - 101 b1035 + x5835 <= 0 e2069: - 44 b1035 + x5835 >= 0 e2070: - 101 b1036 + x5836 <= 0 e2071: - 44 b1036 + x5836 >= 0 e2072: - 101 b1037 + x5837 <= 0 e2073: - 44 b1037 + x5837 >= 0 e2074: - 101 b1038 + x5838 <= 0 e2075: - 44 b1038 + x5838 >= 0 e2076: - 101 b1039 + x5839 <= 0 e2077: - 44 b1039 + x5839 >= 0 e2078: - 101 b1040 + x5840 <= 0 e2079: - 44 b1040 + x5840 >= 0 e2080: - 101 b1041 + x5841 <= 0 e2081: - 44 b1041 + x5841 >= 0 e2082: - 101 b1042 + x5842 <= 0 e2083: - 44 b1042 + x5842 >= 0 e2084: - 101 b1043 + x5843 <= 0 e2085: - 44 b1043 + x5843 >= 0 e2086: - 101 b1044 + x5844 <= 0 e2087: - 44 b1044 + x5844 >= 0 e2088: - 101 b1045 + x5845 <= 0 e2089: - 44 b1045 + x5845 >= 0 e2090: - 101 b1046 + x5846 <= 0 e2091: - 44 b1046 + x5846 >= 0 e2092: - 101 b1047 + x5847 <= 0 e2093: - 44 b1047 + x5847 >= 0 e2094: - 101 b1048 + x5848 <= 0 e2095: - 44 b1048 + x5848 >= 0 e2096: - 101 b1049 + x5849 <= 0 e2097: - 44 b1049 + x5849 >= 0 e2098: - 101 b1050 + x5850 <= 0 e2099: - 44 b1050 + x5850 >= 0 e2100: - 101 b1051 + x5851 <= 0 e2101: - 44 b1051 + x5851 >= 0 e2102: - 101 b1052 + x5852 <= 0 e2103: - 44 b1052 + x5852 >= 0 e2104: - 101 b1053 + x5853 <= 0 e2105: - 44 b1053 + x5853 >= 0 e2106: - 101 b1054 + x5854 <= 0 e2107: - 44 b1054 + x5854 >= 0 e2108: - 101 b1055 + x5855 <= 0 e2109: - 44 b1055 + x5855 >= 0 e2110: - 101 b1056 + x5856 <= 0 e2111: - 44 b1056 + x5856 >= 0 e2112: - 101 b1057 + x5857 <= 0 e2113: - 44 b1057 + x5857 >= 0 e2114: - 100 b1058 + x5858 <= 0 e2115: - 40 b1058 + x5858 >= 0 e2116: - 100 b1059 + x5859 <= 0 e2117: - 40 b1059 + x5859 >= 0 e2118: - 100 b1060 + x5860 <= 0 e2119: - 40 b1060 + x5860 >= 0 e2120: - 100 b1061 + x5861 <= 0 e2121: - 40 b1061 + x5861 >= 0 e2122: - 100 b1062 + x5862 <= 0 e2123: - 40 b1062 + x5862 >= 0 e2124: - 100 b1063 + x5863 <= 0 e2125: - 40 b1063 + x5863 >= 0 e2126: - 100 b1064 + x5864 <= 0 e2127: - 40 b1064 + x5864 >= 0 e2128: - 100 b1065 + x5865 <= 0 e2129: - 40 b1065 + x5865 >= 0 e2130: - 100 b1066 + x5866 <= 0 e2131: - 40 b1066 + x5866 >= 0 e2132: - 100 b1067 + x5867 <= 0 e2133: - 40 b1067 + x5867 >= 0 e2134: - 100 b1068 + x5868 <= 0 e2135: - 40 b1068 + x5868 >= 0 e2136: - 100 b1069 + x5869 <= 0 e2137: - 40 b1069 + x5869 >= 0 e2138: - 100 b1070 + x5870 <= 0 e2139: - 40 b1070 + x5870 >= 0 e2140: - 100 b1071 + x5871 <= 0 e2141: - 40 b1071 + x5871 >= 0 e2142: - 100 b1072 + x5872 <= 0 e2143: - 40 b1072 + x5872 >= 0 e2144: - 100 b1073 + x5873 <= 0 e2145: - 40 b1073 + x5873 >= 0 e2146: - 100 b1074 + x5874 <= 0 e2147: - 40 b1074 + x5874 >= 0 e2148: - 100 b1075 + x5875 <= 0 e2149: - 40 b1075 + x5875 >= 0 e2150: - 100 b1076 + x5876 <= 0 e2151: - 40 b1076 + x5876 >= 0 e2152: - 100 b1077 + x5877 <= 0 e2153: - 40 b1077 + x5877 >= 0 e2154: - 100 b1078 + x5878 <= 0 e2155: - 40 b1078 + x5878 >= 0 e2156: - 100 b1079 + x5879 <= 0 e2157: - 40 b1079 + x5879 >= 0 e2158: - 100 b1080 + x5880 <= 0 e2159: - 40 b1080 + x5880 >= 0 e2160: - 100 b1081 + x5881 <= 0 e2161: - 40 b1081 + x5881 >= 0 e2162: - 127 b1082 + x5882 <= 0 e2163: - 46 b1082 + x5882 >= 0 e2164: - 127 b1083 + x5883 <= 0 e2165: - 46 b1083 + x5883 >= 0 e2166: - 127 b1084 + x5884 <= 0 e2167: - 46 b1084 + x5884 >= 0 e2168: - 127 b1085 + x5885 <= 0 e2169: - 46 b1085 + x5885 >= 0 e2170: - 127 b1086 + x5886 <= 0 e2171: - 46 b1086 + x5886 >= 0 e2172: - 127 b1087 + x5887 <= 0 e2173: - 46 b1087 + x5887 >= 0 e2174: - 127 b1088 + x5888 <= 0 e2175: - 46 b1088 + x5888 >= 0 e2176: - 127 b1089 + x5889 <= 0 e2177: - 46 b1089 + x5889 >= 0 e2178: - 127 b1090 + x5890 <= 0 e2179: - 46 b1090 + x5890 >= 0 e2180: - 127 b1091 + x5891 <= 0 e2181: - 46 b1091 + x5891 >= 0 e2182: - 127 b1092 + x5892 <= 0 e2183: - 46 b1092 + x5892 >= 0 e2184: - 127 b1093 + x5893 <= 0 e2185: - 46 b1093 + x5893 >= 0 e2186: - 127 b1094 + x5894 <= 0 e2187: - 46 b1094 + x5894 >= 0 e2188: - 127 b1095 + x5895 <= 0 e2189: - 46 b1095 + x5895 >= 0 e2190: - 127 b1096 + x5896 <= 0 e2191: - 46 b1096 + x5896 >= 0 e2192: - 127 b1097 + x5897 <= 0 e2193: - 46 b1097 + x5897 >= 0 e2194: - 127 b1098 + x5898 <= 0 e2195: - 46 b1098 + x5898 >= 0 e2196: - 127 b1099 + x5899 <= 0 e2197: - 46 b1099 + x5899 >= 0 e2198: - 127 b1100 + x5900 <= 0 e2199: - 46 b1100 + x5900 >= 0 e2200: - 127 b1101 + x5901 <= 0 e2201: - 46 b1101 + x5901 >= 0 e2202: - 127 b1102 + x5902 <= 0 e2203: - 46 b1102 + x5902 >= 0 e2204: - 127 b1103 + x5903 <= 0 e2205: - 46 b1103 + x5903 >= 0 e2206: - 127 b1104 + x5904 <= 0 e2207: - 46 b1104 + x5904 >= 0 e2208: - 127 b1105 + x5905 <= 0 e2209: - 46 b1105 + x5905 >= 0 e2210: - 119 b1106 + x5906 <= 0 e2211: - 49 b1106 + x5906 >= 0 e2212: - 119 b1107 + x5907 <= 0 e2213: - 49 b1107 + x5907 >= 0 e2214: - 119 b1108 + x5908 <= 0 e2215: - 49 b1108 + x5908 >= 0 e2216: - 119 b1109 + x5909 <= 0 e2217: - 49 b1109 + x5909 >= 0 e2218: - 119 b1110 + x5910 <= 0 e2219: - 49 b1110 + x5910 >= 0 e2220: - 119 b1111 + x5911 <= 0 e2221: - 49 b1111 + x5911 >= 0 e2222: - 119 b1112 + x5912 <= 0 e2223: - 49 b1112 + x5912 >= 0 e2224: - 119 b1113 + x5913 <= 0 e2225: - 49 b1113 + x5913 >= 0 e2226: - 119 b1114 + x5914 <= 0 e2227: - 49 b1114 + x5914 >= 0 e2228: - 119 b1115 + x5915 <= 0 e2229: - 49 b1115 + x5915 >= 0 e2230: - 119 b1116 + x5916 <= 0 e2231: - 49 b1116 + x5916 >= 0 e2232: - 119 b1117 + x5917 <= 0 e2233: - 49 b1117 + x5917 >= 0 e2234: - 119 b1118 + x5918 <= 0 e2235: - 49 b1118 + x5918 >= 0 e2236: - 119 b1119 + x5919 <= 0 e2237: - 49 b1119 + x5919 >= 0 e2238: - 119 b1120 + x5920 <= 0 e2239: - 49 b1120 + x5920 >= 0 e2240: - 119 b1121 + x5921 <= 0 e2241: - 49 b1121 + x5921 >= 0 e2242: - 119 b1122 + x5922 <= 0 e2243: - 49 b1122 + x5922 >= 0 e2244: - 119 b1123 + x5923 <= 0 e2245: - 49 b1123 + x5923 >= 0 e2246: - 119 b1124 + x5924 <= 0 e2247: - 49 b1124 + x5924 >= 0 e2248: - 119 b1125 + x5925 <= 0 e2249: - 49 b1125 + x5925 >= 0 e2250: - 119 b1126 + x5926 <= 0 e2251: - 49 b1126 + x5926 >= 0 e2252: - 119 b1127 + x5927 <= 0 e2253: - 49 b1127 + x5927 >= 0 e2254: - 119 b1128 + x5928 <= 0 e2255: - 49 b1128 + x5928 >= 0 e2256: - 119 b1129 + x5929 <= 0 e2257: - 49 b1129 + x5929 >= 0 e2258: - 105 b1130 + x5930 <= 0 e2259: - 33 b1130 + x5930 >= 0 e2260: - 105 b1131 + x5931 <= 0 e2261: - 33 b1131 + x5931 >= 0 e2262: - 105 b1132 + x5932 <= 0 e2263: - 33 b1132 + x5932 >= 0 e2264: - 105 b1133 + x5933 <= 0 e2265: - 33 b1133 + x5933 >= 0 e2266: - 105 b1134 + x5934 <= 0 e2267: - 33 b1134 + x5934 >= 0 e2268: - 105 b1135 + x5935 <= 0 e2269: - 33 b1135 + x5935 >= 0 e2270: - 105 b1136 + x5936 <= 0 e2271: - 33 b1136 + x5936 >= 0 e2272: - 105 b1137 + x5937 <= 0 e2273: - 33 b1137 + x5937 >= 0 e2274: - 105 b1138 + x5938 <= 0 e2275: - 33 b1138 + x5938 >= 0 e2276: - 105 b1139 + x5939 <= 0 e2277: - 33 b1139 + x5939 >= 0 e2278: - 105 b1140 + x5940 <= 0 e2279: - 33 b1140 + x5940 >= 0 e2280: - 105 b1141 + x5941 <= 0 e2281: - 33 b1141 + x5941 >= 0 e2282: - 105 b1142 + x5942 <= 0 e2283: - 33 b1142 + x5942 >= 0 e2284: - 105 b1143 + x5943 <= 0 e2285: - 33 b1143 + x5943 >= 0 e2286: - 105 b1144 + x5944 <= 0 e2287: - 33 b1144 + x5944 >= 0 e2288: - 105 b1145 + x5945 <= 0 e2289: - 33 b1145 + x5945 >= 0 e2290: - 105 b1146 + x5946 <= 0 e2291: - 33 b1146 + x5946 >= 0 e2292: - 105 b1147 + x5947 <= 0 e2293: - 33 b1147 + x5947 >= 0 e2294: - 105 b1148 + x5948 <= 0 e2295: - 33 b1148 + x5948 >= 0 e2296: - 105 b1149 + x5949 <= 0 e2297: - 33 b1149 + x5949 >= 0 e2298: - 105 b1150 + x5950 <= 0 e2299: - 33 b1150 + x5950 >= 0 e2300: - 105 b1151 + x5951 <= 0 e2301: - 33 b1151 + x5951 >= 0 e2302: - 105 b1152 + x5952 <= 0 e2303: - 33 b1152 + x5952 >= 0 e2304: - 105 b1153 + x5953 <= 0 e2305: - 33 b1153 + x5953 >= 0 e2306: - 130 b1154 + x5954 <= 0 e2307: - 42 b1154 + x5954 >= 0 e2308: - 130 b1155 + x5955 <= 0 e2309: - 42 b1155 + x5955 >= 0 e2310: - 130 b1156 + x5956 <= 0 e2311: - 42 b1156 + x5956 >= 0 e2312: - 130 b1157 + x5957 <= 0 e2313: - 42 b1157 + x5957 >= 0 e2314: - 130 b1158 + x5958 <= 0 e2315: - 42 b1158 + x5958 >= 0 e2316: - 130 b1159 + x5959 <= 0 e2317: - 42 b1159 + x5959 >= 0 e2318: - 130 b1160 + x5960 <= 0 e2319: - 42 b1160 + x5960 >= 0 e2320: - 130 b1161 + x5961 <= 0 e2321: - 42 b1161 + x5961 >= 0 e2322: - 130 b1162 + x5962 <= 0 e2323: - 42 b1162 + x5962 >= 0 e2324: - 130 b1163 + x5963 <= 0 e2325: - 42 b1163 + x5963 >= 0 e2326: - 130 b1164 + x5964 <= 0 e2327: - 42 b1164 + x5964 >= 0 e2328: - 130 b1165 + x5965 <= 0 e2329: - 42 b1165 + x5965 >= 0 e2330: - 130 b1166 + x5966 <= 0 e2331: - 42 b1166 + x5966 >= 0 e2332: - 130 b1167 + x5967 <= 0 e2333: - 42 b1167 + x5967 >= 0 e2334: - 130 b1168 + x5968 <= 0 e2335: - 42 b1168 + x5968 >= 0 e2336: - 130 b1169 + x5969 <= 0 e2337: - 42 b1169 + x5969 >= 0 e2338: - 130 b1170 + x5970 <= 0 e2339: - 42 b1170 + x5970 >= 0 e2340: - 130 b1171 + x5971 <= 0 e2341: - 42 b1171 + x5971 >= 0 e2342: - 130 b1172 + x5972 <= 0 e2343: - 42 b1172 + x5972 >= 0 e2344: - 130 b1173 + x5973 <= 0 e2345: - 42 b1173 + x5973 >= 0 e2346: - 130 b1174 + x5974 <= 0 e2347: - 42 b1174 + x5974 >= 0 e2348: - 130 b1175 + x5975 <= 0 e2349: - 42 b1175 + x5975 >= 0 e2350: - 130 b1176 + x5976 <= 0 e2351: - 42 b1176 + x5976 >= 0 e2352: - 130 b1177 + x5977 <= 0 e2353: - 42 b1177 + x5977 >= 0 e2354: - 103 b1178 + x5978 <= 0 e2355: - 40 b1178 + x5978 >= 0 e2356: - 103 b1179 + x5979 <= 0 e2357: - 40 b1179 + x5979 >= 0 e2358: - 103 b1180 + x5980 <= 0 e2359: - 40 b1180 + x5980 >= 0 e2360: - 103 b1181 + x5981 <= 0 e2361: - 40 b1181 + x5981 >= 0 e2362: - 103 b1182 + x5982 <= 0 e2363: - 40 b1182 + x5982 >= 0 e2364: - 103 b1183 + x5983 <= 0 e2365: - 40 b1183 + x5983 >= 0 e2366: - 103 b1184 + x5984 <= 0 e2367: - 40 b1184 + x5984 >= 0 e2368: - 103 b1185 + x5985 <= 0 e2369: - 40 b1185 + x5985 >= 0 e2370: - 103 b1186 + x5986 <= 0 e2371: - 40 b1186 + x5986 >= 0 e2372: - 103 b1187 + x5987 <= 0 e2373: - 40 b1187 + x5987 >= 0 e2374: - 103 b1188 + x5988 <= 0 e2375: - 40 b1188 + x5988 >= 0 e2376: - 103 b1189 + x5989 <= 0 e2377: - 40 b1189 + x5989 >= 0 e2378: - 103 b1190 + x5990 <= 0 e2379: - 40 b1190 + x5990 >= 0 e2380: - 103 b1191 + x5991 <= 0 e2381: - 40 b1191 + x5991 >= 0 e2382: - 103 b1192 + x5992 <= 0 e2383: - 40 b1192 + x5992 >= 0 e2384: - 103 b1193 + x5993 <= 0 e2385: - 40 b1193 + x5993 >= 0 e2386: - 103 b1194 + x5994 <= 0 e2387: - 40 b1194 + x5994 >= 0 e2388: - 103 b1195 + x5995 <= 0 e2389: - 40 b1195 + x5995 >= 0 e2390: - 103 b1196 + x5996 <= 0 e2391: - 40 b1196 + x5996 >= 0 e2392: - 103 b1197 + x5997 <= 0 e2393: - 40 b1197 + x5997 >= 0 e2394: - 103 b1198 + x5998 <= 0 e2395: - 40 b1198 + x5998 >= 0 e2396: - 103 b1199 + x5999 <= 0 e2397: - 40 b1199 + x5999 >= 0 e2398: - 103 b1200 + x6000 <= 0 e2399: - 40 b1200 + x6000 >= 0 e2400: - 103 b1201 + x6001 <= 0 e2401: - 40 b1201 + x6001 >= 0 e2402: - 119 b1202 + x6002 <= 0 e2403: - 40 b1202 + x6002 >= 0 e2404: - 119 b1203 + x6003 <= 0 e2405: - 40 b1203 + x6003 >= 0 e2406: - 119 b1204 + x6004 <= 0 e2407: - 40 b1204 + x6004 >= 0 e2408: - 119 b1205 + x6005 <= 0 e2409: - 40 b1205 + x6005 >= 0 e2410: - 119 b1206 + x6006 <= 0 e2411: - 40 b1206 + x6006 >= 0 e2412: - 119 b1207 + x6007 <= 0 e2413: - 40 b1207 + x6007 >= 0 e2414: - 119 b1208 + x6008 <= 0 e2415: - 40 b1208 + x6008 >= 0 e2416: - 119 b1209 + x6009 <= 0 e2417: - 40 b1209 + x6009 >= 0 e2418: - 119 b1210 + x6010 <= 0 e2419: - 40 b1210 + x6010 >= 0 e2420: - 119 b1211 + x6011 <= 0 e2421: - 40 b1211 + x6011 >= 0 e2422: - 119 b1212 + x6012 <= 0 e2423: - 40 b1212 + x6012 >= 0 e2424: - 119 b1213 + x6013 <= 0 e2425: - 40 b1213 + x6013 >= 0 e2426: - 119 b1214 + x6014 <= 0 e2427: - 40 b1214 + x6014 >= 0 e2428: - 119 b1215 + x6015 <= 0 e2429: - 40 b1215 + x6015 >= 0 e2430: - 119 b1216 + x6016 <= 0 e2431: - 40 b1216 + x6016 >= 0 e2432: - 119 b1217 + x6017 <= 0 e2433: - 40 b1217 + x6017 >= 0 e2434: - 119 b1218 + x6018 <= 0 e2435: - 40 b1218 + x6018 >= 0 e2436: - 119 b1219 + x6019 <= 0 e2437: - 40 b1219 + x6019 >= 0 e2438: - 119 b1220 + x6020 <= 0 e2439: - 40 b1220 + x6020 >= 0 e2440: - 119 b1221 + x6021 <= 0 e2441: - 40 b1221 + x6021 >= 0 e2442: - 119 b1222 + x6022 <= 0 e2443: - 40 b1222 + x6022 >= 0 e2444: - 119 b1223 + x6023 <= 0 e2445: - 40 b1223 + x6023 >= 0 e2446: - 119 b1224 + x6024 <= 0 e2447: - 40 b1224 + x6024 >= 0 e2448: - 119 b1225 + x6025 <= 0 e2449: - 40 b1225 + x6025 >= 0 e2450: - 105 b1226 + x6026 <= 0 e2451: - 41 b1226 + x6026 >= 0 e2452: - 105 b1227 + x6027 <= 0 e2453: - 41 b1227 + x6027 >= 0 e2454: - 105 b1228 + x6028 <= 0 e2455: - 41 b1228 + x6028 >= 0 e2456: - 105 b1229 + x6029 <= 0 e2457: - 41 b1229 + x6029 >= 0 e2458: - 105 b1230 + x6030 <= 0 e2459: - 41 b1230 + x6030 >= 0 e2460: - 105 b1231 + x6031 <= 0 e2461: - 41 b1231 + x6031 >= 0 e2462: - 105 b1232 + x6032 <= 0 e2463: - 41 b1232 + x6032 >= 0 e2464: - 105 b1233 + x6033 <= 0 e2465: - 41 b1233 + x6033 >= 0 e2466: - 105 b1234 + x6034 <= 0 e2467: - 41 b1234 + x6034 >= 0 e2468: - 105 b1235 + x6035 <= 0 e2469: - 41 b1235 + x6035 >= 0 e2470: - 105 b1236 + x6036 <= 0 e2471: - 41 b1236 + x6036 >= 0 e2472: - 105 b1237 + x6037 <= 0 e2473: - 41 b1237 + x6037 >= 0 e2474: - 105 b1238 + x6038 <= 0 e2475: - 41 b1238 + x6038 >= 0 e2476: - 105 b1239 + x6039 <= 0 e2477: - 41 b1239 + x6039 >= 0 e2478: - 105 b1240 + x6040 <= 0 e2479: - 41 b1240 + x6040 >= 0 e2480: - 105 b1241 + x6041 <= 0 e2481: - 41 b1241 + x6041 >= 0 e2482: - 105 b1242 + x6042 <= 0 e2483: - 41 b1242 + x6042 >= 0 e2484: - 105 b1243 + x6043 <= 0 e2485: - 41 b1243 + x6043 >= 0 e2486: - 105 b1244 + x6044 <= 0 e2487: - 41 b1244 + x6044 >= 0 e2488: - 105 b1245 + x6045 <= 0 e2489: - 41 b1245 + x6045 >= 0 e2490: - 105 b1246 + x6046 <= 0 e2491: - 41 b1246 + x6046 >= 0 e2492: - 105 b1247 + x6047 <= 0 e2493: - 41 b1247 + x6047 >= 0 e2494: - 105 b1248 + x6048 <= 0 e2495: - 41 b1248 + x6048 >= 0 e2496: - 105 b1249 + x6049 <= 0 e2497: - 41 b1249 + x6049 >= 0 e2498: - 123 b1250 + x6050 <= 0 e2499: - 35 b1250 + x6050 >= 0 e2500: - 123 b1251 + x6051 <= 0 e2501: - 35 b1251 + x6051 >= 0 e2502: - 123 b1252 + x6052 <= 0 e2503: - 35 b1252 + x6052 >= 0 e2504: - 123 b1253 + x6053 <= 0 e2505: - 35 b1253 + x6053 >= 0 e2506: - 123 b1254 + x6054 <= 0 e2507: - 35 b1254 + x6054 >= 0 e2508: - 123 b1255 + x6055 <= 0 e2509: - 35 b1255 + x6055 >= 0 e2510: - 123 b1256 + x6056 <= 0 e2511: - 35 b1256 + x6056 >= 0 e2512: - 123 b1257 + x6057 <= 0 e2513: - 35 b1257 + x6057 >= 0 e2514: - 123 b1258 + x6058 <= 0 e2515: - 35 b1258 + x6058 >= 0 e2516: - 123 b1259 + x6059 <= 0 e2517: - 35 b1259 + x6059 >= 0 e2518: - 123 b1260 + x6060 <= 0 e2519: - 35 b1260 + x6060 >= 0 e2520: - 123 b1261 + x6061 <= 0 e2521: - 35 b1261 + x6061 >= 0 e2522: - 123 b1262 + x6062 <= 0 e2523: - 35 b1262 + x6062 >= 0 e2524: - 123 b1263 + x6063 <= 0 e2525: - 35 b1263 + x6063 >= 0 e2526: - 123 b1264 + x6064 <= 0 e2527: - 35 b1264 + x6064 >= 0 e2528: - 123 b1265 + x6065 <= 0 e2529: - 35 b1265 + x6065 >= 0 e2530: - 123 b1266 + x6066 <= 0 e2531: - 35 b1266 + x6066 >= 0 e2532: - 123 b1267 + x6067 <= 0 e2533: - 35 b1267 + x6067 >= 0 e2534: - 123 b1268 + x6068 <= 0 e2535: - 35 b1268 + x6068 >= 0 e2536: - 123 b1269 + x6069 <= 0 e2537: - 35 b1269 + x6069 >= 0 e2538: - 123 b1270 + x6070 <= 0 e2539: - 35 b1270 + x6070 >= 0 e2540: - 123 b1271 + x6071 <= 0 e2541: - 35 b1271 + x6071 >= 0 e2542: - 123 b1272 + x6072 <= 0 e2543: - 35 b1272 + x6072 >= 0 e2544: - 123 b1273 + x6073 <= 0 e2545: - 35 b1273 + x6073 >= 0 e2546: - 129 b1274 + x6074 <= 0 e2547: - 31 b1274 + x6074 >= 0 e2548: - 129 b1275 + x6075 <= 0 e2549: - 31 b1275 + x6075 >= 0 e2550: - 129 b1276 + x6076 <= 0 e2551: - 31 b1276 + x6076 >= 0 e2552: - 129 b1277 + x6077 <= 0 e2553: - 31 b1277 + x6077 >= 0 e2554: - 129 b1278 + x6078 <= 0 e2555: - 31 b1278 + x6078 >= 0 e2556: - 129 b1279 + x6079 <= 0 e2557: - 31 b1279 + x6079 >= 0 e2558: - 129 b1280 + x6080 <= 0 e2559: - 31 b1280 + x6080 >= 0 e2560: - 129 b1281 + x6081 <= 0 e2561: - 31 b1281 + x6081 >= 0 e2562: - 129 b1282 + x6082 <= 0 e2563: - 31 b1282 + x6082 >= 0 e2564: - 129 b1283 + x6083 <= 0 e2565: - 31 b1283 + x6083 >= 0 e2566: - 129 b1284 + x6084 <= 0 e2567: - 31 b1284 + x6084 >= 0 e2568: - 129 b1285 + x6085 <= 0 e2569: - 31 b1285 + x6085 >= 0 e2570: - 129 b1286 + x6086 <= 0 e2571: - 31 b1286 + x6086 >= 0 e2572: - 129 b1287 + x6087 <= 0 e2573: - 31 b1287 + x6087 >= 0 e2574: - 129 b1288 + x6088 <= 0 e2575: - 31 b1288 + x6088 >= 0 e2576: - 129 b1289 + x6089 <= 0 e2577: - 31 b1289 + x6089 >= 0 e2578: - 129 b1290 + x6090 <= 0 e2579: - 31 b1290 + x6090 >= 0 e2580: - 129 b1291 + x6091 <= 0 e2581: - 31 b1291 + x6091 >= 0 e2582: - 129 b1292 + x6092 <= 0 e2583: - 31 b1292 + x6092 >= 0 e2584: - 129 b1293 + x6093 <= 0 e2585: - 31 b1293 + x6093 >= 0 e2586: - 129 b1294 + x6094 <= 0 e2587: - 31 b1294 + x6094 >= 0 e2588: - 129 b1295 + x6095 <= 0 e2589: - 31 b1295 + x6095 >= 0 e2590: - 129 b1296 + x6096 <= 0 e2591: - 31 b1296 + x6096 >= 0 e2592: - 129 b1297 + x6097 <= 0 e2593: - 31 b1297 + x6097 >= 0 e2594: - 110 b1298 + x6098 <= 0 e2595: - 50 b1298 + x6098 >= 0 e2596: - 110 b1299 + x6099 <= 0 e2597: - 50 b1299 + x6099 >= 0 e2598: - 110 b1300 + x6100 <= 0 e2599: - 50 b1300 + x6100 >= 0 e2600: - 110 b1301 + x6101 <= 0 e2601: - 50 b1301 + x6101 >= 0 e2602: - 110 b1302 + x6102 <= 0 e2603: - 50 b1302 + x6102 >= 0 e2604: - 110 b1303 + x6103 <= 0 e2605: - 50 b1303 + x6103 >= 0 e2606: - 110 b1304 + x6104 <= 0 e2607: - 50 b1304 + x6104 >= 0 e2608: - 110 b1305 + x6105 <= 0 e2609: - 50 b1305 + x6105 >= 0 e2610: - 110 b1306 + x6106 <= 0 e2611: - 50 b1306 + x6106 >= 0 e2612: - 110 b1307 + x6107 <= 0 e2613: - 50 b1307 + x6107 >= 0 e2614: - 110 b1308 + x6108 <= 0 e2615: - 50 b1308 + x6108 >= 0 e2616: - 110 b1309 + x6109 <= 0 e2617: - 50 b1309 + x6109 >= 0 e2618: - 110 b1310 + x6110 <= 0 e2619: - 50 b1310 + x6110 >= 0 e2620: - 110 b1311 + x6111 <= 0 e2621: - 50 b1311 + x6111 >= 0 e2622: - 110 b1312 + x6112 <= 0 e2623: - 50 b1312 + x6112 >= 0 e2624: - 110 b1313 + x6113 <= 0 e2625: - 50 b1313 + x6113 >= 0 e2626: - 110 b1314 + x6114 <= 0 e2627: - 50 b1314 + x6114 >= 0 e2628: - 110 b1315 + x6115 <= 0 e2629: - 50 b1315 + x6115 >= 0 e2630: - 110 b1316 + x6116 <= 0 e2631: - 50 b1316 + x6116 >= 0 e2632: - 110 b1317 + x6117 <= 0 e2633: - 50 b1317 + x6117 >= 0 e2634: - 110 b1318 + x6118 <= 0 e2635: - 50 b1318 + x6118 >= 0 e2636: - 110 b1319 + x6119 <= 0 e2637: - 50 b1319 + x6119 >= 0 e2638: - 110 b1320 + x6120 <= 0 e2639: - 50 b1320 + x6120 >= 0 e2640: - 110 b1321 + x6121 <= 0 e2641: - 50 b1321 + x6121 >= 0 e2642: - 126 b1322 + x6122 <= 0 e2643: - 31 b1322 + x6122 >= 0 e2644: - 126 b1323 + x6123 <= 0 e2645: - 31 b1323 + x6123 >= 0 e2646: - 126 b1324 + x6124 <= 0 e2647: - 31 b1324 + x6124 >= 0 e2648: - 126 b1325 + x6125 <= 0 e2649: - 31 b1325 + x6125 >= 0 e2650: - 126 b1326 + x6126 <= 0 e2651: - 31 b1326 + x6126 >= 0 e2652: - 126 b1327 + x6127 <= 0 e2653: - 31 b1327 + x6127 >= 0 e2654: - 126 b1328 + x6128 <= 0 e2655: - 31 b1328 + x6128 >= 0 e2656: - 126 b1329 + x6129 <= 0 e2657: - 31 b1329 + x6129 >= 0 e2658: - 126 b1330 + x6130 <= 0 e2659: - 31 b1330 + x6130 >= 0 e2660: - 126 b1331 + x6131 <= 0 e2661: - 31 b1331 + x6131 >= 0 e2662: - 126 b1332 + x6132 <= 0 e2663: - 31 b1332 + x6132 >= 0 e2664: - 126 b1333 + x6133 <= 0 e2665: - 31 b1333 + x6133 >= 0 e2666: - 126 b1334 + x6134 <= 0 e2667: - 31 b1334 + x6134 >= 0 e2668: - 126 b1335 + x6135 <= 0 e2669: - 31 b1335 + x6135 >= 0 e2670: - 126 b1336 + x6136 <= 0 e2671: - 31 b1336 + x6136 >= 0 e2672: - 126 b1337 + x6137 <= 0 e2673: - 31 b1337 + x6137 >= 0 e2674: - 126 b1338 + x6138 <= 0 e2675: - 31 b1338 + x6138 >= 0 e2676: - 126 b1339 + x6139 <= 0 e2677: - 31 b1339 + x6139 >= 0 e2678: - 126 b1340 + x6140 <= 0 e2679: - 31 b1340 + x6140 >= 0 e2680: - 126 b1341 + x6141 <= 0 e2681: - 31 b1341 + x6141 >= 0 e2682: - 126 b1342 + x6142 <= 0 e2683: - 31 b1342 + x6142 >= 0 e2684: - 126 b1343 + x6143 <= 0 e2685: - 31 b1343 + x6143 >= 0 e2686: - 126 b1344 + x6144 <= 0 e2687: - 31 b1344 + x6144 >= 0 e2688: - 126 b1345 + x6145 <= 0 e2689: - 31 b1345 + x6145 >= 0 e2690: - 111 b1346 + x6146 <= 0 e2691: - 43 b1346 + x6146 >= 0 e2692: - 111 b1347 + x6147 <= 0 e2693: - 43 b1347 + x6147 >= 0 e2694: - 111 b1348 + x6148 <= 0 e2695: - 43 b1348 + x6148 >= 0 e2696: - 111 b1349 + x6149 <= 0 e2697: - 43 b1349 + x6149 >= 0 e2698: - 111 b1350 + x6150 <= 0 e2699: - 43 b1350 + x6150 >= 0 e2700: - 111 b1351 + x6151 <= 0 e2701: - 43 b1351 + x6151 >= 0 e2702: - 111 b1352 + x6152 <= 0 e2703: - 43 b1352 + x6152 >= 0 e2704: - 111 b1353 + x6153 <= 0 e2705: - 43 b1353 + x6153 >= 0 e2706: - 111 b1354 + x6154 <= 0 e2707: - 43 b1354 + x6154 >= 0 e2708: - 111 b1355 + x6155 <= 0 e2709: - 43 b1355 + x6155 >= 0 e2710: - 111 b1356 + x6156 <= 0 e2711: - 43 b1356 + x6156 >= 0 e2712: - 111 b1357 + x6157 <= 0 e2713: - 43 b1357 + x6157 >= 0 e2714: - 111 b1358 + x6158 <= 0 e2715: - 43 b1358 + x6158 >= 0 e2716: - 111 b1359 + x6159 <= 0 e2717: - 43 b1359 + x6159 >= 0 e2718: - 111 b1360 + x6160 <= 0 e2719: - 43 b1360 + x6160 >= 0 e2720: - 111 b1361 + x6161 <= 0 e2721: - 43 b1361 + x6161 >= 0 e2722: - 111 b1362 + x6162 <= 0 e2723: - 43 b1362 + x6162 >= 0 e2724: - 111 b1363 + x6163 <= 0 e2725: - 43 b1363 + x6163 >= 0 e2726: - 111 b1364 + x6164 <= 0 e2727: - 43 b1364 + x6164 >= 0 e2728: - 111 b1365 + x6165 <= 0 e2729: - 43 b1365 + x6165 >= 0 e2730: - 111 b1366 + x6166 <= 0 e2731: - 43 b1366 + x6166 >= 0 e2732: - 111 b1367 + x6167 <= 0 e2733: - 43 b1367 + x6167 >= 0 e2734: - 111 b1368 + x6168 <= 0 e2735: - 43 b1368 + x6168 >= 0 e2736: - 111 b1369 + x6169 <= 0 e2737: - 43 b1369 + x6169 >= 0 e2738: - 112 b1370 + x6170 <= 0 e2739: - 45 b1370 + x6170 >= 0 e2740: - 112 b1371 + x6171 <= 0 e2741: - 45 b1371 + x6171 >= 0 e2742: - 112 b1372 + x6172 <= 0 e2743: - 45 b1372 + x6172 >= 0 e2744: - 112 b1373 + x6173 <= 0 e2745: - 45 b1373 + x6173 >= 0 e2746: - 112 b1374 + x6174 <= 0 e2747: - 45 b1374 + x6174 >= 0 e2748: - 112 b1375 + x6175 <= 0 e2749: - 45 b1375 + x6175 >= 0 e2750: - 112 b1376 + x6176 <= 0 e2751: - 45 b1376 + x6176 >= 0 e2752: - 112 b1377 + x6177 <= 0 e2753: - 45 b1377 + x6177 >= 0 e2754: - 112 b1378 + x6178 <= 0 e2755: - 45 b1378 + x6178 >= 0 e2756: - 112 b1379 + x6179 <= 0 e2757: - 45 b1379 + x6179 >= 0 e2758: - 112 b1380 + x6180 <= 0 e2759: - 45 b1380 + x6180 >= 0 e2760: - 112 b1381 + x6181 <= 0 e2761: - 45 b1381 + x6181 >= 0 e2762: - 112 b1382 + x6182 <= 0 e2763: - 45 b1382 + x6182 >= 0 e2764: - 112 b1383 + x6183 <= 0 e2765: - 45 b1383 + x6183 >= 0 e2766: - 112 b1384 + x6184 <= 0 e2767: - 45 b1384 + x6184 >= 0 e2768: - 112 b1385 + x6185 <= 0 e2769: - 45 b1385 + x6185 >= 0 e2770: - 112 b1386 + x6186 <= 0 e2771: - 45 b1386 + x6186 >= 0 e2772: - 112 b1387 + x6187 <= 0 e2773: - 45 b1387 + x6187 >= 0 e2774: - 112 b1388 + x6188 <= 0 e2775: - 45 b1388 + x6188 >= 0 e2776: - 112 b1389 + x6189 <= 0 e2777: - 45 b1389 + x6189 >= 0 e2778: - 112 b1390 + x6190 <= 0 e2779: - 45 b1390 + x6190 >= 0 e2780: - 112 b1391 + x6191 <= 0 e2781: - 45 b1391 + x6191 >= 0 e2782: - 112 b1392 + x6192 <= 0 e2783: - 45 b1392 + x6192 >= 0 e2784: - 112 b1393 + x6193 <= 0 e2785: - 45 b1393 + x6193 >= 0 e2786: - 110 b1394 + x6194 <= 0 e2787: - 33 b1394 + x6194 >= 0 e2788: - 110 b1395 + x6195 <= 0 e2789: - 33 b1395 + x6195 >= 0 e2790: - 110 b1396 + x6196 <= 0 e2791: - 33 b1396 + x6196 >= 0 e2792: - 110 b1397 + x6197 <= 0 e2793: - 33 b1397 + x6197 >= 0 e2794: - 110 b1398 + x6198 <= 0 e2795: - 33 b1398 + x6198 >= 0 e2796: - 110 b1399 + x6199 <= 0 e2797: - 33 b1399 + x6199 >= 0 e2798: - 110 b1400 + x6200 <= 0 e2799: - 33 b1400 + x6200 >= 0 e2800: - 110 b1401 + x6201 <= 0 e2801: - 33 b1401 + x6201 >= 0 e2802: - 110 b1402 + x6202 <= 0 e2803: - 33 b1402 + x6202 >= 0 e2804: - 110 b1403 + x6203 <= 0 e2805: - 33 b1403 + x6203 >= 0 e2806: - 110 b1404 + x6204 <= 0 e2807: - 33 b1404 + x6204 >= 0 e2808: - 110 b1405 + x6205 <= 0 e2809: - 33 b1405 + x6205 >= 0 e2810: - 110 b1406 + x6206 <= 0 e2811: - 33 b1406 + x6206 >= 0 e2812: - 110 b1407 + x6207 <= 0 e2813: - 33 b1407 + x6207 >= 0 e2814: - 110 b1408 + x6208 <= 0 e2815: - 33 b1408 + x6208 >= 0 e2816: - 110 b1409 + x6209 <= 0 e2817: - 33 b1409 + x6209 >= 0 e2818: - 110 b1410 + x6210 <= 0 e2819: - 33 b1410 + x6210 >= 0 e2820: - 110 b1411 + x6211 <= 0 e2821: - 33 b1411 + x6211 >= 0 e2822: - 110 b1412 + x6212 <= 0 e2823: - 33 b1412 + x6212 >= 0 e2824: - 110 b1413 + x6213 <= 0 e2825: - 33 b1413 + x6213 >= 0 e2826: - 110 b1414 + x6214 <= 0 e2827: - 33 b1414 + x6214 >= 0 e2828: - 110 b1415 + x6215 <= 0 e2829: - 33 b1415 + x6215 >= 0 e2830: - 110 b1416 + x6216 <= 0 e2831: - 33 b1416 + x6216 >= 0 e2832: - 110 b1417 + x6217 <= 0 e2833: - 33 b1417 + x6217 >= 0 e2834: - 120 b1418 + x6218 <= 0 e2835: - 38 b1418 + x6218 >= 0 e2836: - 120 b1419 + x6219 <= 0 e2837: - 38 b1419 + x6219 >= 0 e2838: - 120 b1420 + x6220 <= 0 e2839: - 38 b1420 + x6220 >= 0 e2840: - 120 b1421 + x6221 <= 0 e2841: - 38 b1421 + x6221 >= 0 e2842: - 120 b1422 + x6222 <= 0 e2843: - 38 b1422 + x6222 >= 0 e2844: - 120 b1423 + x6223 <= 0 e2845: - 38 b1423 + x6223 >= 0 e2846: - 120 b1424 + x6224 <= 0 e2847: - 38 b1424 + x6224 >= 0 e2848: - 120 b1425 + x6225 <= 0 e2849: - 38 b1425 + x6225 >= 0 e2850: - 120 b1426 + x6226 <= 0 e2851: - 38 b1426 + x6226 >= 0 e2852: - 120 b1427 + x6227 <= 0 e2853: - 38 b1427 + x6227 >= 0 e2854: - 120 b1428 + x6228 <= 0 e2855: - 38 b1428 + x6228 >= 0 e2856: - 120 b1429 + x6229 <= 0 e2857: - 38 b1429 + x6229 >= 0 e2858: - 120 b1430 + x6230 <= 0 e2859: - 38 b1430 + x6230 >= 0 e2860: - 120 b1431 + x6231 <= 0 e2861: - 38 b1431 + x6231 >= 0 e2862: - 120 b1432 + x6232 <= 0 e2863: - 38 b1432 + x6232 >= 0 e2864: - 120 b1433 + x6233 <= 0 e2865: - 38 b1433 + x6233 >= 0 e2866: - 120 b1434 + x6234 <= 0 e2867: - 38 b1434 + x6234 >= 0 e2868: - 120 b1435 + x6235 <= 0 e2869: - 38 b1435 + x6235 >= 0 e2870: - 120 b1436 + x6236 <= 0 e2871: - 38 b1436 + x6236 >= 0 e2872: - 120 b1437 + x6237 <= 0 e2873: - 38 b1437 + x6237 >= 0 e2874: - 120 b1438 + x6238 <= 0 e2875: - 38 b1438 + x6238 >= 0 e2876: - 120 b1439 + x6239 <= 0 e2877: - 38 b1439 + x6239 >= 0 e2878: - 120 b1440 + x6240 <= 0 e2879: - 38 b1440 + x6240 >= 0 e2880: - 120 b1441 + x6241 <= 0 e2881: - 38 b1441 + x6241 >= 0 e2882: - 107 b1442 + x6242 <= 0 e2883: - 42 b1442 + x6242 >= 0 e2884: - 107 b1443 + x6243 <= 0 e2885: - 42 b1443 + x6243 >= 0 e2886: - 107 b1444 + x6244 <= 0 e2887: - 42 b1444 + x6244 >= 0 e2888: - 107 b1445 + x6245 <= 0 e2889: - 42 b1445 + x6245 >= 0 e2890: - 107 b1446 + x6246 <= 0 e2891: - 42 b1446 + x6246 >= 0 e2892: - 107 b1447 + x6247 <= 0 e2893: - 42 b1447 + x6247 >= 0 e2894: - 107 b1448 + x6248 <= 0 e2895: - 42 b1448 + x6248 >= 0 e2896: - 107 b1449 + x6249 <= 0 e2897: - 42 b1449 + x6249 >= 0 e2898: - 107 b1450 + x6250 <= 0 e2899: - 42 b1450 + x6250 >= 0 e2900: - 107 b1451 + x6251 <= 0 e2901: - 42 b1451 + x6251 >= 0 e2902: - 107 b1452 + x6252 <= 0 e2903: - 42 b1452 + x6252 >= 0 e2904: - 107 b1453 + x6253 <= 0 e2905: - 42 b1453 + x6253 >= 0 e2906: - 107 b1454 + x6254 <= 0 e2907: - 42 b1454 + x6254 >= 0 e2908: - 107 b1455 + x6255 <= 0 e2909: - 42 b1455 + x6255 >= 0 e2910: - 107 b1456 + x6256 <= 0 e2911: - 42 b1456 + x6256 >= 0 e2912: - 107 b1457 + x6257 <= 0 e2913: - 42 b1457 + x6257 >= 0 e2914: - 107 b1458 + x6258 <= 0 e2915: - 42 b1458 + x6258 >= 0 e2916: - 107 b1459 + x6259 <= 0 e2917: - 42 b1459 + x6259 >= 0 e2918: - 107 b1460 + x6260 <= 0 e2919: - 42 b1460 + x6260 >= 0 e2920: - 107 b1461 + x6261 <= 0 e2921: - 42 b1461 + x6261 >= 0 e2922: - 107 b1462 + x6262 <= 0 e2923: - 42 b1462 + x6262 >= 0 e2924: - 107 b1463 + x6263 <= 0 e2925: - 42 b1463 + x6263 >= 0 e2926: - 107 b1464 + x6264 <= 0 e2927: - 42 b1464 + x6264 >= 0 e2928: - 107 b1465 + x6265 <= 0 e2929: - 42 b1465 + x6265 >= 0 e2930: - 123 b1466 + x6266 <= 0 e2931: - 40 b1466 + x6266 >= 0 e2932: - 123 b1467 + x6267 <= 0 e2933: - 40 b1467 + x6267 >= 0 e2934: - 123 b1468 + x6268 <= 0 e2935: - 40 b1468 + x6268 >= 0 e2936: - 123 b1469 + x6269 <= 0 e2937: - 40 b1469 + x6269 >= 0 e2938: - 123 b1470 + x6270 <= 0 e2939: - 40 b1470 + x6270 >= 0 e2940: - 123 b1471 + x6271 <= 0 e2941: - 40 b1471 + x6271 >= 0 e2942: - 123 b1472 + x6272 <= 0 e2943: - 40 b1472 + x6272 >= 0 e2944: - 123 b1473 + x6273 <= 0 e2945: - 40 b1473 + x6273 >= 0 e2946: - 123 b1474 + x6274 <= 0 e2947: - 40 b1474 + x6274 >= 0 e2948: - 123 b1475 + x6275 <= 0 e2949: - 40 b1475 + x6275 >= 0 e2950: - 123 b1476 + x6276 <= 0 e2951: - 40 b1476 + x6276 >= 0 e2952: - 123 b1477 + x6277 <= 0 e2953: - 40 b1477 + x6277 >= 0 e2954: - 123 b1478 + x6278 <= 0 e2955: - 40 b1478 + x6278 >= 0 e2956: - 123 b1479 + x6279 <= 0 e2957: - 40 b1479 + x6279 >= 0 e2958: - 123 b1480 + x6280 <= 0 e2959: - 40 b1480 + x6280 >= 0 e2960: - 123 b1481 + x6281 <= 0 e2961: - 40 b1481 + x6281 >= 0 e2962: - 123 b1482 + x6282 <= 0 e2963: - 40 b1482 + x6282 >= 0 e2964: - 123 b1483 + x6283 <= 0 e2965: - 40 b1483 + x6283 >= 0 e2966: - 123 b1484 + x6284 <= 0 e2967: - 40 b1484 + x6284 >= 0 e2968: - 123 b1485 + x6285 <= 0 e2969: - 40 b1485 + x6285 >= 0 e2970: - 123 b1486 + x6286 <= 0 e2971: - 40 b1486 + x6286 >= 0 e2972: - 123 b1487 + x6287 <= 0 e2973: - 40 b1487 + x6287 >= 0 e2974: - 123 b1488 + x6288 <= 0 e2975: - 40 b1488 + x6288 >= 0 e2976: - 123 b1489 + x6289 <= 0 e2977: - 40 b1489 + x6289 >= 0 e2978: - 113 b1490 + x6290 <= 0 e2979: - 33 b1490 + x6290 >= 0 e2980: - 113 b1491 + x6291 <= 0 e2981: - 33 b1491 + x6291 >= 0 e2982: - 113 b1492 + x6292 <= 0 e2983: - 33 b1492 + x6292 >= 0 e2984: - 113 b1493 + x6293 <= 0 e2985: - 33 b1493 + x6293 >= 0 e2986: - 113 b1494 + x6294 <= 0 e2987: - 33 b1494 + x6294 >= 0 e2988: - 113 b1495 + x6295 <= 0 e2989: - 33 b1495 + x6295 >= 0 e2990: - 113 b1496 + x6296 <= 0 e2991: - 33 b1496 + x6296 >= 0 e2992: - 113 b1497 + x6297 <= 0 e2993: - 33 b1497 + x6297 >= 0 e2994: - 113 b1498 + x6298 <= 0 e2995: - 33 b1498 + x6298 >= 0 e2996: - 113 b1499 + x6299 <= 0 e2997: - 33 b1499 + x6299 >= 0 e2998: - 113 b1500 + x6300 <= 0 e2999: - 33 b1500 + x6300 >= 0 e3000: - 113 b1501 + x6301 <= 0 e3001: - 33 b1501 + x6301 >= 0 e3002: - 113 b1502 + x6302 <= 0 e3003: - 33 b1502 + x6302 >= 0 e3004: - 113 b1503 + x6303 <= 0 e3005: - 33 b1503 + x6303 >= 0 e3006: - 113 b1504 + x6304 <= 0 e3007: - 33 b1504 + x6304 >= 0 e3008: - 113 b1505 + x6305 <= 0 e3009: - 33 b1505 + x6305 >= 0 e3010: - 113 b1506 + x6306 <= 0 e3011: - 33 b1506 + x6306 >= 0 e3012: - 113 b1507 + x6307 <= 0 e3013: - 33 b1507 + x6307 >= 0 e3014: - 113 b1508 + x6308 <= 0 e3015: - 33 b1508 + x6308 >= 0 e3016: - 113 b1509 + x6309 <= 0 e3017: - 33 b1509 + x6309 >= 0 e3018: - 113 b1510 + x6310 <= 0 e3019: - 33 b1510 + x6310 >= 0 e3020: - 113 b1511 + x6311 <= 0 e3021: - 33 b1511 + x6311 >= 0 e3022: - 113 b1512 + x6312 <= 0 e3023: - 33 b1512 + x6312 >= 0 e3024: - 113 b1513 + x6313 <= 0 e3025: - 33 b1513 + x6313 >= 0 e3026: - 114 b1514 + x6314 <= 0 e3027: - 48 b1514 + x6314 >= 0 e3028: - 114 b1515 + x6315 <= 0 e3029: - 48 b1515 + x6315 >= 0 e3030: - 114 b1516 + x6316 <= 0 e3031: - 48 b1516 + x6316 >= 0 e3032: - 114 b1517 + x6317 <= 0 e3033: - 48 b1517 + x6317 >= 0 e3034: - 114 b1518 + x6318 <= 0 e3035: - 48 b1518 + x6318 >= 0 e3036: - 114 b1519 + x6319 <= 0 e3037: - 48 b1519 + x6319 >= 0 e3038: - 114 b1520 + x6320 <= 0 e3039: - 48 b1520 + x6320 >= 0 e3040: - 114 b1521 + x6321 <= 0 e3041: - 48 b1521 + x6321 >= 0 e3042: - 114 b1522 + x6322 <= 0 e3043: - 48 b1522 + x6322 >= 0 e3044: - 114 b1523 + x6323 <= 0 e3045: - 48 b1523 + x6323 >= 0 e3046: - 114 b1524 + x6324 <= 0 e3047: - 48 b1524 + x6324 >= 0 e3048: - 114 b1525 + x6325 <= 0 e3049: - 48 b1525 + x6325 >= 0 e3050: - 114 b1526 + x6326 <= 0 e3051: - 48 b1526 + x6326 >= 0 e3052: - 114 b1527 + x6327 <= 0 e3053: - 48 b1527 + x6327 >= 0 e3054: - 114 b1528 + x6328 <= 0 e3055: - 48 b1528 + x6328 >= 0 e3056: - 114 b1529 + x6329 <= 0 e3057: - 48 b1529 + x6329 >= 0 e3058: - 114 b1530 + x6330 <= 0 e3059: - 48 b1530 + x6330 >= 0 e3060: - 114 b1531 + x6331 <= 0 e3061: - 48 b1531 + x6331 >= 0 e3062: - 114 b1532 + x6332 <= 0 e3063: - 48 b1532 + x6332 >= 0 e3064: - 114 b1533 + x6333 <= 0 e3065: - 48 b1533 + x6333 >= 0 e3066: - 114 b1534 + x6334 <= 0 e3067: - 48 b1534 + x6334 >= 0 e3068: - 114 b1535 + x6335 <= 0 e3069: - 48 b1535 + x6335 >= 0 e3070: - 114 b1536 + x6336 <= 0 e3071: - 48 b1536 + x6336 >= 0 e3072: - 114 b1537 + x6337 <= 0 e3073: - 48 b1537 + x6337 >= 0 e3074: - 125 b1538 + x6338 <= 0 e3075: - 40 b1538 + x6338 >= 0 e3076: - 125 b1539 + x6339 <= 0 e3077: - 40 b1539 + x6339 >= 0 e3078: - 125 b1540 + x6340 <= 0 e3079: - 40 b1540 + x6340 >= 0 e3080: - 125 b1541 + x6341 <= 0 e3081: - 40 b1541 + x6341 >= 0 e3082: - 125 b1542 + x6342 <= 0 e3083: - 40 b1542 + x6342 >= 0 e3084: - 125 b1543 + x6343 <= 0 e3085: - 40 b1543 + x6343 >= 0 e3086: - 125 b1544 + x6344 <= 0 e3087: - 40 b1544 + x6344 >= 0 e3088: - 125 b1545 + x6345 <= 0 e3089: - 40 b1545 + x6345 >= 0 e3090: - 125 b1546 + x6346 <= 0 e3091: - 40 b1546 + x6346 >= 0 e3092: - 125 b1547 + x6347 <= 0 e3093: - 40 b1547 + x6347 >= 0 e3094: - 125 b1548 + x6348 <= 0 e3095: - 40 b1548 + x6348 >= 0 e3096: - 125 b1549 + x6349 <= 0 e3097: - 40 b1549 + x6349 >= 0 e3098: - 125 b1550 + x6350 <= 0 e3099: - 40 b1550 + x6350 >= 0 e3100: - 125 b1551 + x6351 <= 0 e3101: - 40 b1551 + x6351 >= 0 e3102: - 125 b1552 + x6352 <= 0 e3103: - 40 b1552 + x6352 >= 0 e3104: - 125 b1553 + x6353 <= 0 e3105: - 40 b1553 + x6353 >= 0 e3106: - 125 b1554 + x6354 <= 0 e3107: - 40 b1554 + x6354 >= 0 e3108: - 125 b1555 + x6355 <= 0 e3109: - 40 b1555 + x6355 >= 0 e3110: - 125 b1556 + x6356 <= 0 e3111: - 40 b1556 + x6356 >= 0 e3112: - 125 b1557 + x6357 <= 0 e3113: - 40 b1557 + x6357 >= 0 e3114: - 125 b1558 + x6358 <= 0 e3115: - 40 b1558 + x6358 >= 0 e3116: - 125 b1559 + x6359 <= 0 e3117: - 40 b1559 + x6359 >= 0 e3118: - 125 b1560 + x6360 <= 0 e3119: - 40 b1560 + x6360 >= 0 e3120: - 125 b1561 + x6361 <= 0 e3121: - 40 b1561 + x6361 >= 0 e3122: - 122 b1562 + x6362 <= 0 e3123: - 33 b1562 + x6362 >= 0 e3124: - 122 b1563 + x6363 <= 0 e3125: - 33 b1563 + x6363 >= 0 e3126: - 122 b1564 + x6364 <= 0 e3127: - 33 b1564 + x6364 >= 0 e3128: - 122 b1565 + x6365 <= 0 e3129: - 33 b1565 + x6365 >= 0 e3130: - 122 b1566 + x6366 <= 0 e3131: - 33 b1566 + x6366 >= 0 e3132: - 122 b1567 + x6367 <= 0 e3133: - 33 b1567 + x6367 >= 0 e3134: - 122 b1568 + x6368 <= 0 e3135: - 33 b1568 + x6368 >= 0 e3136: - 122 b1569 + x6369 <= 0 e3137: - 33 b1569 + x6369 >= 0 e3138: - 122 b1570 + x6370 <= 0 e3139: - 33 b1570 + x6370 >= 0 e3140: - 122 b1571 + x6371 <= 0 e3141: - 33 b1571 + x6371 >= 0 e3142: - 122 b1572 + x6372 <= 0 e3143: - 33 b1572 + x6372 >= 0 e3144: - 122 b1573 + x6373 <= 0 e3145: - 33 b1573 + x6373 >= 0 e3146: - 122 b1574 + x6374 <= 0 e3147: - 33 b1574 + x6374 >= 0 e3148: - 122 b1575 + x6375 <= 0 e3149: - 33 b1575 + x6375 >= 0 e3150: - 122 b1576 + x6376 <= 0 e3151: - 33 b1576 + x6376 >= 0 e3152: - 122 b1577 + x6377 <= 0 e3153: - 33 b1577 + x6377 >= 0 e3154: - 122 b1578 + x6378 <= 0 e3155: - 33 b1578 + x6378 >= 0 e3156: - 122 b1579 + x6379 <= 0 e3157: - 33 b1579 + x6379 >= 0 e3158: - 122 b1580 + x6380 <= 0 e3159: - 33 b1580 + x6380 >= 0 e3160: - 122 b1581 + x6381 <= 0 e3161: - 33 b1581 + x6381 >= 0 e3162: - 122 b1582 + x6382 <= 0 e3163: - 33 b1582 + x6382 >= 0 e3164: - 122 b1583 + x6383 <= 0 e3165: - 33 b1583 + x6383 >= 0 e3166: - 122 b1584 + x6384 <= 0 e3167: - 33 b1584 + x6384 >= 0 e3168: - 122 b1585 + x6385 <= 0 e3169: - 33 b1585 + x6385 >= 0 e3170: - 108 b1586 + x6386 <= 0 e3171: - 42 b1586 + x6386 >= 0 e3172: - 108 b1587 + x6387 <= 0 e3173: - 42 b1587 + x6387 >= 0 e3174: - 108 b1588 + x6388 <= 0 e3175: - 42 b1588 + x6388 >= 0 e3176: - 108 b1589 + x6389 <= 0 e3177: - 42 b1589 + x6389 >= 0 e3178: - 108 b1590 + x6390 <= 0 e3179: - 42 b1590 + x6390 >= 0 e3180: - 108 b1591 + x6391 <= 0 e3181: - 42 b1591 + x6391 >= 0 e3182: - 108 b1592 + x6392 <= 0 e3183: - 42 b1592 + x6392 >= 0 e3184: - 108 b1593 + x6393 <= 0 e3185: - 42 b1593 + x6393 >= 0 e3186: - 108 b1594 + x6394 <= 0 e3187: - 42 b1594 + x6394 >= 0 e3188: - 108 b1595 + x6395 <= 0 e3189: - 42 b1595 + x6395 >= 0 e3190: - 108 b1596 + x6396 <= 0 e3191: - 42 b1596 + x6396 >= 0 e3192: - 108 b1597 + x6397 <= 0 e3193: - 42 b1597 + x6397 >= 0 e3194: - 108 b1598 + x6398 <= 0 e3195: - 42 b1598 + x6398 >= 0 e3196: - 108 b1599 + x6399 <= 0 e3197: - 42 b1599 + x6399 >= 0 e3198: - 108 b1600 + x6400 <= 0 e3199: - 42 b1600 + x6400 >= 0 e3200: - 108 b1601 + x6401 <= 0 e3201: - 42 b1601 + x6401 >= 0 e3202: - 108 b1602 + x6402 <= 0 e3203: - 42 b1602 + x6402 >= 0 e3204: - 108 b1603 + x6403 <= 0 e3205: - 42 b1603 + x6403 >= 0 e3206: - 108 b1604 + x6404 <= 0 e3207: - 42 b1604 + x6404 >= 0 e3208: - 108 b1605 + x6405 <= 0 e3209: - 42 b1605 + x6405 >= 0 e3210: - 108 b1606 + x6406 <= 0 e3211: - 42 b1606 + x6406 >= 0 e3212: - 108 b1607 + x6407 <= 0 e3213: - 42 b1607 + x6407 >= 0 e3214: - 108 b1608 + x6408 <= 0 e3215: - 42 b1608 + x6408 >= 0 e3216: - 108 b1609 + x6409 <= 0 e3217: - 42 b1609 + x6409 >= 0 e3218: - 116 b1610 + x6410 <= 0 e3219: - 32 b1610 + x6410 >= 0 e3220: - 116 b1611 + x6411 <= 0 e3221: - 32 b1611 + x6411 >= 0 e3222: - 116 b1612 + x6412 <= 0 e3223: - 32 b1612 + x6412 >= 0 e3224: - 116 b1613 + x6413 <= 0 e3225: - 32 b1613 + x6413 >= 0 e3226: - 116 b1614 + x6414 <= 0 e3227: - 32 b1614 + x6414 >= 0 e3228: - 116 b1615 + x6415 <= 0 e3229: - 32 b1615 + x6415 >= 0 e3230: - 116 b1616 + x6416 <= 0 e3231: - 32 b1616 + x6416 >= 0 e3232: - 116 b1617 + x6417 <= 0 e3233: - 32 b1617 + x6417 >= 0 e3234: - 116 b1618 + x6418 <= 0 e3235: - 32 b1618 + x6418 >= 0 e3236: - 116 b1619 + x6419 <= 0 e3237: - 32 b1619 + x6419 >= 0 e3238: - 116 b1620 + x6420 <= 0 e3239: - 32 b1620 + x6420 >= 0 e3240: - 116 b1621 + x6421 <= 0 e3241: - 32 b1621 + x6421 >= 0 e3242: - 116 b1622 + x6422 <= 0 e3243: - 32 b1622 + x6422 >= 0 e3244: - 116 b1623 + x6423 <= 0 e3245: - 32 b1623 + x6423 >= 0 e3246: - 116 b1624 + x6424 <= 0 e3247: - 32 b1624 + x6424 >= 0 e3248: - 116 b1625 + x6425 <= 0 e3249: - 32 b1625 + x6425 >= 0 e3250: - 116 b1626 + x6426 <= 0 e3251: - 32 b1626 + x6426 >= 0 e3252: - 116 b1627 + x6427 <= 0 e3253: - 32 b1627 + x6427 >= 0 e3254: - 116 b1628 + x6428 <= 0 e3255: - 32 b1628 + x6428 >= 0 e3256: - 116 b1629 + x6429 <= 0 e3257: - 32 b1629 + x6429 >= 0 e3258: - 116 b1630 + x6430 <= 0 e3259: - 32 b1630 + x6430 >= 0 e3260: - 116 b1631 + x6431 <= 0 e3261: - 32 b1631 + x6431 >= 0 e3262: - 116 b1632 + x6432 <= 0 e3263: - 32 b1632 + x6432 >= 0 e3264: - 116 b1633 + x6433 <= 0 e3265: - 32 b1633 + x6433 >= 0 e3266: - 101 b1634 + x6434 <= 0 e3267: - 37 b1634 + x6434 >= 0 e3268: - 101 b1635 + x6435 <= 0 e3269: - 37 b1635 + x6435 >= 0 e3270: - 101 b1636 + x6436 <= 0 e3271: - 37 b1636 + x6436 >= 0 e3272: - 101 b1637 + x6437 <= 0 e3273: - 37 b1637 + x6437 >= 0 e3274: - 101 b1638 + x6438 <= 0 e3275: - 37 b1638 + x6438 >= 0 e3276: - 101 b1639 + x6439 <= 0 e3277: - 37 b1639 + x6439 >= 0 e3278: - 101 b1640 + x6440 <= 0 e3279: - 37 b1640 + x6440 >= 0 e3280: - 101 b1641 + x6441 <= 0 e3281: - 37 b1641 + x6441 >= 0 e3282: - 101 b1642 + x6442 <= 0 e3283: - 37 b1642 + x6442 >= 0 e3284: - 101 b1643 + x6443 <= 0 e3285: - 37 b1643 + x6443 >= 0 e3286: - 101 b1644 + x6444 <= 0 e3287: - 37 b1644 + x6444 >= 0 e3288: - 101 b1645 + x6445 <= 0 e3289: - 37 b1645 + x6445 >= 0 e3290: - 101 b1646 + x6446 <= 0 e3291: - 37 b1646 + x6446 >= 0 e3292: - 101 b1647 + x6447 <= 0 e3293: - 37 b1647 + x6447 >= 0 e3294: - 101 b1648 + x6448 <= 0 e3295: - 37 b1648 + x6448 >= 0 e3296: - 101 b1649 + x6449 <= 0 e3297: - 37 b1649 + x6449 >= 0 e3298: - 101 b1650 + x6450 <= 0 e3299: - 37 b1650 + x6450 >= 0 e3300: - 101 b1651 + x6451 <= 0 e3301: - 37 b1651 + x6451 >= 0 e3302: - 101 b1652 + x6452 <= 0 e3303: - 37 b1652 + x6452 >= 0 e3304: - 101 b1653 + x6453 <= 0 e3305: - 37 b1653 + x6453 >= 0 e3306: - 101 b1654 + x6454 <= 0 e3307: - 37 b1654 + x6454 >= 0 e3308: - 101 b1655 + x6455 <= 0 e3309: - 37 b1655 + x6455 >= 0 e3310: - 101 b1656 + x6456 <= 0 e3311: - 37 b1656 + x6456 >= 0 e3312: - 101 b1657 + x6457 <= 0 e3313: - 37 b1657 + x6457 >= 0 e3314: - 118 b1658 + x6458 <= 0 e3315: - 38 b1658 + x6458 >= 0 e3316: - 118 b1659 + x6459 <= 0 e3317: - 38 b1659 + x6459 >= 0 e3318: - 118 b1660 + x6460 <= 0 e3319: - 38 b1660 + x6460 >= 0 e3320: - 118 b1661 + x6461 <= 0 e3321: - 38 b1661 + x6461 >= 0 e3322: - 118 b1662 + x6462 <= 0 e3323: - 38 b1662 + x6462 >= 0 e3324: - 118 b1663 + x6463 <= 0 e3325: - 38 b1663 + x6463 >= 0 e3326: - 118 b1664 + x6464 <= 0 e3327: - 38 b1664 + x6464 >= 0 e3328: - 118 b1665 + x6465 <= 0 e3329: - 38 b1665 + x6465 >= 0 e3330: - 118 b1666 + x6466 <= 0 e3331: - 38 b1666 + x6466 >= 0 e3332: - 118 b1667 + x6467 <= 0 e3333: - 38 b1667 + x6467 >= 0 e3334: - 118 b1668 + x6468 <= 0 e3335: - 38 b1668 + x6468 >= 0 e3336: - 118 b1669 + x6469 <= 0 e3337: - 38 b1669 + x6469 >= 0 e3338: - 118 b1670 + x6470 <= 0 e3339: - 38 b1670 + x6470 >= 0 e3340: - 118 b1671 + x6471 <= 0 e3341: - 38 b1671 + x6471 >= 0 e3342: - 118 b1672 + x6472 <= 0 e3343: - 38 b1672 + x6472 >= 0 e3344: - 118 b1673 + x6473 <= 0 e3345: - 38 b1673 + x6473 >= 0 e3346: - 118 b1674 + x6474 <= 0 e3347: - 38 b1674 + x6474 >= 0 e3348: - 118 b1675 + x6475 <= 0 e3349: - 38 b1675 + x6475 >= 0 e3350: - 118 b1676 + x6476 <= 0 e3351: - 38 b1676 + x6476 >= 0 e3352: - 118 b1677 + x6477 <= 0 e3353: - 38 b1677 + x6477 >= 0 e3354: - 118 b1678 + x6478 <= 0 e3355: - 38 b1678 + x6478 >= 0 e3356: - 118 b1679 + x6479 <= 0 e3357: - 38 b1679 + x6479 >= 0 e3358: - 118 b1680 + x6480 <= 0 e3359: - 38 b1680 + x6480 >= 0 e3360: - 118 b1681 + x6481 <= 0 e3361: - 38 b1681 + x6481 >= 0 e3362: - 113 b1682 + x6482 <= 0 e3363: - 49 b1682 + x6482 >= 0 e3364: - 113 b1683 + x6483 <= 0 e3365: - 49 b1683 + x6483 >= 0 e3366: - 113 b1684 + x6484 <= 0 e3367: - 49 b1684 + x6484 >= 0 e3368: - 113 b1685 + x6485 <= 0 e3369: - 49 b1685 + x6485 >= 0 e3370: - 113 b1686 + x6486 <= 0 e3371: - 49 b1686 + x6486 >= 0 e3372: - 113 b1687 + x6487 <= 0 e3373: - 49 b1687 + x6487 >= 0 e3374: - 113 b1688 + x6488 <= 0 e3375: - 49 b1688 + x6488 >= 0 e3376: - 113 b1689 + x6489 <= 0 e3377: - 49 b1689 + x6489 >= 0 e3378: - 113 b1690 + x6490 <= 0 e3379: - 49 b1690 + x6490 >= 0 e3380: - 113 b1691 + x6491 <= 0 e3381: - 49 b1691 + x6491 >= 0 e3382: - 113 b1692 + x6492 <= 0 e3383: - 49 b1692 + x6492 >= 0 e3384: - 113 b1693 + x6493 <= 0 e3385: - 49 b1693 + x6493 >= 0 e3386: - 113 b1694 + x6494 <= 0 e3387: - 49 b1694 + x6494 >= 0 e3388: - 113 b1695 + x6495 <= 0 e3389: - 49 b1695 + x6495 >= 0 e3390: - 113 b1696 + x6496 <= 0 e3391: - 49 b1696 + x6496 >= 0 e3392: - 113 b1697 + x6497 <= 0 e3393: - 49 b1697 + x6497 >= 0 e3394: - 113 b1698 + x6498 <= 0 e3395: - 49 b1698 + x6498 >= 0 e3396: - 113 b1699 + x6499 <= 0 e3397: - 49 b1699 + x6499 >= 0 e3398: - 113 b1700 + x6500 <= 0 e3399: - 49 b1700 + x6500 >= 0 e3400: - 113 b1701 + x6501 <= 0 e3401: - 49 b1701 + x6501 >= 0 e3402: - 113 b1702 + x6502 <= 0 e3403: - 49 b1702 + x6502 >= 0 e3404: - 113 b1703 + x6503 <= 0 e3405: - 49 b1703 + x6503 >= 0 e3406: - 113 b1704 + x6504 <= 0 e3407: - 49 b1704 + x6504 >= 0 e3408: - 113 b1705 + x6505 <= 0 e3409: - 49 b1705 + x6505 >= 0 e3410: - 101 b1706 + x6506 <= 0 e3411: - 40 b1706 + x6506 >= 0 e3412: - 101 b1707 + x6507 <= 0 e3413: - 40 b1707 + x6507 >= 0 e3414: - 101 b1708 + x6508 <= 0 e3415: - 40 b1708 + x6508 >= 0 e3416: - 101 b1709 + x6509 <= 0 e3417: - 40 b1709 + x6509 >= 0 e3418: - 101 b1710 + x6510 <= 0 e3419: - 40 b1710 + x6510 >= 0 e3420: - 101 b1711 + x6511 <= 0 e3421: - 40 b1711 + x6511 >= 0 e3422: - 101 b1712 + x6512 <= 0 e3423: - 40 b1712 + x6512 >= 0 e3424: - 101 b1713 + x6513 <= 0 e3425: - 40 b1713 + x6513 >= 0 e3426: - 101 b1714 + x6514 <= 0 e3427: - 40 b1714 + x6514 >= 0 e3428: - 101 b1715 + x6515 <= 0 e3429: - 40 b1715 + x6515 >= 0 e3430: - 101 b1716 + x6516 <= 0 e3431: - 40 b1716 + x6516 >= 0 e3432: - 101 b1717 + x6517 <= 0 e3433: - 40 b1717 + x6517 >= 0 e3434: - 101 b1718 + x6518 <= 0 e3435: - 40 b1718 + x6518 >= 0 e3436: - 101 b1719 + x6519 <= 0 e3437: - 40 b1719 + x6519 >= 0 e3438: - 101 b1720 + x6520 <= 0 e3439: - 40 b1720 + x6520 >= 0 e3440: - 101 b1721 + x6521 <= 0 e3441: - 40 b1721 + x6521 >= 0 e3442: - 101 b1722 + x6522 <= 0 e3443: - 40 b1722 + x6522 >= 0 e3444: - 101 b1723 + x6523 <= 0 e3445: - 40 b1723 + x6523 >= 0 e3446: - 101 b1724 + x6524 <= 0 e3447: - 40 b1724 + x6524 >= 0 e3448: - 101 b1725 + x6525 <= 0 e3449: - 40 b1725 + x6525 >= 0 e3450: - 101 b1726 + x6526 <= 0 e3451: - 40 b1726 + x6526 >= 0 e3452: - 101 b1727 + x6527 <= 0 e3453: - 40 b1727 + x6527 >= 0 e3454: - 101 b1728 + x6528 <= 0 e3455: - 40 b1728 + x6528 >= 0 e3456: - 101 b1729 + x6529 <= 0 e3457: - 40 b1729 + x6529 >= 0 e3458: - 125 b1730 + x6530 <= 0 e3459: - 42 b1730 + x6530 >= 0 e3460: - 125 b1731 + x6531 <= 0 e3461: - 42 b1731 + x6531 >= 0 e3462: - 125 b1732 + x6532 <= 0 e3463: - 42 b1732 + x6532 >= 0 e3464: - 125 b1733 + x6533 <= 0 e3465: - 42 b1733 + x6533 >= 0 e3466: - 125 b1734 + x6534 <= 0 e3467: - 42 b1734 + x6534 >= 0 e3468: - 125 b1735 + x6535 <= 0 e3469: - 42 b1735 + x6535 >= 0 e3470: - 125 b1736 + x6536 <= 0 e3471: - 42 b1736 + x6536 >= 0 e3472: - 125 b1737 + x6537 <= 0 e3473: - 42 b1737 + x6537 >= 0 e3474: - 125 b1738 + x6538 <= 0 e3475: - 42 b1738 + x6538 >= 0 e3476: - 125 b1739 + x6539 <= 0 e3477: - 42 b1739 + x6539 >= 0 e3478: - 125 b1740 + x6540 <= 0 e3479: - 42 b1740 + x6540 >= 0 e3480: - 125 b1741 + x6541 <= 0 e3481: - 42 b1741 + x6541 >= 0 e3482: - 125 b1742 + x6542 <= 0 e3483: - 42 b1742 + x6542 >= 0 e3484: - 125 b1743 + x6543 <= 0 e3485: - 42 b1743 + x6543 >= 0 e3486: - 125 b1744 + x6544 <= 0 e3487: - 42 b1744 + x6544 >= 0 e3488: - 125 b1745 + x6545 <= 0 e3489: - 42 b1745 + x6545 >= 0 e3490: - 125 b1746 + x6546 <= 0 e3491: - 42 b1746 + x6546 >= 0 e3492: - 125 b1747 + x6547 <= 0 e3493: - 42 b1747 + x6547 >= 0 e3494: - 125 b1748 + x6548 <= 0 e3495: - 42 b1748 + x6548 >= 0 e3496: - 125 b1749 + x6549 <= 0 e3497: - 42 b1749 + x6549 >= 0 e3498: - 125 b1750 + x6550 <= 0 e3499: - 42 b1750 + x6550 >= 0 e3500: - 125 b1751 + x6551 <= 0 e3501: - 42 b1751 + x6551 >= 0 e3502: - 125 b1752 + x6552 <= 0 e3503: - 42 b1752 + x6552 >= 0 e3504: - 125 b1753 + x6553 <= 0 e3505: - 42 b1753 + x6553 >= 0 e3506: - 118 b1754 + x6554 <= 0 e3507: - 38 b1754 + x6554 >= 0 e3508: - 118 b1755 + x6555 <= 0 e3509: - 38 b1755 + x6555 >= 0 e3510: - 118 b1756 + x6556 <= 0 e3511: - 38 b1756 + x6556 >= 0 e3512: - 118 b1757 + x6557 <= 0 e3513: - 38 b1757 + x6557 >= 0 e3514: - 118 b1758 + x6558 <= 0 e3515: - 38 b1758 + x6558 >= 0 e3516: - 118 b1759 + x6559 <= 0 e3517: - 38 b1759 + x6559 >= 0 e3518: - 118 b1760 + x6560 <= 0 e3519: - 38 b1760 + x6560 >= 0 e3520: - 118 b1761 + x6561 <= 0 e3521: - 38 b1761 + x6561 >= 0 e3522: - 118 b1762 + x6562 <= 0 e3523: - 38 b1762 + x6562 >= 0 e3524: - 118 b1763 + x6563 <= 0 e3525: - 38 b1763 + x6563 >= 0 e3526: - 118 b1764 + x6564 <= 0 e3527: - 38 b1764 + x6564 >= 0 e3528: - 118 b1765 + x6565 <= 0 e3529: - 38 b1765 + x6565 >= 0 e3530: - 118 b1766 + x6566 <= 0 e3531: - 38 b1766 + x6566 >= 0 e3532: - 118 b1767 + x6567 <= 0 e3533: - 38 b1767 + x6567 >= 0 e3534: - 118 b1768 + x6568 <= 0 e3535: - 38 b1768 + x6568 >= 0 e3536: - 118 b1769 + x6569 <= 0 e3537: - 38 b1769 + x6569 >= 0 e3538: - 118 b1770 + x6570 <= 0 e3539: - 38 b1770 + x6570 >= 0 e3540: - 118 b1771 + x6571 <= 0 e3541: - 38 b1771 + x6571 >= 0 e3542: - 118 b1772 + x6572 <= 0 e3543: - 38 b1772 + x6572 >= 0 e3544: - 118 b1773 + x6573 <= 0 e3545: - 38 b1773 + x6573 >= 0 e3546: - 118 b1774 + x6574 <= 0 e3547: - 38 b1774 + x6574 >= 0 e3548: - 118 b1775 + x6575 <= 0 e3549: - 38 b1775 + x6575 >= 0 e3550: - 118 b1776 + x6576 <= 0 e3551: - 38 b1776 + x6576 >= 0 e3552: - 118 b1777 + x6577 <= 0 e3553: - 38 b1777 + x6577 >= 0 e3554: - 110 b1778 + x6578 <= 0 e3555: - 44 b1778 + x6578 >= 0 e3556: - 110 b1779 + x6579 <= 0 e3557: - 44 b1779 + x6579 >= 0 e3558: - 110 b1780 + x6580 <= 0 e3559: - 44 b1780 + x6580 >= 0 e3560: - 110 b1781 + x6581 <= 0 e3561: - 44 b1781 + x6581 >= 0 e3562: - 110 b1782 + x6582 <= 0 e3563: - 44 b1782 + x6582 >= 0 e3564: - 110 b1783 + x6583 <= 0 e3565: - 44 b1783 + x6583 >= 0 e3566: - 110 b1784 + x6584 <= 0 e3567: - 44 b1784 + x6584 >= 0 e3568: - 110 b1785 + x6585 <= 0 e3569: - 44 b1785 + x6585 >= 0 e3570: - 110 b1786 + x6586 <= 0 e3571: - 44 b1786 + x6586 >= 0 e3572: - 110 b1787 + x6587 <= 0 e3573: - 44 b1787 + x6587 >= 0 e3574: - 110 b1788 + x6588 <= 0 e3575: - 44 b1788 + x6588 >= 0 e3576: - 110 b1789 + x6589 <= 0 e3577: - 44 b1789 + x6589 >= 0 e3578: - 110 b1790 + x6590 <= 0 e3579: - 44 b1790 + x6590 >= 0 e3580: - 110 b1791 + x6591 <= 0 e3581: - 44 b1791 + x6591 >= 0 e3582: - 110 b1792 + x6592 <= 0 e3583: - 44 b1792 + x6592 >= 0 e3584: - 110 b1793 + x6593 <= 0 e3585: - 44 b1793 + x6593 >= 0 e3586: - 110 b1794 + x6594 <= 0 e3587: - 44 b1794 + x6594 >= 0 e3588: - 110 b1795 + x6595 <= 0 e3589: - 44 b1795 + x6595 >= 0 e3590: - 110 b1796 + x6596 <= 0 e3591: - 44 b1796 + x6596 >= 0 e3592: - 110 b1797 + x6597 <= 0 e3593: - 44 b1797 + x6597 >= 0 e3594: - 110 b1798 + x6598 <= 0 e3595: - 44 b1798 + x6598 >= 0 e3596: - 110 b1799 + x6599 <= 0 e3597: - 44 b1799 + x6599 >= 0 e3598: - 110 b1800 + x6600 <= 0 e3599: - 44 b1800 + x6600 >= 0 e3600: - 110 b1801 + x6601 <= 0 e3601: - 44 b1801 + x6601 >= 0 e3602: - 103 b1802 + x6602 <= 0 e3603: - 41 b1802 + x6602 >= 0 e3604: - 103 b1803 + x6603 <= 0 e3605: - 41 b1803 + x6603 >= 0 e3606: - 103 b1804 + x6604 <= 0 e3607: - 41 b1804 + x6604 >= 0 e3608: - 103 b1805 + x6605 <= 0 e3609: - 41 b1805 + x6605 >= 0 e3610: - 103 b1806 + x6606 <= 0 e3611: - 41 b1806 + x6606 >= 0 e3612: - 103 b1807 + x6607 <= 0 e3613: - 41 b1807 + x6607 >= 0 e3614: - 103 b1808 + x6608 <= 0 e3615: - 41 b1808 + x6608 >= 0 e3616: - 103 b1809 + x6609 <= 0 e3617: - 41 b1809 + x6609 >= 0 e3618: - 103 b1810 + x6610 <= 0 e3619: - 41 b1810 + x6610 >= 0 e3620: - 103 b1811 + x6611 <= 0 e3621: - 41 b1811 + x6611 >= 0 e3622: - 103 b1812 + x6612 <= 0 e3623: - 41 b1812 + x6612 >= 0 e3624: - 103 b1813 + x6613 <= 0 e3625: - 41 b1813 + x6613 >= 0 e3626: - 103 b1814 + x6614 <= 0 e3627: - 41 b1814 + x6614 >= 0 e3628: - 103 b1815 + x6615 <= 0 e3629: - 41 b1815 + x6615 >= 0 e3630: - 103 b1816 + x6616 <= 0 e3631: - 41 b1816 + x6616 >= 0 e3632: - 103 b1817 + x6617 <= 0 e3633: - 41 b1817 + x6617 >= 0 e3634: - 103 b1818 + x6618 <= 0 e3635: - 41 b1818 + x6618 >= 0 e3636: - 103 b1819 + x6619 <= 0 e3637: - 41 b1819 + x6619 >= 0 e3638: - 103 b1820 + x6620 <= 0 e3639: - 41 b1820 + x6620 >= 0 e3640: - 103 b1821 + x6621 <= 0 e3641: - 41 b1821 + x6621 >= 0 e3642: - 103 b1822 + x6622 <= 0 e3643: - 41 b1822 + x6622 >= 0 e3644: - 103 b1823 + x6623 <= 0 e3645: - 41 b1823 + x6623 >= 0 e3646: - 103 b1824 + x6624 <= 0 e3647: - 41 b1824 + x6624 >= 0 e3648: - 103 b1825 + x6625 <= 0 e3649: - 41 b1825 + x6625 >= 0 e3650: - 108 b1826 + x6626 <= 0 e3651: - 44 b1826 + x6626 >= 0 e3652: - 108 b1827 + x6627 <= 0 e3653: - 44 b1827 + x6627 >= 0 e3654: - 108 b1828 + x6628 <= 0 e3655: - 44 b1828 + x6628 >= 0 e3656: - 108 b1829 + x6629 <= 0 e3657: - 44 b1829 + x6629 >= 0 e3658: - 108 b1830 + x6630 <= 0 e3659: - 44 b1830 + x6630 >= 0 e3660: - 108 b1831 + x6631 <= 0 e3661: - 44 b1831 + x6631 >= 0 e3662: - 108 b1832 + x6632 <= 0 e3663: - 44 b1832 + x6632 >= 0 e3664: - 108 b1833 + x6633 <= 0 e3665: - 44 b1833 + x6633 >= 0 e3666: - 108 b1834 + x6634 <= 0 e3667: - 44 b1834 + x6634 >= 0 e3668: - 108 b1835 + x6635 <= 0 e3669: - 44 b1835 + x6635 >= 0 e3670: - 108 b1836 + x6636 <= 0 e3671: - 44 b1836 + x6636 >= 0 e3672: - 108 b1837 + x6637 <= 0 e3673: - 44 b1837 + x6637 >= 0 e3674: - 108 b1838 + x6638 <= 0 e3675: - 44 b1838 + x6638 >= 0 e3676: - 108 b1839 + x6639 <= 0 e3677: - 44 b1839 + x6639 >= 0 e3678: - 108 b1840 + x6640 <= 0 e3679: - 44 b1840 + x6640 >= 0 e3680: - 108 b1841 + x6641 <= 0 e3681: - 44 b1841 + x6641 >= 0 e3682: - 108 b1842 + x6642 <= 0 e3683: - 44 b1842 + x6642 >= 0 e3684: - 108 b1843 + x6643 <= 0 e3685: - 44 b1843 + x6643 >= 0 e3686: - 108 b1844 + x6644 <= 0 e3687: - 44 b1844 + x6644 >= 0 e3688: - 108 b1845 + x6645 <= 0 e3689: - 44 b1845 + x6645 >= 0 e3690: - 108 b1846 + x6646 <= 0 e3691: - 44 b1846 + x6646 >= 0 e3692: - 108 b1847 + x6647 <= 0 e3693: - 44 b1847 + x6647 >= 0 e3694: - 108 b1848 + x6648 <= 0 e3695: - 44 b1848 + x6648 >= 0 e3696: - 108 b1849 + x6649 <= 0 e3697: - 44 b1849 + x6649 >= 0 e3698: - 105 b1850 + x6650 <= 0 e3699: - 31 b1850 + x6650 >= 0 e3700: - 105 b1851 + x6651 <= 0 e3701: - 31 b1851 + x6651 >= 0 e3702: - 105 b1852 + x6652 <= 0 e3703: - 31 b1852 + x6652 >= 0 e3704: - 105 b1853 + x6653 <= 0 e3705: - 31 b1853 + x6653 >= 0 e3706: - 105 b1854 + x6654 <= 0 e3707: - 31 b1854 + x6654 >= 0 e3708: - 105 b1855 + x6655 <= 0 e3709: - 31 b1855 + x6655 >= 0 e3710: - 105 b1856 + x6656 <= 0 e3711: - 31 b1856 + x6656 >= 0 e3712: - 105 b1857 + x6657 <= 0 e3713: - 31 b1857 + x6657 >= 0 e3714: - 105 b1858 + x6658 <= 0 e3715: - 31 b1858 + x6658 >= 0 e3716: - 105 b1859 + x6659 <= 0 e3717: - 31 b1859 + x6659 >= 0 e3718: - 105 b1860 + x6660 <= 0 e3719: - 31 b1860 + x6660 >= 0 e3720: - 105 b1861 + x6661 <= 0 e3721: - 31 b1861 + x6661 >= 0 e3722: - 105 b1862 + x6662 <= 0 e3723: - 31 b1862 + x6662 >= 0 e3724: - 105 b1863 + x6663 <= 0 e3725: - 31 b1863 + x6663 >= 0 e3726: - 105 b1864 + x6664 <= 0 e3727: - 31 b1864 + x6664 >= 0 e3728: - 105 b1865 + x6665 <= 0 e3729: - 31 b1865 + x6665 >= 0 e3730: - 105 b1866 + x6666 <= 0 e3731: - 31 b1866 + x6666 >= 0 e3732: - 105 b1867 + x6667 <= 0 e3733: - 31 b1867 + x6667 >= 0 e3734: - 105 b1868 + x6668 <= 0 e3735: - 31 b1868 + x6668 >= 0 e3736: - 105 b1869 + x6669 <= 0 e3737: - 31 b1869 + x6669 >= 0 e3738: - 105 b1870 + x6670 <= 0 e3739: - 31 b1870 + x6670 >= 0 e3740: - 105 b1871 + x6671 <= 0 e3741: - 31 b1871 + x6671 >= 0 e3742: - 105 b1872 + x6672 <= 0 e3743: - 31 b1872 + x6672 >= 0 e3744: - 105 b1873 + x6673 <= 0 e3745: - 31 b1873 + x6673 >= 0 e3746: - 128 b1874 + x6674 <= 0 e3747: - 49 b1874 + x6674 >= 0 e3748: - 128 b1875 + x6675 <= 0 e3749: - 49 b1875 + x6675 >= 0 e3750: - 128 b1876 + x6676 <= 0 e3751: - 49 b1876 + x6676 >= 0 e3752: - 128 b1877 + x6677 <= 0 e3753: - 49 b1877 + x6677 >= 0 e3754: - 128 b1878 + x6678 <= 0 e3755: - 49 b1878 + x6678 >= 0 e3756: - 128 b1879 + x6679 <= 0 e3757: - 49 b1879 + x6679 >= 0 e3758: - 128 b1880 + x6680 <= 0 e3759: - 49 b1880 + x6680 >= 0 e3760: - 128 b1881 + x6681 <= 0 e3761: - 49 b1881 + x6681 >= 0 e3762: - 128 b1882 + x6682 <= 0 e3763: - 49 b1882 + x6682 >= 0 e3764: - 128 b1883 + x6683 <= 0 e3765: - 49 b1883 + x6683 >= 0 e3766: - 128 b1884 + x6684 <= 0 e3767: - 49 b1884 + x6684 >= 0 e3768: - 128 b1885 + x6685 <= 0 e3769: - 49 b1885 + x6685 >= 0 e3770: - 128 b1886 + x6686 <= 0 e3771: - 49 b1886 + x6686 >= 0 e3772: - 128 b1887 + x6687 <= 0 e3773: - 49 b1887 + x6687 >= 0 e3774: - 128 b1888 + x6688 <= 0 e3775: - 49 b1888 + x6688 >= 0 e3776: - 128 b1889 + x6689 <= 0 e3777: - 49 b1889 + x6689 >= 0 e3778: - 128 b1890 + x6690 <= 0 e3779: - 49 b1890 + x6690 >= 0 e3780: - 128 b1891 + x6691 <= 0 e3781: - 49 b1891 + x6691 >= 0 e3782: - 128 b1892 + x6692 <= 0 e3783: - 49 b1892 + x6692 >= 0 e3784: - 128 b1893 + x6693 <= 0 e3785: - 49 b1893 + x6693 >= 0 e3786: - 128 b1894 + x6694 <= 0 e3787: - 49 b1894 + x6694 >= 0 e3788: - 128 b1895 + x6695 <= 0 e3789: - 49 b1895 + x6695 >= 0 e3790: - 128 b1896 + x6696 <= 0 e3791: - 49 b1896 + x6696 >= 0 e3792: - 128 b1897 + x6697 <= 0 e3793: - 49 b1897 + x6697 >= 0 e3794: - 100 b1898 + x6698 <= 0 e3795: - 31 b1898 + x6698 >= 0 e3796: - 100 b1899 + x6699 <= 0 e3797: - 31 b1899 + x6699 >= 0 e3798: - 100 b1900 + x6700 <= 0 e3799: - 31 b1900 + x6700 >= 0 e3800: - 100 b1901 + x6701 <= 0 e3801: - 31 b1901 + x6701 >= 0 e3802: - 100 b1902 + x6702 <= 0 e3803: - 31 b1902 + x6702 >= 0 e3804: - 100 b1903 + x6703 <= 0 e3805: - 31 b1903 + x6703 >= 0 e3806: - 100 b1904 + x6704 <= 0 e3807: - 31 b1904 + x6704 >= 0 e3808: - 100 b1905 + x6705 <= 0 e3809: - 31 b1905 + x6705 >= 0 e3810: - 100 b1906 + x6706 <= 0 e3811: - 31 b1906 + x6706 >= 0 e3812: - 100 b1907 + x6707 <= 0 e3813: - 31 b1907 + x6707 >= 0 e3814: - 100 b1908 + x6708 <= 0 e3815: - 31 b1908 + x6708 >= 0 e3816: - 100 b1909 + x6709 <= 0 e3817: - 31 b1909 + x6709 >= 0 e3818: - 100 b1910 + x6710 <= 0 e3819: - 31 b1910 + x6710 >= 0 e3820: - 100 b1911 + x6711 <= 0 e3821: - 31 b1911 + x6711 >= 0 e3822: - 100 b1912 + x6712 <= 0 e3823: - 31 b1912 + x6712 >= 0 e3824: - 100 b1913 + x6713 <= 0 e3825: - 31 b1913 + x6713 >= 0 e3826: - 100 b1914 + x6714 <= 0 e3827: - 31 b1914 + x6714 >= 0 e3828: - 100 b1915 + x6715 <= 0 e3829: - 31 b1915 + x6715 >= 0 e3830: - 100 b1916 + x6716 <= 0 e3831: - 31 b1916 + x6716 >= 0 e3832: - 100 b1917 + x6717 <= 0 e3833: - 31 b1917 + x6717 >= 0 e3834: - 100 b1918 + x6718 <= 0 e3835: - 31 b1918 + x6718 >= 0 e3836: - 100 b1919 + x6719 <= 0 e3837: - 31 b1919 + x6719 >= 0 e3838: - 100 b1920 + x6720 <= 0 e3839: - 31 b1920 + x6720 >= 0 e3840: - 100 b1921 + x6721 <= 0 e3841: - 31 b1921 + x6721 >= 0 e3842: - 107 b1922 + x6722 <= 0 e3843: - 35 b1922 + x6722 >= 0 e3844: - 107 b1923 + x6723 <= 0 e3845: - 35 b1923 + x6723 >= 0 e3846: - 107 b1924 + x6724 <= 0 e3847: - 35 b1924 + x6724 >= 0 e3848: - 107 b1925 + x6725 <= 0 e3849: - 35 b1925 + x6725 >= 0 e3850: - 107 b1926 + x6726 <= 0 e3851: - 35 b1926 + x6726 >= 0 e3852: - 107 b1927 + x6727 <= 0 e3853: - 35 b1927 + x6727 >= 0 e3854: - 107 b1928 + x6728 <= 0 e3855: - 35 b1928 + x6728 >= 0 e3856: - 107 b1929 + x6729 <= 0 e3857: - 35 b1929 + x6729 >= 0 e3858: - 107 b1930 + x6730 <= 0 e3859: - 35 b1930 + x6730 >= 0 e3860: - 107 b1931 + x6731 <= 0 e3861: - 35 b1931 + x6731 >= 0 e3862: - 107 b1932 + x6732 <= 0 e3863: - 35 b1932 + x6732 >= 0 e3864: - 107 b1933 + x6733 <= 0 e3865: - 35 b1933 + x6733 >= 0 e3866: - 107 b1934 + x6734 <= 0 e3867: - 35 b1934 + x6734 >= 0 e3868: - 107 b1935 + x6735 <= 0 e3869: - 35 b1935 + x6735 >= 0 e3870: - 107 b1936 + x6736 <= 0 e3871: - 35 b1936 + x6736 >= 0 e3872: - 107 b1937 + x6737 <= 0 e3873: - 35 b1937 + x6737 >= 0 e3874: - 107 b1938 + x6738 <= 0 e3875: - 35 b1938 + x6738 >= 0 e3876: - 107 b1939 + x6739 <= 0 e3877: - 35 b1939 + x6739 >= 0 e3878: - 107 b1940 + x6740 <= 0 e3879: - 35 b1940 + x6740 >= 0 e3880: - 107 b1941 + x6741 <= 0 e3881: - 35 b1941 + x6741 >= 0 e3882: - 107 b1942 + x6742 <= 0 e3883: - 35 b1942 + x6742 >= 0 e3884: - 107 b1943 + x6743 <= 0 e3885: - 35 b1943 + x6743 >= 0 e3886: - 107 b1944 + x6744 <= 0 e3887: - 35 b1944 + x6744 >= 0 e3888: - 107 b1945 + x6745 <= 0 e3889: - 35 b1945 + x6745 >= 0 e3890: - 117 b1946 + x6746 <= 0 e3891: - 32 b1946 + x6746 >= 0 e3892: - 117 b1947 + x6747 <= 0 e3893: - 32 b1947 + x6747 >= 0 e3894: - 117 b1948 + x6748 <= 0 e3895: - 32 b1948 + x6748 >= 0 e3896: - 117 b1949 + x6749 <= 0 e3897: - 32 b1949 + x6749 >= 0 e3898: - 117 b1950 + x6750 <= 0 e3899: - 32 b1950 + x6750 >= 0 e3900: - 117 b1951 + x6751 <= 0 e3901: - 32 b1951 + x6751 >= 0 e3902: - 117 b1952 + x6752 <= 0 e3903: - 32 b1952 + x6752 >= 0 e3904: - 117 b1953 + x6753 <= 0 e3905: - 32 b1953 + x6753 >= 0 e3906: - 117 b1954 + x6754 <= 0 e3907: - 32 b1954 + x6754 >= 0 e3908: - 117 b1955 + x6755 <= 0 e3909: - 32 b1955 + x6755 >= 0 e3910: - 117 b1956 + x6756 <= 0 e3911: - 32 b1956 + x6756 >= 0 e3912: - 117 b1957 + x6757 <= 0 e3913: - 32 b1957 + x6757 >= 0 e3914: - 117 b1958 + x6758 <= 0 e3915: - 32 b1958 + x6758 >= 0 e3916: - 117 b1959 + x6759 <= 0 e3917: - 32 b1959 + x6759 >= 0 e3918: - 117 b1960 + x6760 <= 0 e3919: - 32 b1960 + x6760 >= 0 e3920: - 117 b1961 + x6761 <= 0 e3921: - 32 b1961 + x6761 >= 0 e3922: - 117 b1962 + x6762 <= 0 e3923: - 32 b1962 + x6762 >= 0 e3924: - 117 b1963 + x6763 <= 0 e3925: - 32 b1963 + x6763 >= 0 e3926: - 117 b1964 + x6764 <= 0 e3927: - 32 b1964 + x6764 >= 0 e3928: - 117 b1965 + x6765 <= 0 e3929: - 32 b1965 + x6765 >= 0 e3930: - 117 b1966 + x6766 <= 0 e3931: - 32 b1966 + x6766 >= 0 e3932: - 117 b1967 + x6767 <= 0 e3933: - 32 b1967 + x6767 >= 0 e3934: - 117 b1968 + x6768 <= 0 e3935: - 32 b1968 + x6768 >= 0 e3936: - 117 b1969 + x6769 <= 0 e3937: - 32 b1969 + x6769 >= 0 e3938: - 122 b1970 + x6770 <= 0 e3939: - 48 b1970 + x6770 >= 0 e3940: - 122 b1971 + x6771 <= 0 e3941: - 48 b1971 + x6771 >= 0 e3942: - 122 b1972 + x6772 <= 0 e3943: - 48 b1972 + x6772 >= 0 e3944: - 122 b1973 + x6773 <= 0 e3945: - 48 b1973 + x6773 >= 0 e3946: - 122 b1974 + x6774 <= 0 e3947: - 48 b1974 + x6774 >= 0 e3948: - 122 b1975 + x6775 <= 0 e3949: - 48 b1975 + x6775 >= 0 e3950: - 122 b1976 + x6776 <= 0 e3951: - 48 b1976 + x6776 >= 0 e3952: - 122 b1977 + x6777 <= 0 e3953: - 48 b1977 + x6777 >= 0 e3954: - 122 b1978 + x6778 <= 0 e3955: - 48 b1978 + x6778 >= 0 e3956: - 122 b1979 + x6779 <= 0 e3957: - 48 b1979 + x6779 >= 0 e3958: - 122 b1980 + x6780 <= 0 e3959: - 48 b1980 + x6780 >= 0 e3960: - 122 b1981 + x6781 <= 0 e3961: - 48 b1981 + x6781 >= 0 e3962: - 122 b1982 + x6782 <= 0 e3963: - 48 b1982 + x6782 >= 0 e3964: - 122 b1983 + x6783 <= 0 e3965: - 48 b1983 + x6783 >= 0 e3966: - 122 b1984 + x6784 <= 0 e3967: - 48 b1984 + x6784 >= 0 e3968: - 122 b1985 + x6785 <= 0 e3969: - 48 b1985 + x6785 >= 0 e3970: - 122 b1986 + x6786 <= 0 e3971: - 48 b1986 + x6786 >= 0 e3972: - 122 b1987 + x6787 <= 0 e3973: - 48 b1987 + x6787 >= 0 e3974: - 122 b1988 + x6788 <= 0 e3975: - 48 b1988 + x6788 >= 0 e3976: - 122 b1989 + x6789 <= 0 e3977: - 48 b1989 + x6789 >= 0 e3978: - 122 b1990 + x6790 <= 0 e3979: - 48 b1990 + x6790 >= 0 e3980: - 122 b1991 + x6791 <= 0 e3981: - 48 b1991 + x6791 >= 0 e3982: - 122 b1992 + x6792 <= 0 e3983: - 48 b1992 + x6792 >= 0 e3984: - 122 b1993 + x6793 <= 0 e3985: - 48 b1993 + x6793 >= 0 e3986: - 116 b1994 + x6794 <= 0 e3987: - 46 b1994 + x6794 >= 0 e3988: - 116 b1995 + x6795 <= 0 e3989: - 46 b1995 + x6795 >= 0 e3990: - 116 b1996 + x6796 <= 0 e3991: - 46 b1996 + x6796 >= 0 e3992: - 116 b1997 + x6797 <= 0 e3993: - 46 b1997 + x6797 >= 0 e3994: - 116 b1998 + x6798 <= 0 e3995: - 46 b1998 + x6798 >= 0 e3996: - 116 b1999 + x6799 <= 0 e3997: - 46 b1999 + x6799 >= 0 e3998: - 116 b2000 + x6800 <= 0 e3999: - 46 b2000 + x6800 >= 0 e4000: - 116 b2001 + x6801 <= 0 e4001: - 46 b2001 + x6801 >= 0 e4002: - 116 b2002 + x6802 <= 0 e4003: - 46 b2002 + x6802 >= 0 e4004: - 116 b2003 + x6803 <= 0 e4005: - 46 b2003 + x6803 >= 0 e4006: - 116 b2004 + x6804 <= 0 e4007: - 46 b2004 + x6804 >= 0 e4008: - 116 b2005 + x6805 <= 0 e4009: - 46 b2005 + x6805 >= 0 e4010: - 116 b2006 + x6806 <= 0 e4011: - 46 b2006 + x6806 >= 0 e4012: - 116 b2007 + x6807 <= 0 e4013: - 46 b2007 + x6807 >= 0 e4014: - 116 b2008 + x6808 <= 0 e4015: - 46 b2008 + x6808 >= 0 e4016: - 116 b2009 + x6809 <= 0 e4017: - 46 b2009 + x6809 >= 0 e4018: - 116 b2010 + x6810 <= 0 e4019: - 46 b2010 + x6810 >= 0 e4020: - 116 b2011 + x6811 <= 0 e4021: - 46 b2011 + x6811 >= 0 e4022: - 116 b2012 + x6812 <= 0 e4023: - 46 b2012 + x6812 >= 0 e4024: - 116 b2013 + x6813 <= 0 e4025: - 46 b2013 + x6813 >= 0 e4026: - 116 b2014 + x6814 <= 0 e4027: - 46 b2014 + x6814 >= 0 e4028: - 116 b2015 + x6815 <= 0 e4029: - 46 b2015 + x6815 >= 0 e4030: - 116 b2016 + x6816 <= 0 e4031: - 46 b2016 + x6816 >= 0 e4032: - 116 b2017 + x6817 <= 0 e4033: - 46 b2017 + x6817 >= 0 e4034: - 109 b2018 + x6818 <= 0 e4035: - 41 b2018 + x6818 >= 0 e4036: - 109 b2019 + x6819 <= 0 e4037: - 41 b2019 + x6819 >= 0 e4038: - 109 b2020 + x6820 <= 0 e4039: - 41 b2020 + x6820 >= 0 e4040: - 109 b2021 + x6821 <= 0 e4041: - 41 b2021 + x6821 >= 0 e4042: - 109 b2022 + x6822 <= 0 e4043: - 41 b2022 + x6822 >= 0 e4044: - 109 b2023 + x6823 <= 0 e4045: - 41 b2023 + x6823 >= 0 e4046: - 109 b2024 + x6824 <= 0 e4047: - 41 b2024 + x6824 >= 0 e4048: - 109 b2025 + x6825 <= 0 e4049: - 41 b2025 + x6825 >= 0 e4050: - 109 b2026 + x6826 <= 0 e4051: - 41 b2026 + x6826 >= 0 e4052: - 109 b2027 + x6827 <= 0 e4053: - 41 b2027 + x6827 >= 0 e4054: - 109 b2028 + x6828 <= 0 e4055: - 41 b2028 + x6828 >= 0 e4056: - 109 b2029 + x6829 <= 0 e4057: - 41 b2029 + x6829 >= 0 e4058: - 109 b2030 + x6830 <= 0 e4059: - 41 b2030 + x6830 >= 0 e4060: - 109 b2031 + x6831 <= 0 e4061: - 41 b2031 + x6831 >= 0 e4062: - 109 b2032 + x6832 <= 0 e4063: - 41 b2032 + x6832 >= 0 e4064: - 109 b2033 + x6833 <= 0 e4065: - 41 b2033 + x6833 >= 0 e4066: - 109 b2034 + x6834 <= 0 e4067: - 41 b2034 + x6834 >= 0 e4068: - 109 b2035 + x6835 <= 0 e4069: - 41 b2035 + x6835 >= 0 e4070: - 109 b2036 + x6836 <= 0 e4071: - 41 b2036 + x6836 >= 0 e4072: - 109 b2037 + x6837 <= 0 e4073: - 41 b2037 + x6837 >= 0 e4074: - 109 b2038 + x6838 <= 0 e4075: - 41 b2038 + x6838 >= 0 e4076: - 109 b2039 + x6839 <= 0 e4077: - 41 b2039 + x6839 >= 0 e4078: - 109 b2040 + x6840 <= 0 e4079: - 41 b2040 + x6840 >= 0 e4080: - 109 b2041 + x6841 <= 0 e4081: - 41 b2041 + x6841 >= 0 e4082: - 122 b2042 + x6842 <= 0 e4083: - 33 b2042 + x6842 >= 0 e4084: - 122 b2043 + x6843 <= 0 e4085: - 33 b2043 + x6843 >= 0 e4086: - 122 b2044 + x6844 <= 0 e4087: - 33 b2044 + x6844 >= 0 e4088: - 122 b2045 + x6845 <= 0 e4089: - 33 b2045 + x6845 >= 0 e4090: - 122 b2046 + x6846 <= 0 e4091: - 33 b2046 + x6846 >= 0 e4092: - 122 b2047 + x6847 <= 0 e4093: - 33 b2047 + x6847 >= 0 e4094: - 122 b2048 + x6848 <= 0 e4095: - 33 b2048 + x6848 >= 0 e4096: - 122 b2049 + x6849 <= 0 e4097: - 33 b2049 + x6849 >= 0 e4098: - 122 b2050 + x6850 <= 0 e4099: - 33 b2050 + x6850 >= 0 e4100: - 122 b2051 + x6851 <= 0 e4101: - 33 b2051 + x6851 >= 0 e4102: - 122 b2052 + x6852 <= 0 e4103: - 33 b2052 + x6852 >= 0 e4104: - 122 b2053 + x6853 <= 0 e4105: - 33 b2053 + x6853 >= 0 e4106: - 122 b2054 + x6854 <= 0 e4107: - 33 b2054 + x6854 >= 0 e4108: - 122 b2055 + x6855 <= 0 e4109: - 33 b2055 + x6855 >= 0 e4110: - 122 b2056 + x6856 <= 0 e4111: - 33 b2056 + x6856 >= 0 e4112: - 122 b2057 + x6857 <= 0 e4113: - 33 b2057 + x6857 >= 0 e4114: - 122 b2058 + x6858 <= 0 e4115: - 33 b2058 + x6858 >= 0 e4116: - 122 b2059 + x6859 <= 0 e4117: - 33 b2059 + x6859 >= 0 e4118: - 122 b2060 + x6860 <= 0 e4119: - 33 b2060 + x6860 >= 0 e4120: - 122 b2061 + x6861 <= 0 e4121: - 33 b2061 + x6861 >= 0 e4122: - 122 b2062 + x6862 <= 0 e4123: - 33 b2062 + x6862 >= 0 e4124: - 122 b2063 + x6863 <= 0 e4125: - 33 b2063 + x6863 >= 0 e4126: - 122 b2064 + x6864 <= 0 e4127: - 33 b2064 + x6864 >= 0 e4128: - 122 b2065 + x6865 <= 0 e4129: - 33 b2065 + x6865 >= 0 e4130: - 124 b2066 + x6866 <= 0 e4131: - 31 b2066 + x6866 >= 0 e4132: - 124 b2067 + x6867 <= 0 e4133: - 31 b2067 + x6867 >= 0 e4134: - 124 b2068 + x6868 <= 0 e4135: - 31 b2068 + x6868 >= 0 e4136: - 124 b2069 + x6869 <= 0 e4137: - 31 b2069 + x6869 >= 0 e4138: - 124 b2070 + x6870 <= 0 e4139: - 31 b2070 + x6870 >= 0 e4140: - 124 b2071 + x6871 <= 0 e4141: - 31 b2071 + x6871 >= 0 e4142: - 124 b2072 + x6872 <= 0 e4143: - 31 b2072 + x6872 >= 0 e4144: - 124 b2073 + x6873 <= 0 e4145: - 31 b2073 + x6873 >= 0 e4146: - 124 b2074 + x6874 <= 0 e4147: - 31 b2074 + x6874 >= 0 e4148: - 124 b2075 + x6875 <= 0 e4149: - 31 b2075 + x6875 >= 0 e4150: - 124 b2076 + x6876 <= 0 e4151: - 31 b2076 + x6876 >= 0 e4152: - 124 b2077 + x6877 <= 0 e4153: - 31 b2077 + x6877 >= 0 e4154: - 124 b2078 + x6878 <= 0 e4155: - 31 b2078 + x6878 >= 0 e4156: - 124 b2079 + x6879 <= 0 e4157: - 31 b2079 + x6879 >= 0 e4158: - 124 b2080 + x6880 <= 0 e4159: - 31 b2080 + x6880 >= 0 e4160: - 124 b2081 + x6881 <= 0 e4161: - 31 b2081 + x6881 >= 0 e4162: - 124 b2082 + x6882 <= 0 e4163: - 31 b2082 + x6882 >= 0 e4164: - 124 b2083 + x6883 <= 0 e4165: - 31 b2083 + x6883 >= 0 e4166: - 124 b2084 + x6884 <= 0 e4167: - 31 b2084 + x6884 >= 0 e4168: - 124 b2085 + x6885 <= 0 e4169: - 31 b2085 + x6885 >= 0 e4170: - 124 b2086 + x6886 <= 0 e4171: - 31 b2086 + x6886 >= 0 e4172: - 124 b2087 + x6887 <= 0 e4173: - 31 b2087 + x6887 >= 0 e4174: - 124 b2088 + x6888 <= 0 e4175: - 31 b2088 + x6888 >= 0 e4176: - 124 b2089 + x6889 <= 0 e4177: - 31 b2089 + x6889 >= 0 e4178: - 110 b2090 + x6890 <= 0 e4179: - 43 b2090 + x6890 >= 0 e4180: - 110 b2091 + x6891 <= 0 e4181: - 43 b2091 + x6891 >= 0 e4182: - 110 b2092 + x6892 <= 0 e4183: - 43 b2092 + x6892 >= 0 e4184: - 110 b2093 + x6893 <= 0 e4185: - 43 b2093 + x6893 >= 0 e4186: - 110 b2094 + x6894 <= 0 e4187: - 43 b2094 + x6894 >= 0 e4188: - 110 b2095 + x6895 <= 0 e4189: - 43 b2095 + x6895 >= 0 e4190: - 110 b2096 + x6896 <= 0 e4191: - 43 b2096 + x6896 >= 0 e4192: - 110 b2097 + x6897 <= 0 e4193: - 43 b2097 + x6897 >= 0 e4194: - 110 b2098 + x6898 <= 0 e4195: - 43 b2098 + x6898 >= 0 e4196: - 110 b2099 + x6899 <= 0 e4197: - 43 b2099 + x6899 >= 0 e4198: - 110 b2100 + x6900 <= 0 e4199: - 43 b2100 + x6900 >= 0 e4200: - 110 b2101 + x6901 <= 0 e4201: - 43 b2101 + x6901 >= 0 e4202: - 110 b2102 + x6902 <= 0 e4203: - 43 b2102 + x6902 >= 0 e4204: - 110 b2103 + x6903 <= 0 e4205: - 43 b2103 + x6903 >= 0 e4206: - 110 b2104 + x6904 <= 0 e4207: - 43 b2104 + x6904 >= 0 e4208: - 110 b2105 + x6905 <= 0 e4209: - 43 b2105 + x6905 >= 0 e4210: - 110 b2106 + x6906 <= 0 e4211: - 43 b2106 + x6906 >= 0 e4212: - 110 b2107 + x6907 <= 0 e4213: - 43 b2107 + x6907 >= 0 e4214: - 110 b2108 + x6908 <= 0 e4215: - 43 b2108 + x6908 >= 0 e4216: - 110 b2109 + x6909 <= 0 e4217: - 43 b2109 + x6909 >= 0 e4218: - 110 b2110 + x6910 <= 0 e4219: - 43 b2110 + x6910 >= 0 e4220: - 110 b2111 + x6911 <= 0 e4221: - 43 b2111 + x6911 >= 0 e4222: - 110 b2112 + x6912 <= 0 e4223: - 43 b2112 + x6912 >= 0 e4224: - 110 b2113 + x6913 <= 0 e4225: - 43 b2113 + x6913 >= 0 e4226: - 119 b2114 + x6914 <= 0 e4227: - 38 b2114 + x6914 >= 0 e4228: - 119 b2115 + x6915 <= 0 e4229: - 38 b2115 + x6915 >= 0 e4230: - 119 b2116 + x6916 <= 0 e4231: - 38 b2116 + x6916 >= 0 e4232: - 119 b2117 + x6917 <= 0 e4233: - 38 b2117 + x6917 >= 0 e4234: - 119 b2118 + x6918 <= 0 e4235: - 38 b2118 + x6918 >= 0 e4236: - 119 b2119 + x6919 <= 0 e4237: - 38 b2119 + x6919 >= 0 e4238: - 119 b2120 + x6920 <= 0 e4239: - 38 b2120 + x6920 >= 0 e4240: - 119 b2121 + x6921 <= 0 e4241: - 38 b2121 + x6921 >= 0 e4242: - 119 b2122 + x6922 <= 0 e4243: - 38 b2122 + x6922 >= 0 e4244: - 119 b2123 + x6923 <= 0 e4245: - 38 b2123 + x6923 >= 0 e4246: - 119 b2124 + x6924 <= 0 e4247: - 38 b2124 + x6924 >= 0 e4248: - 119 b2125 + x6925 <= 0 e4249: - 38 b2125 + x6925 >= 0 e4250: - 119 b2126 + x6926 <= 0 e4251: - 38 b2126 + x6926 >= 0 e4252: - 119 b2127 + x6927 <= 0 e4253: - 38 b2127 + x6927 >= 0 e4254: - 119 b2128 + x6928 <= 0 e4255: - 38 b2128 + x6928 >= 0 e4256: - 119 b2129 + x6929 <= 0 e4257: - 38 b2129 + x6929 >= 0 e4258: - 119 b2130 + x6930 <= 0 e4259: - 38 b2130 + x6930 >= 0 e4260: - 119 b2131 + x6931 <= 0 e4261: - 38 b2131 + x6931 >= 0 e4262: - 119 b2132 + x6932 <= 0 e4263: - 38 b2132 + x6932 >= 0 e4264: - 119 b2133 + x6933 <= 0 e4265: - 38 b2133 + x6933 >= 0 e4266: - 119 b2134 + x6934 <= 0 e4267: - 38 b2134 + x6934 >= 0 e4268: - 119 b2135 + x6935 <= 0 e4269: - 38 b2135 + x6935 >= 0 e4270: - 119 b2136 + x6936 <= 0 e4271: - 38 b2136 + x6936 >= 0 e4272: - 119 b2137 + x6937 <= 0 e4273: - 38 b2137 + x6937 >= 0 e4274: - 103 b2138 + x6938 <= 0 e4275: - 44 b2138 + x6938 >= 0 e4276: - 103 b2139 + x6939 <= 0 e4277: - 44 b2139 + x6939 >= 0 e4278: - 103 b2140 + x6940 <= 0 e4279: - 44 b2140 + x6940 >= 0 e4280: - 103 b2141 + x6941 <= 0 e4281: - 44 b2141 + x6941 >= 0 e4282: - 103 b2142 + x6942 <= 0 e4283: - 44 b2142 + x6942 >= 0 e4284: - 103 b2143 + x6943 <= 0 e4285: - 44 b2143 + x6943 >= 0 e4286: - 103 b2144 + x6944 <= 0 e4287: - 44 b2144 + x6944 >= 0 e4288: - 103 b2145 + x6945 <= 0 e4289: - 44 b2145 + x6945 >= 0 e4290: - 103 b2146 + x6946 <= 0 e4291: - 44 b2146 + x6946 >= 0 e4292: - 103 b2147 + x6947 <= 0 e4293: - 44 b2147 + x6947 >= 0 e4294: - 103 b2148 + x6948 <= 0 e4295: - 44 b2148 + x6948 >= 0 e4296: - 103 b2149 + x6949 <= 0 e4297: - 44 b2149 + x6949 >= 0 e4298: - 103 b2150 + x6950 <= 0 e4299: - 44 b2150 + x6950 >= 0 e4300: - 103 b2151 + x6951 <= 0 e4301: - 44 b2151 + x6951 >= 0 e4302: - 103 b2152 + x6952 <= 0 e4303: - 44 b2152 + x6952 >= 0 e4304: - 103 b2153 + x6953 <= 0 e4305: - 44 b2153 + x6953 >= 0 e4306: - 103 b2154 + x6954 <= 0 e4307: - 44 b2154 + x6954 >= 0 e4308: - 103 b2155 + x6955 <= 0 e4309: - 44 b2155 + x6955 >= 0 e4310: - 103 b2156 + x6956 <= 0 e4311: - 44 b2156 + x6956 >= 0 e4312: - 103 b2157 + x6957 <= 0 e4313: - 44 b2157 + x6957 >= 0 e4314: - 103 b2158 + x6958 <= 0 e4315: - 44 b2158 + x6958 >= 0 e4316: - 103 b2159 + x6959 <= 0 e4317: - 44 b2159 + x6959 >= 0 e4318: - 103 b2160 + x6960 <= 0 e4319: - 44 b2160 + x6960 >= 0 e4320: - 103 b2161 + x6961 <= 0 e4321: - 44 b2161 + x6961 >= 0 e4322: - 127 b2162 + x6962 <= 0 e4323: - 37 b2162 + x6962 >= 0 e4324: - 127 b2163 + x6963 <= 0 e4325: - 37 b2163 + x6963 >= 0 e4326: - 127 b2164 + x6964 <= 0 e4327: - 37 b2164 + x6964 >= 0 e4328: - 127 b2165 + x6965 <= 0 e4329: - 37 b2165 + x6965 >= 0 e4330: - 127 b2166 + x6966 <= 0 e4331: - 37 b2166 + x6966 >= 0 e4332: - 127 b2167 + x6967 <= 0 e4333: - 37 b2167 + x6967 >= 0 e4334: - 127 b2168 + x6968 <= 0 e4335: - 37 b2168 + x6968 >= 0 e4336: - 127 b2169 + x6969 <= 0 e4337: - 37 b2169 + x6969 >= 0 e4338: - 127 b2170 + x6970 <= 0 e4339: - 37 b2170 + x6970 >= 0 e4340: - 127 b2171 + x6971 <= 0 e4341: - 37 b2171 + x6971 >= 0 e4342: - 127 b2172 + x6972 <= 0 e4343: - 37 b2172 + x6972 >= 0 e4344: - 127 b2173 + x6973 <= 0 e4345: - 37 b2173 + x6973 >= 0 e4346: - 127 b2174 + x6974 <= 0 e4347: - 37 b2174 + x6974 >= 0 e4348: - 127 b2175 + x6975 <= 0 e4349: - 37 b2175 + x6975 >= 0 e4350: - 127 b2176 + x6976 <= 0 e4351: - 37 b2176 + x6976 >= 0 e4352: - 127 b2177 + x6977 <= 0 e4353: - 37 b2177 + x6977 >= 0 e4354: - 127 b2178 + x6978 <= 0 e4355: - 37 b2178 + x6978 >= 0 e4356: - 127 b2179 + x6979 <= 0 e4357: - 37 b2179 + x6979 >= 0 e4358: - 127 b2180 + x6980 <= 0 e4359: - 37 b2180 + x6980 >= 0 e4360: - 127 b2181 + x6981 <= 0 e4361: - 37 b2181 + x6981 >= 0 e4362: - 127 b2182 + x6982 <= 0 e4363: - 37 b2182 + x6982 >= 0 e4364: - 127 b2183 + x6983 <= 0 e4365: - 37 b2183 + x6983 >= 0 e4366: - 127 b2184 + x6984 <= 0 e4367: - 37 b2184 + x6984 >= 0 e4368: - 127 b2185 + x6985 <= 0 e4369: - 37 b2185 + x6985 >= 0 e4370: - 113 b2186 + x6986 <= 0 e4371: - 44 b2186 + x6986 >= 0 e4372: - 113 b2187 + x6987 <= 0 e4373: - 44 b2187 + x6987 >= 0 e4374: - 113 b2188 + x6988 <= 0 e4375: - 44 b2188 + x6988 >= 0 e4376: - 113 b2189 + x6989 <= 0 e4377: - 44 b2189 + x6989 >= 0 e4378: - 113 b2190 + x6990 <= 0 e4379: - 44 b2190 + x6990 >= 0 e4380: - 113 b2191 + x6991 <= 0 e4381: - 44 b2191 + x6991 >= 0 e4382: - 113 b2192 + x6992 <= 0 e4383: - 44 b2192 + x6992 >= 0 e4384: - 113 b2193 + x6993 <= 0 e4385: - 44 b2193 + x6993 >= 0 e4386: - 113 b2194 + x6994 <= 0 e4387: - 44 b2194 + x6994 >= 0 e4388: - 113 b2195 + x6995 <= 0 e4389: - 44 b2195 + x6995 >= 0 e4390: - 113 b2196 + x6996 <= 0 e4391: - 44 b2196 + x6996 >= 0 e4392: - 113 b2197 + x6997 <= 0 e4393: - 44 b2197 + x6997 >= 0 e4394: - 113 b2198 + x6998 <= 0 e4395: - 44 b2198 + x6998 >= 0 e4396: - 113 b2199 + x6999 <= 0 e4397: - 44 b2199 + x6999 >= 0 e4398: - 113 b2200 + x7000 <= 0 e4399: - 44 b2200 + x7000 >= 0 e4400: - 113 b2201 + x7001 <= 0 e4401: - 44 b2201 + x7001 >= 0 e4402: - 113 b2202 + x7002 <= 0 e4403: - 44 b2202 + x7002 >= 0 e4404: - 113 b2203 + x7003 <= 0 e4405: - 44 b2203 + x7003 >= 0 e4406: - 113 b2204 + x7004 <= 0 e4407: - 44 b2204 + x7004 >= 0 e4408: - 113 b2205 + x7005 <= 0 e4409: - 44 b2205 + x7005 >= 0 e4410: - 113 b2206 + x7006 <= 0 e4411: - 44 b2206 + x7006 >= 0 e4412: - 113 b2207 + x7007 <= 0 e4413: - 44 b2207 + x7007 >= 0 e4414: - 113 b2208 + x7008 <= 0 e4415: - 44 b2208 + x7008 >= 0 e4416: - 113 b2209 + x7009 <= 0 e4417: - 44 b2209 + x7009 >= 0 e4418: - 118 b2210 + x7010 <= 0 e4419: - 41 b2210 + x7010 >= 0 e4420: - 118 b2211 + x7011 <= 0 e4421: - 41 b2211 + x7011 >= 0 e4422: - 118 b2212 + x7012 <= 0 e4423: - 41 b2212 + x7012 >= 0 e4424: - 118 b2213 + x7013 <= 0 e4425: - 41 b2213 + x7013 >= 0 e4426: - 118 b2214 + x7014 <= 0 e4427: - 41 b2214 + x7014 >= 0 e4428: - 118 b2215 + x7015 <= 0 e4429: - 41 b2215 + x7015 >= 0 e4430: - 118 b2216 + x7016 <= 0 e4431: - 41 b2216 + x7016 >= 0 e4432: - 118 b2217 + x7017 <= 0 e4433: - 41 b2217 + x7017 >= 0 e4434: - 118 b2218 + x7018 <= 0 e4435: - 41 b2218 + x7018 >= 0 e4436: - 118 b2219 + x7019 <= 0 e4437: - 41 b2219 + x7019 >= 0 e4438: - 118 b2220 + x7020 <= 0 e4439: - 41 b2220 + x7020 >= 0 e4440: - 118 b2221 + x7021 <= 0 e4441: - 41 b2221 + x7021 >= 0 e4442: - 118 b2222 + x7022 <= 0 e4443: - 41 b2222 + x7022 >= 0 e4444: - 118 b2223 + x7023 <= 0 e4445: - 41 b2223 + x7023 >= 0 e4446: - 118 b2224 + x7024 <= 0 e4447: - 41 b2224 + x7024 >= 0 e4448: - 118 b2225 + x7025 <= 0 e4449: - 41 b2225 + x7025 >= 0 e4450: - 118 b2226 + x7026 <= 0 e4451: - 41 b2226 + x7026 >= 0 e4452: - 118 b2227 + x7027 <= 0 e4453: - 41 b2227 + x7027 >= 0 e4454: - 118 b2228 + x7028 <= 0 e4455: - 41 b2228 + x7028 >= 0 e4456: - 118 b2229 + x7029 <= 0 e4457: - 41 b2229 + x7029 >= 0 e4458: - 118 b2230 + x7030 <= 0 e4459: - 41 b2230 + x7030 >= 0 e4460: - 118 b2231 + x7031 <= 0 e4461: - 41 b2231 + x7031 >= 0 e4462: - 118 b2232 + x7032 <= 0 e4463: - 41 b2232 + x7032 >= 0 e4464: - 118 b2233 + x7033 <= 0 e4465: - 41 b2233 + x7033 >= 0 e4466: - 119 b2234 + x7034 <= 0 e4467: - 46 b2234 + x7034 >= 0 e4468: - 119 b2235 + x7035 <= 0 e4469: - 46 b2235 + x7035 >= 0 e4470: - 119 b2236 + x7036 <= 0 e4471: - 46 b2236 + x7036 >= 0 e4472: - 119 b2237 + x7037 <= 0 e4473: - 46 b2237 + x7037 >= 0 e4474: - 119 b2238 + x7038 <= 0 e4475: - 46 b2238 + x7038 >= 0 e4476: - 119 b2239 + x7039 <= 0 e4477: - 46 b2239 + x7039 >= 0 e4478: - 119 b2240 + x7040 <= 0 e4479: - 46 b2240 + x7040 >= 0 e4480: - 119 b2241 + x7041 <= 0 e4481: - 46 b2241 + x7041 >= 0 e4482: - 119 b2242 + x7042 <= 0 e4483: - 46 b2242 + x7042 >= 0 e4484: - 119 b2243 + x7043 <= 0 e4485: - 46 b2243 + x7043 >= 0 e4486: - 119 b2244 + x7044 <= 0 e4487: - 46 b2244 + x7044 >= 0 e4488: - 119 b2245 + x7045 <= 0 e4489: - 46 b2245 + x7045 >= 0 e4490: - 119 b2246 + x7046 <= 0 e4491: - 46 b2246 + x7046 >= 0 e4492: - 119 b2247 + x7047 <= 0 e4493: - 46 b2247 + x7047 >= 0 e4494: - 119 b2248 + x7048 <= 0 e4495: - 46 b2248 + x7048 >= 0 e4496: - 119 b2249 + x7049 <= 0 e4497: - 46 b2249 + x7049 >= 0 e4498: - 119 b2250 + x7050 <= 0 e4499: - 46 b2250 + x7050 >= 0 e4500: - 119 b2251 + x7051 <= 0 e4501: - 46 b2251 + x7051 >= 0 e4502: - 119 b2252 + x7052 <= 0 e4503: - 46 b2252 + x7052 >= 0 e4504: - 119 b2253 + x7053 <= 0 e4505: - 46 b2253 + x7053 >= 0 e4506: - 119 b2254 + x7054 <= 0 e4507: - 46 b2254 + x7054 >= 0 e4508: - 119 b2255 + x7055 <= 0 e4509: - 46 b2255 + x7055 >= 0 e4510: - 119 b2256 + x7056 <= 0 e4511: - 46 b2256 + x7056 >= 0 e4512: - 119 b2257 + x7057 <= 0 e4513: - 46 b2257 + x7057 >= 0 e4514: - 111 b2258 + x7058 <= 0 e4515: - 46 b2258 + x7058 >= 0 e4516: - 111 b2259 + x7059 <= 0 e4517: - 46 b2259 + x7059 >= 0 e4518: - 111 b2260 + x7060 <= 0 e4519: - 46 b2260 + x7060 >= 0 e4520: - 111 b2261 + x7061 <= 0 e4521: - 46 b2261 + x7061 >= 0 e4522: - 111 b2262 + x7062 <= 0 e4523: - 46 b2262 + x7062 >= 0 e4524: - 111 b2263 + x7063 <= 0 e4525: - 46 b2263 + x7063 >= 0 e4526: - 111 b2264 + x7064 <= 0 e4527: - 46 b2264 + x7064 >= 0 e4528: - 111 b2265 + x7065 <= 0 e4529: - 46 b2265 + x7065 >= 0 e4530: - 111 b2266 + x7066 <= 0 e4531: - 46 b2266 + x7066 >= 0 e4532: - 111 b2267 + x7067 <= 0 e4533: - 46 b2267 + x7067 >= 0 e4534: - 111 b2268 + x7068 <= 0 e4535: - 46 b2268 + x7068 >= 0 e4536: - 111 b2269 + x7069 <= 0 e4537: - 46 b2269 + x7069 >= 0 e4538: - 111 b2270 + x7070 <= 0 e4539: - 46 b2270 + x7070 >= 0 e4540: - 111 b2271 + x7071 <= 0 e4541: - 46 b2271 + x7071 >= 0 e4542: - 111 b2272 + x7072 <= 0 e4543: - 46 b2272 + x7072 >= 0 e4544: - 111 b2273 + x7073 <= 0 e4545: - 46 b2273 + x7073 >= 0 e4546: - 111 b2274 + x7074 <= 0 e4547: - 46 b2274 + x7074 >= 0 e4548: - 111 b2275 + x7075 <= 0 e4549: - 46 b2275 + x7075 >= 0 e4550: - 111 b2276 + x7076 <= 0 e4551: - 46 b2276 + x7076 >= 0 e4552: - 111 b2277 + x7077 <= 0 e4553: - 46 b2277 + x7077 >= 0 e4554: - 111 b2278 + x7078 <= 0 e4555: - 46 b2278 + x7078 >= 0 e4556: - 111 b2279 + x7079 <= 0 e4557: - 46 b2279 + x7079 >= 0 e4558: - 111 b2280 + x7080 <= 0 e4559: - 46 b2280 + x7080 >= 0 e4560: - 111 b2281 + x7081 <= 0 e4561: - 46 b2281 + x7081 >= 0 e4562: - 116 b2282 + x7082 <= 0 e4563: - 33 b2282 + x7082 >= 0 e4564: - 116 b2283 + x7083 <= 0 e4565: - 33 b2283 + x7083 >= 0 e4566: - 116 b2284 + x7084 <= 0 e4567: - 33 b2284 + x7084 >= 0 e4568: - 116 b2285 + x7085 <= 0 e4569: - 33 b2285 + x7085 >= 0 e4570: - 116 b2286 + x7086 <= 0 e4571: - 33 b2286 + x7086 >= 0 e4572: - 116 b2287 + x7087 <= 0 e4573: - 33 b2287 + x7087 >= 0 e4574: - 116 b2288 + x7088 <= 0 e4575: - 33 b2288 + x7088 >= 0 e4576: - 116 b2289 + x7089 <= 0 e4577: - 33 b2289 + x7089 >= 0 e4578: - 116 b2290 + x7090 <= 0 e4579: - 33 b2290 + x7090 >= 0 e4580: - 116 b2291 + x7091 <= 0 e4581: - 33 b2291 + x7091 >= 0 e4582: - 116 b2292 + x7092 <= 0 e4583: - 33 b2292 + x7092 >= 0 e4584: - 116 b2293 + x7093 <= 0 e4585: - 33 b2293 + x7093 >= 0 e4586: - 116 b2294 + x7094 <= 0 e4587: - 33 b2294 + x7094 >= 0 e4588: - 116 b2295 + x7095 <= 0 e4589: - 33 b2295 + x7095 >= 0 e4590: - 116 b2296 + x7096 <= 0 e4591: - 33 b2296 + x7096 >= 0 e4592: - 116 b2297 + x7097 <= 0 e4593: - 33 b2297 + x7097 >= 0 e4594: - 116 b2298 + x7098 <= 0 e4595: - 33 b2298 + x7098 >= 0 e4596: - 116 b2299 + x7099 <= 0 e4597: - 33 b2299 + x7099 >= 0 e4598: - 116 b2300 + x7100 <= 0 e4599: - 33 b2300 + x7100 >= 0 e4600: - 116 b2301 + x7101 <= 0 e4601: - 33 b2301 + x7101 >= 0 e4602: - 116 b2302 + x7102 <= 0 e4603: - 33 b2302 + x7102 >= 0 e4604: - 116 b2303 + x7103 <= 0 e4605: - 33 b2303 + x7103 >= 0 e4606: - 116 b2304 + x7104 <= 0 e4607: - 33 b2304 + x7104 >= 0 e4608: - 116 b2305 + x7105 <= 0 e4609: - 33 b2305 + x7105 >= 0 e4610: - 125 b2306 + x7106 <= 0 e4611: - 36 b2306 + x7106 >= 0 e4612: - 125 b2307 + x7107 <= 0 e4613: - 36 b2307 + x7107 >= 0 e4614: - 125 b2308 + x7108 <= 0 e4615: - 36 b2308 + x7108 >= 0 e4616: - 125 b2309 + x7109 <= 0 e4617: - 36 b2309 + x7109 >= 0 e4618: - 125 b2310 + x7110 <= 0 e4619: - 36 b2310 + x7110 >= 0 e4620: - 125 b2311 + x7111 <= 0 e4621: - 36 b2311 + x7111 >= 0 e4622: - 125 b2312 + x7112 <= 0 e4623: - 36 b2312 + x7112 >= 0 e4624: - 125 b2313 + x7113 <= 0 e4625: - 36 b2313 + x7113 >= 0 e4626: - 125 b2314 + x7114 <= 0 e4627: - 36 b2314 + x7114 >= 0 e4628: - 125 b2315 + x7115 <= 0 e4629: - 36 b2315 + x7115 >= 0 e4630: - 125 b2316 + x7116 <= 0 e4631: - 36 b2316 + x7116 >= 0 e4632: - 125 b2317 + x7117 <= 0 e4633: - 36 b2317 + x7117 >= 0 e4634: - 125 b2318 + x7118 <= 0 e4635: - 36 b2318 + x7118 >= 0 e4636: - 125 b2319 + x7119 <= 0 e4637: - 36 b2319 + x7119 >= 0 e4638: - 125 b2320 + x7120 <= 0 e4639: - 36 b2320 + x7120 >= 0 e4640: - 125 b2321 + x7121 <= 0 e4641: - 36 b2321 + x7121 >= 0 e4642: - 125 b2322 + x7122 <= 0 e4643: - 36 b2322 + x7122 >= 0 e4644: - 125 b2323 + x7123 <= 0 e4645: - 36 b2323 + x7123 >= 0 e4646: - 125 b2324 + x7124 <= 0 e4647: - 36 b2324 + x7124 >= 0 e4648: - 125 b2325 + x7125 <= 0 e4649: - 36 b2325 + x7125 >= 0 e4650: - 125 b2326 + x7126 <= 0 e4651: - 36 b2326 + x7126 >= 0 e4652: - 125 b2327 + x7127 <= 0 e4653: - 36 b2327 + x7127 >= 0 e4654: - 125 b2328 + x7128 <= 0 e4655: - 36 b2328 + x7128 >= 0 e4656: - 125 b2329 + x7129 <= 0 e4657: - 36 b2329 + x7129 >= 0 e4658: - 112 b2330 + x7130 <= 0 e4659: - 30 b2330 + x7130 >= 0 e4660: - 112 b2331 + x7131 <= 0 e4661: - 30 b2331 + x7131 >= 0 e4662: - 112 b2332 + x7132 <= 0 e4663: - 30 b2332 + x7132 >= 0 e4664: - 112 b2333 + x7133 <= 0 e4665: - 30 b2333 + x7133 >= 0 e4666: - 112 b2334 + x7134 <= 0 e4667: - 30 b2334 + x7134 >= 0 e4668: - 112 b2335 + x7135 <= 0 e4669: - 30 b2335 + x7135 >= 0 e4670: - 112 b2336 + x7136 <= 0 e4671: - 30 b2336 + x7136 >= 0 e4672: - 112 b2337 + x7137 <= 0 e4673: - 30 b2337 + x7137 >= 0 e4674: - 112 b2338 + x7138 <= 0 e4675: - 30 b2338 + x7138 >= 0 e4676: - 112 b2339 + x7139 <= 0 e4677: - 30 b2339 + x7139 >= 0 e4678: - 112 b2340 + x7140 <= 0 e4679: - 30 b2340 + x7140 >= 0 e4680: - 112 b2341 + x7141 <= 0 e4681: - 30 b2341 + x7141 >= 0 e4682: - 112 b2342 + x7142 <= 0 e4683: - 30 b2342 + x7142 >= 0 e4684: - 112 b2343 + x7143 <= 0 e4685: - 30 b2343 + x7143 >= 0 e4686: - 112 b2344 + x7144 <= 0 e4687: - 30 b2344 + x7144 >= 0 e4688: - 112 b2345 + x7145 <= 0 e4689: - 30 b2345 + x7145 >= 0 e4690: - 112 b2346 + x7146 <= 0 e4691: - 30 b2346 + x7146 >= 0 e4692: - 112 b2347 + x7147 <= 0 e4693: - 30 b2347 + x7147 >= 0 e4694: - 112 b2348 + x7148 <= 0 e4695: - 30 b2348 + x7148 >= 0 e4696: - 112 b2349 + x7149 <= 0 e4697: - 30 b2349 + x7149 >= 0 e4698: - 112 b2350 + x7150 <= 0 e4699: - 30 b2350 + x7150 >= 0 e4700: - 112 b2351 + x7151 <= 0 e4701: - 30 b2351 + x7151 >= 0 e4702: - 112 b2352 + x7152 <= 0 e4703: - 30 b2352 + x7152 >= 0 e4704: - 112 b2353 + x7153 <= 0 e4705: - 30 b2353 + x7153 >= 0 e4706: - 118 b2354 + x7154 <= 0 e4707: - 35 b2354 + x7154 >= 0 e4708: - 118 b2355 + x7155 <= 0 e4709: - 35 b2355 + x7155 >= 0 e4710: - 118 b2356 + x7156 <= 0 e4711: - 35 b2356 + x7156 >= 0 e4712: - 118 b2357 + x7157 <= 0 e4713: - 35 b2357 + x7157 >= 0 e4714: - 118 b2358 + x7158 <= 0 e4715: - 35 b2358 + x7158 >= 0 e4716: - 118 b2359 + x7159 <= 0 e4717: - 35 b2359 + x7159 >= 0 e4718: - 118 b2360 + x7160 <= 0 e4719: - 35 b2360 + x7160 >= 0 e4720: - 118 b2361 + x7161 <= 0 e4721: - 35 b2361 + x7161 >= 0 e4722: - 118 b2362 + x7162 <= 0 e4723: - 35 b2362 + x7162 >= 0 e4724: - 118 b2363 + x7163 <= 0 e4725: - 35 b2363 + x7163 >= 0 e4726: - 118 b2364 + x7164 <= 0 e4727: - 35 b2364 + x7164 >= 0 e4728: - 118 b2365 + x7165 <= 0 e4729: - 35 b2365 + x7165 >= 0 e4730: - 118 b2366 + x7166 <= 0 e4731: - 35 b2366 + x7166 >= 0 e4732: - 118 b2367 + x7167 <= 0 e4733: - 35 b2367 + x7167 >= 0 e4734: - 118 b2368 + x7168 <= 0 e4735: - 35 b2368 + x7168 >= 0 e4736: - 118 b2369 + x7169 <= 0 e4737: - 35 b2369 + x7169 >= 0 e4738: - 118 b2370 + x7170 <= 0 e4739: - 35 b2370 + x7170 >= 0 e4740: - 118 b2371 + x7171 <= 0 e4741: - 35 b2371 + x7171 >= 0 e4742: - 118 b2372 + x7172 <= 0 e4743: - 35 b2372 + x7172 >= 0 e4744: - 118 b2373 + x7173 <= 0 e4745: - 35 b2373 + x7173 >= 0 e4746: - 118 b2374 + x7174 <= 0 e4747: - 35 b2374 + x7174 >= 0 e4748: - 118 b2375 + x7175 <= 0 e4749: - 35 b2375 + x7175 >= 0 e4750: - 118 b2376 + x7176 <= 0 e4751: - 35 b2376 + x7176 >= 0 e4752: - 118 b2377 + x7177 <= 0 e4753: - 35 b2377 + x7177 >= 0 e4754: - 101 b2378 + x7178 <= 0 e4755: - 38 b2378 + x7178 >= 0 e4756: - 101 b2379 + x7179 <= 0 e4757: - 38 b2379 + x7179 >= 0 e4758: - 101 b2380 + x7180 <= 0 e4759: - 38 b2380 + x7180 >= 0 e4760: - 101 b2381 + x7181 <= 0 e4761: - 38 b2381 + x7181 >= 0 e4762: - 101 b2382 + x7182 <= 0 e4763: - 38 b2382 + x7182 >= 0 e4764: - 101 b2383 + x7183 <= 0 e4765: - 38 b2383 + x7183 >= 0 e4766: - 101 b2384 + x7184 <= 0 e4767: - 38 b2384 + x7184 >= 0 e4768: - 101 b2385 + x7185 <= 0 e4769: - 38 b2385 + x7185 >= 0 e4770: - 101 b2386 + x7186 <= 0 e4771: - 38 b2386 + x7186 >= 0 e4772: - 101 b2387 + x7187 <= 0 e4773: - 38 b2387 + x7187 >= 0 e4774: - 101 b2388 + x7188 <= 0 e4775: - 38 b2388 + x7188 >= 0 e4776: - 101 b2389 + x7189 <= 0 e4777: - 38 b2389 + x7189 >= 0 e4778: - 101 b2390 + x7190 <= 0 e4779: - 38 b2390 + x7190 >= 0 e4780: - 101 b2391 + x7191 <= 0 e4781: - 38 b2391 + x7191 >= 0 e4782: - 101 b2392 + x7192 <= 0 e4783: - 38 b2392 + x7192 >= 0 e4784: - 101 b2393 + x7193 <= 0 e4785: - 38 b2393 + x7193 >= 0 e4786: - 101 b2394 + x7194 <= 0 e4787: - 38 b2394 + x7194 >= 0 e4788: - 101 b2395 + x7195 <= 0 e4789: - 38 b2395 + x7195 >= 0 e4790: - 101 b2396 + x7196 <= 0 e4791: - 38 b2396 + x7196 >= 0 e4792: - 101 b2397 + x7197 <= 0 e4793: - 38 b2397 + x7197 >= 0 e4794: - 101 b2398 + x7198 <= 0 e4795: - 38 b2398 + x7198 >= 0 e4796: - 101 b2399 + x7199 <= 0 e4797: - 38 b2399 + x7199 >= 0 e4798: - 101 b2400 + x7200 <= 0 e4799: - 38 b2400 + x7200 >= 0 e4800: - 101 b2401 + x7201 <= 0 e4801: - 38 b2401 + x7201 >= 0 e4802: - 179 b2402 + x7202 <= 0 e4803: - 54 b2402 + x7202 >= 0 e4804: - 179 b2403 + x7203 <= 0 e4805: - 54 b2403 + x7203 >= 0 e4806: - 179 b2404 + x7204 <= 0 e4807: - 54 b2404 + x7204 >= 0 e4808: - 179 b2405 + x7205 <= 0 e4809: - 54 b2405 + x7205 >= 0 e4810: - 179 b2406 + x7206 <= 0 e4811: - 54 b2406 + x7206 >= 0 e4812: - 179 b2407 + x7207 <= 0 e4813: - 54 b2407 + x7207 >= 0 e4814: - 179 b2408 + x7208 <= 0 e4815: - 54 b2408 + x7208 >= 0 e4816: - 179 b2409 + x7209 <= 0 e4817: - 54 b2409 + x7209 >= 0 e4818: - 179 b2410 + x7210 <= 0 e4819: - 54 b2410 + x7210 >= 0 e4820: - 179 b2411 + x7211 <= 0 e4821: - 54 b2411 + x7211 >= 0 e4822: - 179 b2412 + x7212 <= 0 e4823: - 54 b2412 + x7212 >= 0 e4824: - 179 b2413 + x7213 <= 0 e4825: - 54 b2413 + x7213 >= 0 e4826: - 179 b2414 + x7214 <= 0 e4827: - 54 b2414 + x7214 >= 0 e4828: - 179 b2415 + x7215 <= 0 e4829: - 54 b2415 + x7215 >= 0 e4830: - 179 b2416 + x7216 <= 0 e4831: - 54 b2416 + x7216 >= 0 e4832: - 179 b2417 + x7217 <= 0 e4833: - 54 b2417 + x7217 >= 0 e4834: - 179 b2418 + x7218 <= 0 e4835: - 54 b2418 + x7218 >= 0 e4836: - 179 b2419 + x7219 <= 0 e4837: - 54 b2419 + x7219 >= 0 e4838: - 179 b2420 + x7220 <= 0 e4839: - 54 b2420 + x7220 >= 0 e4840: - 179 b2421 + x7221 <= 0 e4841: - 54 b2421 + x7221 >= 0 e4842: - 179 b2422 + x7222 <= 0 e4843: - 54 b2422 + x7222 >= 0 e4844: - 179 b2423 + x7223 <= 0 e4845: - 54 b2423 + x7223 >= 0 e4846: - 179 b2424 + x7224 <= 0 e4847: - 54 b2424 + x7224 >= 0 e4848: - 179 b2425 + x7225 <= 0 e4849: - 54 b2425 + x7225 >= 0 e4850: - 205 b2426 + x7226 <= 0 e4851: - 58 b2426 + x7226 >= 0 e4852: - 205 b2427 + x7227 <= 0 e4853: - 58 b2427 + x7227 >= 0 e4854: - 205 b2428 + x7228 <= 0 e4855: - 58 b2428 + x7228 >= 0 e4856: - 205 b2429 + x7229 <= 0 e4857: - 58 b2429 + x7229 >= 0 e4858: - 205 b2430 + x7230 <= 0 e4859: - 58 b2430 + x7230 >= 0 e4860: - 205 b2431 + x7231 <= 0 e4861: - 58 b2431 + x7231 >= 0 e4862: - 205 b2432 + x7232 <= 0 e4863: - 58 b2432 + x7232 >= 0 e4864: - 205 b2433 + x7233 <= 0 e4865: - 58 b2433 + x7233 >= 0 e4866: - 205 b2434 + x7234 <= 0 e4867: - 58 b2434 + x7234 >= 0 e4868: - 205 b2435 + x7235 <= 0 e4869: - 58 b2435 + x7235 >= 0 e4870: - 205 b2436 + x7236 <= 0 e4871: - 58 b2436 + x7236 >= 0 e4872: - 205 b2437 + x7237 <= 0 e4873: - 58 b2437 + x7237 >= 0 e4874: - 205 b2438 + x7238 <= 0 e4875: - 58 b2438 + x7238 >= 0 e4876: - 205 b2439 + x7239 <= 0 e4877: - 58 b2439 + x7239 >= 0 e4878: - 205 b2440 + x7240 <= 0 e4879: - 58 b2440 + x7240 >= 0 e4880: - 205 b2441 + x7241 <= 0 e4881: - 58 b2441 + x7241 >= 0 e4882: - 205 b2442 + x7242 <= 0 e4883: - 58 b2442 + x7242 >= 0 e4884: - 205 b2443 + x7243 <= 0 e4885: - 58 b2443 + x7243 >= 0 e4886: - 205 b2444 + x7244 <= 0 e4887: - 58 b2444 + x7244 >= 0 e4888: - 205 b2445 + x7245 <= 0 e4889: - 58 b2445 + x7245 >= 0 e4890: - 205 b2446 + x7246 <= 0 e4891: - 58 b2446 + x7246 >= 0 e4892: - 205 b2447 + x7247 <= 0 e4893: - 58 b2447 + x7247 >= 0 e4894: - 205 b2448 + x7248 <= 0 e4895: - 58 b2448 + x7248 >= 0 e4896: - 205 b2449 + x7249 <= 0 e4897: - 58 b2449 + x7249 >= 0 e4898: - 208 b2450 + x7250 <= 0 e4899: - 53 b2450 + x7250 >= 0 e4900: - 208 b2451 + x7251 <= 0 e4901: - 53 b2451 + x7251 >= 0 e4902: - 208 b2452 + x7252 <= 0 e4903: - 53 b2452 + x7252 >= 0 e4904: - 208 b2453 + x7253 <= 0 e4905: - 53 b2453 + x7253 >= 0 e4906: - 208 b2454 + x7254 <= 0 e4907: - 53 b2454 + x7254 >= 0 e4908: - 208 b2455 + x7255 <= 0 e4909: - 53 b2455 + x7255 >= 0 e4910: - 208 b2456 + x7256 <= 0 e4911: - 53 b2456 + x7256 >= 0 e4912: - 208 b2457 + x7257 <= 0 e4913: - 53 b2457 + x7257 >= 0 e4914: - 208 b2458 + x7258 <= 0 e4915: - 53 b2458 + x7258 >= 0 e4916: - 208 b2459 + x7259 <= 0 e4917: - 53 b2459 + x7259 >= 0 e4918: - 208 b2460 + x7260 <= 0 e4919: - 53 b2460 + x7260 >= 0 e4920: - 208 b2461 + x7261 <= 0 e4921: - 53 b2461 + x7261 >= 0 e4922: - 208 b2462 + x7262 <= 0 e4923: - 53 b2462 + x7262 >= 0 e4924: - 208 b2463 + x7263 <= 0 e4925: - 53 b2463 + x7263 >= 0 e4926: - 208 b2464 + x7264 <= 0 e4927: - 53 b2464 + x7264 >= 0 e4928: - 208 b2465 + x7265 <= 0 e4929: - 53 b2465 + x7265 >= 0 e4930: - 208 b2466 + x7266 <= 0 e4931: - 53 b2466 + x7266 >= 0 e4932: - 208 b2467 + x7267 <= 0 e4933: - 53 b2467 + x7267 >= 0 e4934: - 208 b2468 + x7268 <= 0 e4935: - 53 b2468 + x7268 >= 0 e4936: - 208 b2469 + x7269 <= 0 e4937: - 53 b2469 + x7269 >= 0 e4938: - 208 b2470 + x7270 <= 0 e4939: - 53 b2470 + x7270 >= 0 e4940: - 208 b2471 + x7271 <= 0 e4941: - 53 b2471 + x7271 >= 0 e4942: - 208 b2472 + x7272 <= 0 e4943: - 53 b2472 + x7272 >= 0 e4944: - 208 b2473 + x7273 <= 0 e4945: - 53 b2473 + x7273 >= 0 e4946: - 179 b2474 + x7274 <= 0 e4947: - 70 b2474 + x7274 >= 0 e4948: - 179 b2475 + x7275 <= 0 e4949: - 70 b2475 + x7275 >= 0 e4950: - 179 b2476 + x7276 <= 0 e4951: - 70 b2476 + x7276 >= 0 e4952: - 179 b2477 + x7277 <= 0 e4953: - 70 b2477 + x7277 >= 0 e4954: - 179 b2478 + x7278 <= 0 e4955: - 70 b2478 + x7278 >= 0 e4956: - 179 b2479 + x7279 <= 0 e4957: - 70 b2479 + x7279 >= 0 e4958: - 179 b2480 + x7280 <= 0 e4959: - 70 b2480 + x7280 >= 0 e4960: - 179 b2481 + x7281 <= 0 e4961: - 70 b2481 + x7281 >= 0 e4962: - 179 b2482 + x7282 <= 0 e4963: - 70 b2482 + x7282 >= 0 e4964: - 179 b2483 + x7283 <= 0 e4965: - 70 b2483 + x7283 >= 0 e4966: - 179 b2484 + x7284 <= 0 e4967: - 70 b2484 + x7284 >= 0 e4968: - 179 b2485 + x7285 <= 0 e4969: - 70 b2485 + x7285 >= 0 e4970: - 179 b2486 + x7286 <= 0 e4971: - 70 b2486 + x7286 >= 0 e4972: - 179 b2487 + x7287 <= 0 e4973: - 70 b2487 + x7287 >= 0 e4974: - 179 b2488 + x7288 <= 0 e4975: - 70 b2488 + x7288 >= 0 e4976: - 179 b2489 + x7289 <= 0 e4977: - 70 b2489 + x7289 >= 0 e4978: - 179 b2490 + x7290 <= 0 e4979: - 70 b2490 + x7290 >= 0 e4980: - 179 b2491 + x7291 <= 0 e4981: - 70 b2491 + x7291 >= 0 e4982: - 179 b2492 + x7292 <= 0 e4983: - 70 b2492 + x7292 >= 0 e4984: - 179 b2493 + x7293 <= 0 e4985: - 70 b2493 + x7293 >= 0 e4986: - 179 b2494 + x7294 <= 0 e4987: - 70 b2494 + x7294 >= 0 e4988: - 179 b2495 + x7295 <= 0 e4989: - 70 b2495 + x7295 >= 0 e4990: - 179 b2496 + x7296 <= 0 e4991: - 70 b2496 + x7296 >= 0 e4992: - 179 b2497 + x7297 <= 0 e4993: - 70 b2497 + x7297 >= 0 e4994: - 188 b2498 + x7298 <= 0 e4995: - 60 b2498 + x7298 >= 0 e4996: - 188 b2499 + x7299 <= 0 e4997: - 60 b2499 + x7299 >= 0 e4998: - 188 b2500 + x7300 <= 0 e4999: - 60 b2500 + x7300 >= 0 e5000: - 188 b2501 + x7301 <= 0 e5001: - 60 b2501 + x7301 >= 0 e5002: - 188 b2502 + x7302 <= 0 e5003: - 60 b2502 + x7302 >= 0 e5004: - 188 b2503 + x7303 <= 0 e5005: - 60 b2503 + x7303 >= 0 e5006: - 188 b2504 + x7304 <= 0 e5007: - 60 b2504 + x7304 >= 0 e5008: - 188 b2505 + x7305 <= 0 e5009: - 60 b2505 + x7305 >= 0 e5010: - 188 b2506 + x7306 <= 0 e5011: - 60 b2506 + x7306 >= 0 e5012: - 188 b2507 + x7307 <= 0 e5013: - 60 b2507 + x7307 >= 0 e5014: - 188 b2508 + x7308 <= 0 e5015: - 60 b2508 + x7308 >= 0 e5016: - 188 b2509 + x7309 <= 0 e5017: - 60 b2509 + x7309 >= 0 e5018: - 188 b2510 + x7310 <= 0 e5019: - 60 b2510 + x7310 >= 0 e5020: - 188 b2511 + x7311 <= 0 e5021: - 60 b2511 + x7311 >= 0 e5022: - 188 b2512 + x7312 <= 0 e5023: - 60 b2512 + x7312 >= 0 e5024: - 188 b2513 + x7313 <= 0 e5025: - 60 b2513 + x7313 >= 0 e5026: - 188 b2514 + x7314 <= 0 e5027: - 60 b2514 + x7314 >= 0 e5028: - 188 b2515 + x7315 <= 0 e5029: - 60 b2515 + x7315 >= 0 e5030: - 188 b2516 + x7316 <= 0 e5031: - 60 b2516 + x7316 >= 0 e5032: - 188 b2517 + x7317 <= 0 e5033: - 60 b2517 + x7317 >= 0 e5034: - 188 b2518 + x7318 <= 0 e5035: - 60 b2518 + x7318 >= 0 e5036: - 188 b2519 + x7319 <= 0 e5037: - 60 b2519 + x7319 >= 0 e5038: - 188 b2520 + x7320 <= 0 e5039: - 60 b2520 + x7320 >= 0 e5040: - 188 b2521 + x7321 <= 0 e5041: - 60 b2521 + x7321 >= 0 e5042: - 213 b2522 + x7322 <= 0 e5043: - 70 b2522 + x7322 >= 0 e5044: - 213 b2523 + x7323 <= 0 e5045: - 70 b2523 + x7323 >= 0 e5046: - 213 b2524 + x7324 <= 0 e5047: - 70 b2524 + x7324 >= 0 e5048: - 213 b2525 + x7325 <= 0 e5049: - 70 b2525 + x7325 >= 0 e5050: - 213 b2526 + x7326 <= 0 e5051: - 70 b2526 + x7326 >= 0 e5052: - 213 b2527 + x7327 <= 0 e5053: - 70 b2527 + x7327 >= 0 e5054: - 213 b2528 + x7328 <= 0 e5055: - 70 b2528 + x7328 >= 0 e5056: - 213 b2529 + x7329 <= 0 e5057: - 70 b2529 + x7329 >= 0 e5058: - 213 b2530 + x7330 <= 0 e5059: - 70 b2530 + x7330 >= 0 e5060: - 213 b2531 + x7331 <= 0 e5061: - 70 b2531 + x7331 >= 0 e5062: - 213 b2532 + x7332 <= 0 e5063: - 70 b2532 + x7332 >= 0 e5064: - 213 b2533 + x7333 <= 0 e5065: - 70 b2533 + x7333 >= 0 e5066: - 213 b2534 + x7334 <= 0 e5067: - 70 b2534 + x7334 >= 0 e5068: - 213 b2535 + x7335 <= 0 e5069: - 70 b2535 + x7335 >= 0 e5070: - 213 b2536 + x7336 <= 0 e5071: - 70 b2536 + x7336 >= 0 e5072: - 213 b2537 + x7337 <= 0 e5073: - 70 b2537 + x7337 >= 0 e5074: - 213 b2538 + x7338 <= 0 e5075: - 70 b2538 + x7338 >= 0 e5076: - 213 b2539 + x7339 <= 0 e5077: - 70 b2539 + x7339 >= 0 e5078: - 213 b2540 + x7340 <= 0 e5079: - 70 b2540 + x7340 >= 0 e5080: - 213 b2541 + x7341 <= 0 e5081: - 70 b2541 + x7341 >= 0 e5082: - 213 b2542 + x7342 <= 0 e5083: - 70 b2542 + x7342 >= 0 e5084: - 213 b2543 + x7343 <= 0 e5085: - 70 b2543 + x7343 >= 0 e5086: - 213 b2544 + x7344 <= 0 e5087: - 70 b2544 + x7344 >= 0 e5088: - 213 b2545 + x7345 <= 0 e5089: - 70 b2545 + x7345 >= 0 e5090: - 180 b2546 + x7346 <= 0 e5091: - 57 b2546 + x7346 >= 0 e5092: - 180 b2547 + x7347 <= 0 e5093: - 57 b2547 + x7347 >= 0 e5094: - 180 b2548 + x7348 <= 0 e5095: - 57 b2548 + x7348 >= 0 e5096: - 180 b2549 + x7349 <= 0 e5097: - 57 b2549 + x7349 >= 0 e5098: - 180 b2550 + x7350 <= 0 e5099: - 57 b2550 + x7350 >= 0 e5100: - 180 b2551 + x7351 <= 0 e5101: - 57 b2551 + x7351 >= 0 e5102: - 180 b2552 + x7352 <= 0 e5103: - 57 b2552 + x7352 >= 0 e5104: - 180 b2553 + x7353 <= 0 e5105: - 57 b2553 + x7353 >= 0 e5106: - 180 b2554 + x7354 <= 0 e5107: - 57 b2554 + x7354 >= 0 e5108: - 180 b2555 + x7355 <= 0 e5109: - 57 b2555 + x7355 >= 0 e5110: - 180 b2556 + x7356 <= 0 e5111: - 57 b2556 + x7356 >= 0 e5112: - 180 b2557 + x7357 <= 0 e5113: - 57 b2557 + x7357 >= 0 e5114: - 180 b2558 + x7358 <= 0 e5115: - 57 b2558 + x7358 >= 0 e5116: - 180 b2559 + x7359 <= 0 e5117: - 57 b2559 + x7359 >= 0 e5118: - 180 b2560 + x7360 <= 0 e5119: - 57 b2560 + x7360 >= 0 e5120: - 180 b2561 + x7361 <= 0 e5121: - 57 b2561 + x7361 >= 0 e5122: - 180 b2562 + x7362 <= 0 e5123: - 57 b2562 + x7362 >= 0 e5124: - 180 b2563 + x7363 <= 0 e5125: - 57 b2563 + x7363 >= 0 e5126: - 180 b2564 + x7364 <= 0 e5127: - 57 b2564 + x7364 >= 0 e5128: - 180 b2565 + x7365 <= 0 e5129: - 57 b2565 + x7365 >= 0 e5130: - 180 b2566 + x7366 <= 0 e5131: - 57 b2566 + x7366 >= 0 e5132: - 180 b2567 + x7367 <= 0 e5133: - 57 b2567 + x7367 >= 0 e5134: - 180 b2568 + x7368 <= 0 e5135: - 57 b2568 + x7368 >= 0 e5136: - 180 b2569 + x7369 <= 0 e5137: - 57 b2569 + x7369 >= 0 e5138: - 196 b2570 + x7370 <= 0 e5139: - 67 b2570 + x7370 >= 0 e5140: - 196 b2571 + x7371 <= 0 e5141: - 67 b2571 + x7371 >= 0 e5142: - 196 b2572 + x7372 <= 0 e5143: - 67 b2572 + x7372 >= 0 e5144: - 196 b2573 + x7373 <= 0 e5145: - 67 b2573 + x7373 >= 0 e5146: - 196 b2574 + x7374 <= 0 e5147: - 67 b2574 + x7374 >= 0 e5148: - 196 b2575 + x7375 <= 0 e5149: - 67 b2575 + x7375 >= 0 e5150: - 196 b2576 + x7376 <= 0 e5151: - 67 b2576 + x7376 >= 0 e5152: - 196 b2577 + x7377 <= 0 e5153: - 67 b2577 + x7377 >= 0 e5154: - 196 b2578 + x7378 <= 0 e5155: - 67 b2578 + x7378 >= 0 e5156: - 196 b2579 + x7379 <= 0 e5157: - 67 b2579 + x7379 >= 0 e5158: - 196 b2580 + x7380 <= 0 e5159: - 67 b2580 + x7380 >= 0 e5160: - 196 b2581 + x7381 <= 0 e5161: - 67 b2581 + x7381 >= 0 e5162: - 196 b2582 + x7382 <= 0 e5163: - 67 b2582 + x7382 >= 0 e5164: - 196 b2583 + x7383 <= 0 e5165: - 67 b2583 + x7383 >= 0 e5166: - 196 b2584 + x7384 <= 0 e5167: - 67 b2584 + x7384 >= 0 e5168: - 196 b2585 + x7385 <= 0 e5169: - 67 b2585 + x7385 >= 0 e5170: - 196 b2586 + x7386 <= 0 e5171: - 67 b2586 + x7386 >= 0 e5172: - 196 b2587 + x7387 <= 0 e5173: - 67 b2587 + x7387 >= 0 e5174: - 196 b2588 + x7388 <= 0 e5175: - 67 b2588 + x7388 >= 0 e5176: - 196 b2589 + x7389 <= 0 e5177: - 67 b2589 + x7389 >= 0 e5178: - 196 b2590 + x7390 <= 0 e5179: - 67 b2590 + x7390 >= 0 e5180: - 196 b2591 + x7391 <= 0 e5181: - 67 b2591 + x7391 >= 0 e5182: - 196 b2592 + x7392 <= 0 e5183: - 67 b2592 + x7392 >= 0 e5184: - 196 b2593 + x7393 <= 0 e5185: - 67 b2593 + x7393 >= 0 e5186: - 172 b2594 + x7394 <= 0 e5187: - 56 b2594 + x7394 >= 0 e5188: - 172 b2595 + x7395 <= 0 e5189: - 56 b2595 + x7395 >= 0 e5190: - 172 b2596 + x7396 <= 0 e5191: - 56 b2596 + x7396 >= 0 e5192: - 172 b2597 + x7397 <= 0 e5193: - 56 b2597 + x7397 >= 0 e5194: - 172 b2598 + x7398 <= 0 e5195: - 56 b2598 + x7398 >= 0 e5196: - 172 b2599 + x7399 <= 0 e5197: - 56 b2599 + x7399 >= 0 e5198: - 172 b2600 + x7400 <= 0 e5199: - 56 b2600 + x7400 >= 0 e5200: - 172 b2601 + x7401 <= 0 e5201: - 56 b2601 + x7401 >= 0 e5202: - 172 b2602 + x7402 <= 0 e5203: - 56 b2602 + x7402 >= 0 e5204: - 172 b2603 + x7403 <= 0 e5205: - 56 b2603 + x7403 >= 0 e5206: - 172 b2604 + x7404 <= 0 e5207: - 56 b2604 + x7404 >= 0 e5208: - 172 b2605 + x7405 <= 0 e5209: - 56 b2605 + x7405 >= 0 e5210: - 172 b2606 + x7406 <= 0 e5211: - 56 b2606 + x7406 >= 0 e5212: - 172 b2607 + x7407 <= 0 e5213: - 56 b2607 + x7407 >= 0 e5214: - 172 b2608 + x7408 <= 0 e5215: - 56 b2608 + x7408 >= 0 e5216: - 172 b2609 + x7409 <= 0 e5217: - 56 b2609 + x7409 >= 0 e5218: - 172 b2610 + x7410 <= 0 e5219: - 56 b2610 + x7410 >= 0 e5220: - 172 b2611 + x7411 <= 0 e5221: - 56 b2611 + x7411 >= 0 e5222: - 172 b2612 + x7412 <= 0 e5223: - 56 b2612 + x7412 >= 0 e5224: - 172 b2613 + x7413 <= 0 e5225: - 56 b2613 + x7413 >= 0 e5226: - 172 b2614 + x7414 <= 0 e5227: - 56 b2614 + x7414 >= 0 e5228: - 172 b2615 + x7415 <= 0 e5229: - 56 b2615 + x7415 >= 0 e5230: - 172 b2616 + x7416 <= 0 e5231: - 56 b2616 + x7416 >= 0 e5232: - 172 b2617 + x7417 <= 0 e5233: - 56 b2617 + x7417 >= 0 e5234: - 203 b2618 + x7418 <= 0 e5235: - 61 b2618 + x7418 >= 0 e5236: - 203 b2619 + x7419 <= 0 e5237: - 61 b2619 + x7419 >= 0 e5238: - 203 b2620 + x7420 <= 0 e5239: - 61 b2620 + x7420 >= 0 e5240: - 203 b2621 + x7421 <= 0 e5241: - 61 b2621 + x7421 >= 0 e5242: - 203 b2622 + x7422 <= 0 e5243: - 61 b2622 + x7422 >= 0 e5244: - 203 b2623 + x7423 <= 0 e5245: - 61 b2623 + x7423 >= 0 e5246: - 203 b2624 + x7424 <= 0 e5247: - 61 b2624 + x7424 >= 0 e5248: - 203 b2625 + x7425 <= 0 e5249: - 61 b2625 + x7425 >= 0 e5250: - 203 b2626 + x7426 <= 0 e5251: - 61 b2626 + x7426 >= 0 e5252: - 203 b2627 + x7427 <= 0 e5253: - 61 b2627 + x7427 >= 0 e5254: - 203 b2628 + x7428 <= 0 e5255: - 61 b2628 + x7428 >= 0 e5256: - 203 b2629 + x7429 <= 0 e5257: - 61 b2629 + x7429 >= 0 e5258: - 203 b2630 + x7430 <= 0 e5259: - 61 b2630 + x7430 >= 0 e5260: - 203 b2631 + x7431 <= 0 e5261: - 61 b2631 + x7431 >= 0 e5262: - 203 b2632 + x7432 <= 0 e5263: - 61 b2632 + x7432 >= 0 e5264: - 203 b2633 + x7433 <= 0 e5265: - 61 b2633 + x7433 >= 0 e5266: - 203 b2634 + x7434 <= 0 e5267: - 61 b2634 + x7434 >= 0 e5268: - 203 b2635 + x7435 <= 0 e5269: - 61 b2635 + x7435 >= 0 e5270: - 203 b2636 + x7436 <= 0 e5271: - 61 b2636 + x7436 >= 0 e5272: - 203 b2637 + x7437 <= 0 e5273: - 61 b2637 + x7437 >= 0 e5274: - 203 b2638 + x7438 <= 0 e5275: - 61 b2638 + x7438 >= 0 e5276: - 203 b2639 + x7439 <= 0 e5277: - 61 b2639 + x7439 >= 0 e5278: - 203 b2640 + x7440 <= 0 e5279: - 61 b2640 + x7440 >= 0 e5280: - 203 b2641 + x7441 <= 0 e5281: - 61 b2641 + x7441 >= 0 e5282: - 171 b2642 + x7442 <= 0 e5283: - 58 b2642 + x7442 >= 0 e5284: - 171 b2643 + x7443 <= 0 e5285: - 58 b2643 + x7443 >= 0 e5286: - 171 b2644 + x7444 <= 0 e5287: - 58 b2644 + x7444 >= 0 e5288: - 171 b2645 + x7445 <= 0 e5289: - 58 b2645 + x7445 >= 0 e5290: - 171 b2646 + x7446 <= 0 e5291: - 58 b2646 + x7446 >= 0 e5292: - 171 b2647 + x7447 <= 0 e5293: - 58 b2647 + x7447 >= 0 e5294: - 171 b2648 + x7448 <= 0 e5295: - 58 b2648 + x7448 >= 0 e5296: - 171 b2649 + x7449 <= 0 e5297: - 58 b2649 + x7449 >= 0 e5298: - 171 b2650 + x7450 <= 0 e5299: - 58 b2650 + x7450 >= 0 e5300: - 171 b2651 + x7451 <= 0 e5301: - 58 b2651 + x7451 >= 0 e5302: - 171 b2652 + x7452 <= 0 e5303: - 58 b2652 + x7452 >= 0 e5304: - 171 b2653 + x7453 <= 0 e5305: - 58 b2653 + x7453 >= 0 e5306: - 171 b2654 + x7454 <= 0 e5307: - 58 b2654 + x7454 >= 0 e5308: - 171 b2655 + x7455 <= 0 e5309: - 58 b2655 + x7455 >= 0 e5310: - 171 b2656 + x7456 <= 0 e5311: - 58 b2656 + x7456 >= 0 e5312: - 171 b2657 + x7457 <= 0 e5313: - 58 b2657 + x7457 >= 0 e5314: - 171 b2658 + x7458 <= 0 e5315: - 58 b2658 + x7458 >= 0 e5316: - 171 b2659 + x7459 <= 0 e5317: - 58 b2659 + x7459 >= 0 e5318: - 171 b2660 + x7460 <= 0 e5319: - 58 b2660 + x7460 >= 0 e5320: - 171 b2661 + x7461 <= 0 e5321: - 58 b2661 + x7461 >= 0 e5322: - 171 b2662 + x7462 <= 0 e5323: - 58 b2662 + x7462 >= 0 e5324: - 171 b2663 + x7463 <= 0 e5325: - 58 b2663 + x7463 >= 0 e5326: - 171 b2664 + x7464 <= 0 e5327: - 58 b2664 + x7464 >= 0 e5328: - 171 b2665 + x7465 <= 0 e5329: - 58 b2665 + x7465 >= 0 e5330: - 219 b2666 + x7466 <= 0 e5331: - 54 b2666 + x7466 >= 0 e5332: - 219 b2667 + x7467 <= 0 e5333: - 54 b2667 + x7467 >= 0 e5334: - 219 b2668 + x7468 <= 0 e5335: - 54 b2668 + x7468 >= 0 e5336: - 219 b2669 + x7469 <= 0 e5337: - 54 b2669 + x7469 >= 0 e5338: - 219 b2670 + x7470 <= 0 e5339: - 54 b2670 + x7470 >= 0 e5340: - 219 b2671 + x7471 <= 0 e5341: - 54 b2671 + x7471 >= 0 e5342: - 219 b2672 + x7472 <= 0 e5343: - 54 b2672 + x7472 >= 0 e5344: - 219 b2673 + x7473 <= 0 e5345: - 54 b2673 + x7473 >= 0 e5346: - 219 b2674 + x7474 <= 0 e5347: - 54 b2674 + x7474 >= 0 e5348: - 219 b2675 + x7475 <= 0 e5349: - 54 b2675 + x7475 >= 0 e5350: - 219 b2676 + x7476 <= 0 e5351: - 54 b2676 + x7476 >= 0 e5352: - 219 b2677 + x7477 <= 0 e5353: - 54 b2677 + x7477 >= 0 e5354: - 219 b2678 + x7478 <= 0 e5355: - 54 b2678 + x7478 >= 0 e5356: - 219 b2679 + x7479 <= 0 e5357: - 54 b2679 + x7479 >= 0 e5358: - 219 b2680 + x7480 <= 0 e5359: - 54 b2680 + x7480 >= 0 e5360: - 219 b2681 + x7481 <= 0 e5361: - 54 b2681 + x7481 >= 0 e5362: - 219 b2682 + x7482 <= 0 e5363: - 54 b2682 + x7482 >= 0 e5364: - 219 b2683 + x7483 <= 0 e5365: - 54 b2683 + x7483 >= 0 e5366: - 219 b2684 + x7484 <= 0 e5367: - 54 b2684 + x7484 >= 0 e5368: - 219 b2685 + x7485 <= 0 e5369: - 54 b2685 + x7485 >= 0 e5370: - 219 b2686 + x7486 <= 0 e5371: - 54 b2686 + x7486 >= 0 e5372: - 219 b2687 + x7487 <= 0 e5373: - 54 b2687 + x7487 >= 0 e5374: - 219 b2688 + x7488 <= 0 e5375: - 54 b2688 + x7488 >= 0 e5376: - 219 b2689 + x7489 <= 0 e5377: - 54 b2689 + x7489 >= 0 e5378: - 182 b2690 + x7490 <= 0 e5379: - 67 b2690 + x7490 >= 0 e5380: - 182 b2691 + x7491 <= 0 e5381: - 67 b2691 + x7491 >= 0 e5382: - 182 b2692 + x7492 <= 0 e5383: - 67 b2692 + x7492 >= 0 e5384: - 182 b2693 + x7493 <= 0 e5385: - 67 b2693 + x7493 >= 0 e5386: - 182 b2694 + x7494 <= 0 e5387: - 67 b2694 + x7494 >= 0 e5388: - 182 b2695 + x7495 <= 0 e5389: - 67 b2695 + x7495 >= 0 e5390: - 182 b2696 + x7496 <= 0 e5391: - 67 b2696 + x7496 >= 0 e5392: - 182 b2697 + x7497 <= 0 e5393: - 67 b2697 + x7497 >= 0 e5394: - 182 b2698 + x7498 <= 0 e5395: - 67 b2698 + x7498 >= 0 e5396: - 182 b2699 + x7499 <= 0 e5397: - 67 b2699 + x7499 >= 0 e5398: - 182 b2700 + x7500 <= 0 e5399: - 67 b2700 + x7500 >= 0 e5400: - 182 b2701 + x7501 <= 0 e5401: - 67 b2701 + x7501 >= 0 e5402: - 182 b2702 + x7502 <= 0 e5403: - 67 b2702 + x7502 >= 0 e5404: - 182 b2703 + x7503 <= 0 e5405: - 67 b2703 + x7503 >= 0 e5406: - 182 b2704 + x7504 <= 0 e5407: - 67 b2704 + x7504 >= 0 e5408: - 182 b2705 + x7505 <= 0 e5409: - 67 b2705 + x7505 >= 0 e5410: - 182 b2706 + x7506 <= 0 e5411: - 67 b2706 + x7506 >= 0 e5412: - 182 b2707 + x7507 <= 0 e5413: - 67 b2707 + x7507 >= 0 e5414: - 182 b2708 + x7508 <= 0 e5415: - 67 b2708 + x7508 >= 0 e5416: - 182 b2709 + x7509 <= 0 e5417: - 67 b2709 + x7509 >= 0 e5418: - 182 b2710 + x7510 <= 0 e5419: - 67 b2710 + x7510 >= 0 e5420: - 182 b2711 + x7511 <= 0 e5421: - 67 b2711 + x7511 >= 0 e5422: - 182 b2712 + x7512 <= 0 e5423: - 67 b2712 + x7512 >= 0 e5424: - 182 b2713 + x7513 <= 0 e5425: - 67 b2713 + x7513 >= 0 e5426: - 208 b2714 + x7514 <= 0 e5427: - 67 b2714 + x7514 >= 0 e5428: - 208 b2715 + x7515 <= 0 e5429: - 67 b2715 + x7515 >= 0 e5430: - 208 b2716 + x7516 <= 0 e5431: - 67 b2716 + x7516 >= 0 e5432: - 208 b2717 + x7517 <= 0 e5433: - 67 b2717 + x7517 >= 0 e5434: - 208 b2718 + x7518 <= 0 e5435: - 67 b2718 + x7518 >= 0 e5436: - 208 b2719 + x7519 <= 0 e5437: - 67 b2719 + x7519 >= 0 e5438: - 208 b2720 + x7520 <= 0 e5439: - 67 b2720 + x7520 >= 0 e5440: - 208 b2721 + x7521 <= 0 e5441: - 67 b2721 + x7521 >= 0 e5442: - 208 b2722 + x7522 <= 0 e5443: - 67 b2722 + x7522 >= 0 e5444: - 208 b2723 + x7523 <= 0 e5445: - 67 b2723 + x7523 >= 0 e5446: - 208 b2724 + x7524 <= 0 e5447: - 67 b2724 + x7524 >= 0 e5448: - 208 b2725 + x7525 <= 0 e5449: - 67 b2725 + x7525 >= 0 e5450: - 208 b2726 + x7526 <= 0 e5451: - 67 b2726 + x7526 >= 0 e5452: - 208 b2727 + x7527 <= 0 e5453: - 67 b2727 + x7527 >= 0 e5454: - 208 b2728 + x7528 <= 0 e5455: - 67 b2728 + x7528 >= 0 e5456: - 208 b2729 + x7529 <= 0 e5457: - 67 b2729 + x7529 >= 0 e5458: - 208 b2730 + x7530 <= 0 e5459: - 67 b2730 + x7530 >= 0 e5460: - 208 b2731 + x7531 <= 0 e5461: - 67 b2731 + x7531 >= 0 e5462: - 208 b2732 + x7532 <= 0 e5463: - 67 b2732 + x7532 >= 0 e5464: - 208 b2733 + x7533 <= 0 e5465: - 67 b2733 + x7533 >= 0 e5466: - 208 b2734 + x7534 <= 0 e5467: - 67 b2734 + x7534 >= 0 e5468: - 208 b2735 + x7535 <= 0 e5469: - 67 b2735 + x7535 >= 0 e5470: - 208 b2736 + x7536 <= 0 e5471: - 67 b2736 + x7536 >= 0 e5472: - 208 b2737 + x7537 <= 0 e5473: - 67 b2737 + x7537 >= 0 e5474: - 191 b2738 + x7538 <= 0 e5475: - 50 b2738 + x7538 >= 0 e5476: - 191 b2739 + x7539 <= 0 e5477: - 50 b2739 + x7539 >= 0 e5478: - 191 b2740 + x7540 <= 0 e5479: - 50 b2740 + x7540 >= 0 e5480: - 191 b2741 + x7541 <= 0 e5481: - 50 b2741 + x7541 >= 0 e5482: - 191 b2742 + x7542 <= 0 e5483: - 50 b2742 + x7542 >= 0 e5484: - 191 b2743 + x7543 <= 0 e5485: - 50 b2743 + x7543 >= 0 e5486: - 191 b2744 + x7544 <= 0 e5487: - 50 b2744 + x7544 >= 0 e5488: - 191 b2745 + x7545 <= 0 e5489: - 50 b2745 + x7545 >= 0 e5490: - 191 b2746 + x7546 <= 0 e5491: - 50 b2746 + x7546 >= 0 e5492: - 191 b2747 + x7547 <= 0 e5493: - 50 b2747 + x7547 >= 0 e5494: - 191 b2748 + x7548 <= 0 e5495: - 50 b2748 + x7548 >= 0 e5496: - 191 b2749 + x7549 <= 0 e5497: - 50 b2749 + x7549 >= 0 e5498: - 191 b2750 + x7550 <= 0 e5499: - 50 b2750 + x7550 >= 0 e5500: - 191 b2751 + x7551 <= 0 e5501: - 50 b2751 + x7551 >= 0 e5502: - 191 b2752 + x7552 <= 0 e5503: - 50 b2752 + x7552 >= 0 e5504: - 191 b2753 + x7553 <= 0 e5505: - 50 b2753 + x7553 >= 0 e5506: - 191 b2754 + x7554 <= 0 e5507: - 50 b2754 + x7554 >= 0 e5508: - 191 b2755 + x7555 <= 0 e5509: - 50 b2755 + x7555 >= 0 e5510: - 191 b2756 + x7556 <= 0 e5511: - 50 b2756 + x7556 >= 0 e5512: - 191 b2757 + x7557 <= 0 e5513: - 50 b2757 + x7557 >= 0 e5514: - 191 b2758 + x7558 <= 0 e5515: - 50 b2758 + x7558 >= 0 e5516: - 191 b2759 + x7559 <= 0 e5517: - 50 b2759 + x7559 >= 0 e5518: - 191 b2760 + x7560 <= 0 e5519: - 50 b2760 + x7560 >= 0 e5520: - 191 b2761 + x7561 <= 0 e5521: - 50 b2761 + x7561 >= 0 e5522: - 181 b2762 + x7562 <= 0 e5523: - 53 b2762 + x7562 >= 0 e5524: - 181 b2763 + x7563 <= 0 e5525: - 53 b2763 + x7563 >= 0 e5526: - 181 b2764 + x7564 <= 0 e5527: - 53 b2764 + x7564 >= 0 e5528: - 181 b2765 + x7565 <= 0 e5529: - 53 b2765 + x7565 >= 0 e5530: - 181 b2766 + x7566 <= 0 e5531: - 53 b2766 + x7566 >= 0 e5532: - 181 b2767 + x7567 <= 0 e5533: - 53 b2767 + x7567 >= 0 e5534: - 181 b2768 + x7568 <= 0 e5535: - 53 b2768 + x7568 >= 0 e5536: - 181 b2769 + x7569 <= 0 e5537: - 53 b2769 + x7569 >= 0 e5538: - 181 b2770 + x7570 <= 0 e5539: - 53 b2770 + x7570 >= 0 e5540: - 181 b2771 + x7571 <= 0 e5541: - 53 b2771 + x7571 >= 0 e5542: - 181 b2772 + x7572 <= 0 e5543: - 53 b2772 + x7572 >= 0 e5544: - 181 b2773 + x7573 <= 0 e5545: - 53 b2773 + x7573 >= 0 e5546: - 181 b2774 + x7574 <= 0 e5547: - 53 b2774 + x7574 >= 0 e5548: - 181 b2775 + x7575 <= 0 e5549: - 53 b2775 + x7575 >= 0 e5550: - 181 b2776 + x7576 <= 0 e5551: - 53 b2776 + x7576 >= 0 e5552: - 181 b2777 + x7577 <= 0 e5553: - 53 b2777 + x7577 >= 0 e5554: - 181 b2778 + x7578 <= 0 e5555: - 53 b2778 + x7578 >= 0 e5556: - 181 b2779 + x7579 <= 0 e5557: - 53 b2779 + x7579 >= 0 e5558: - 181 b2780 + x7580 <= 0 e5559: - 53 b2780 + x7580 >= 0 e5560: - 181 b2781 + x7581 <= 0 e5561: - 53 b2781 + x7581 >= 0 e5562: - 181 b2782 + x7582 <= 0 e5563: - 53 b2782 + x7582 >= 0 e5564: - 181 b2783 + x7583 <= 0 e5565: - 53 b2783 + x7583 >= 0 e5566: - 181 b2784 + x7584 <= 0 e5567: - 53 b2784 + x7584 >= 0 e5568: - 181 b2785 + x7585 <= 0 e5569: - 53 b2785 + x7585 >= 0 e5570: - 186 b2786 + x7586 <= 0 e5571: - 52 b2786 + x7586 >= 0 e5572: - 186 b2787 + x7587 <= 0 e5573: - 52 b2787 + x7587 >= 0 e5574: - 186 b2788 + x7588 <= 0 e5575: - 52 b2788 + x7588 >= 0 e5576: - 186 b2789 + x7589 <= 0 e5577: - 52 b2789 + x7589 >= 0 e5578: - 186 b2790 + x7590 <= 0 e5579: - 52 b2790 + x7590 >= 0 e5580: - 186 b2791 + x7591 <= 0 e5581: - 52 b2791 + x7591 >= 0 e5582: - 186 b2792 + x7592 <= 0 e5583: - 52 b2792 + x7592 >= 0 e5584: - 186 b2793 + x7593 <= 0 e5585: - 52 b2793 + x7593 >= 0 e5586: - 186 b2794 + x7594 <= 0 e5587: - 52 b2794 + x7594 >= 0 e5588: - 186 b2795 + x7595 <= 0 e5589: - 52 b2795 + x7595 >= 0 e5590: - 186 b2796 + x7596 <= 0 e5591: - 52 b2796 + x7596 >= 0 e5592: - 186 b2797 + x7597 <= 0 e5593: - 52 b2797 + x7597 >= 0 e5594: - 186 b2798 + x7598 <= 0 e5595: - 52 b2798 + x7598 >= 0 e5596: - 186 b2799 + x7599 <= 0 e5597: - 52 b2799 + x7599 >= 0 e5598: - 186 b2800 + x7600 <= 0 e5599: - 52 b2800 + x7600 >= 0 e5600: - 186 b2801 + x7601 <= 0 e5601: - 52 b2801 + x7601 >= 0 e5602: - 186 b2802 + x7602 <= 0 e5603: - 52 b2802 + x7602 >= 0 e5604: - 186 b2803 + x7603 <= 0 e5605: - 52 b2803 + x7603 >= 0 e5606: - 186 b2804 + x7604 <= 0 e5607: - 52 b2804 + x7604 >= 0 e5608: - 186 b2805 + x7605 <= 0 e5609: - 52 b2805 + x7605 >= 0 e5610: - 186 b2806 + x7606 <= 0 e5611: - 52 b2806 + x7606 >= 0 e5612: - 186 b2807 + x7607 <= 0 e5613: - 52 b2807 + x7607 >= 0 e5614: - 186 b2808 + x7608 <= 0 e5615: - 52 b2808 + x7608 >= 0 e5616: - 186 b2809 + x7609 <= 0 e5617: - 52 b2809 + x7609 >= 0 e5618: - 181 b2810 + x7610 <= 0 e5619: - 68 b2810 + x7610 >= 0 e5620: - 181 b2811 + x7611 <= 0 e5621: - 68 b2811 + x7611 >= 0 e5622: - 181 b2812 + x7612 <= 0 e5623: - 68 b2812 + x7612 >= 0 e5624: - 181 b2813 + x7613 <= 0 e5625: - 68 b2813 + x7613 >= 0 e5626: - 181 b2814 + x7614 <= 0 e5627: - 68 b2814 + x7614 >= 0 e5628: - 181 b2815 + x7615 <= 0 e5629: - 68 b2815 + x7615 >= 0 e5630: - 181 b2816 + x7616 <= 0 e5631: - 68 b2816 + x7616 >= 0 e5632: - 181 b2817 + x7617 <= 0 e5633: - 68 b2817 + x7617 >= 0 e5634: - 181 b2818 + x7618 <= 0 e5635: - 68 b2818 + x7618 >= 0 e5636: - 181 b2819 + x7619 <= 0 e5637: - 68 b2819 + x7619 >= 0 e5638: - 181 b2820 + x7620 <= 0 e5639: - 68 b2820 + x7620 >= 0 e5640: - 181 b2821 + x7621 <= 0 e5641: - 68 b2821 + x7621 >= 0 e5642: - 181 b2822 + x7622 <= 0 e5643: - 68 b2822 + x7622 >= 0 e5644: - 181 b2823 + x7623 <= 0 e5645: - 68 b2823 + x7623 >= 0 e5646: - 181 b2824 + x7624 <= 0 e5647: - 68 b2824 + x7624 >= 0 e5648: - 181 b2825 + x7625 <= 0 e5649: - 68 b2825 + x7625 >= 0 e5650: - 181 b2826 + x7626 <= 0 e5651: - 68 b2826 + x7626 >= 0 e5652: - 181 b2827 + x7627 <= 0 e5653: - 68 b2827 + x7627 >= 0 e5654: - 181 b2828 + x7628 <= 0 e5655: - 68 b2828 + x7628 >= 0 e5656: - 181 b2829 + x7629 <= 0 e5657: - 68 b2829 + x7629 >= 0 e5658: - 181 b2830 + x7630 <= 0 e5659: - 68 b2830 + x7630 >= 0 e5660: - 181 b2831 + x7631 <= 0 e5661: - 68 b2831 + x7631 >= 0 e5662: - 181 b2832 + x7632 <= 0 e5663: - 68 b2832 + x7632 >= 0 e5664: - 181 b2833 + x7633 <= 0 e5665: - 68 b2833 + x7633 >= 0 e5666: - 201 b2834 + x7634 <= 0 e5667: - 54 b2834 + x7634 >= 0 e5668: - 201 b2835 + x7635 <= 0 e5669: - 54 b2835 + x7635 >= 0 e5670: - 201 b2836 + x7636 <= 0 e5671: - 54 b2836 + x7636 >= 0 e5672: - 201 b2837 + x7637 <= 0 e5673: - 54 b2837 + x7637 >= 0 e5674: - 201 b2838 + x7638 <= 0 e5675: - 54 b2838 + x7638 >= 0 e5676: - 201 b2839 + x7639 <= 0 e5677: - 54 b2839 + x7639 >= 0 e5678: - 201 b2840 + x7640 <= 0 e5679: - 54 b2840 + x7640 >= 0 e5680: - 201 b2841 + x7641 <= 0 e5681: - 54 b2841 + x7641 >= 0 e5682: - 201 b2842 + x7642 <= 0 e5683: - 54 b2842 + x7642 >= 0 e5684: - 201 b2843 + x7643 <= 0 e5685: - 54 b2843 + x7643 >= 0 e5686: - 201 b2844 + x7644 <= 0 e5687: - 54 b2844 + x7644 >= 0 e5688: - 201 b2845 + x7645 <= 0 e5689: - 54 b2845 + x7645 >= 0 e5690: - 201 b2846 + x7646 <= 0 e5691: - 54 b2846 + x7646 >= 0 e5692: - 201 b2847 + x7647 <= 0 e5693: - 54 b2847 + x7647 >= 0 e5694: - 201 b2848 + x7648 <= 0 e5695: - 54 b2848 + x7648 >= 0 e5696: - 201 b2849 + x7649 <= 0 e5697: - 54 b2849 + x7649 >= 0 e5698: - 201 b2850 + x7650 <= 0 e5699: - 54 b2850 + x7650 >= 0 e5700: - 201 b2851 + x7651 <= 0 e5701: - 54 b2851 + x7651 >= 0 e5702: - 201 b2852 + x7652 <= 0 e5703: - 54 b2852 + x7652 >= 0 e5704: - 201 b2853 + x7653 <= 0 e5705: - 54 b2853 + x7653 >= 0 e5706: - 201 b2854 + x7654 <= 0 e5707: - 54 b2854 + x7654 >= 0 e5708: - 201 b2855 + x7655 <= 0 e5709: - 54 b2855 + x7655 >= 0 e5710: - 201 b2856 + x7656 <= 0 e5711: - 54 b2856 + x7656 >= 0 e5712: - 201 b2857 + x7657 <= 0 e5713: - 54 b2857 + x7657 >= 0 e5714: - 196 b2858 + x7658 <= 0 e5715: - 68 b2858 + x7658 >= 0 e5716: - 196 b2859 + x7659 <= 0 e5717: - 68 b2859 + x7659 >= 0 e5718: - 196 b2860 + x7660 <= 0 e5719: - 68 b2860 + x7660 >= 0 e5720: - 196 b2861 + x7661 <= 0 e5721: - 68 b2861 + x7661 >= 0 e5722: - 196 b2862 + x7662 <= 0 e5723: - 68 b2862 + x7662 >= 0 e5724: - 196 b2863 + x7663 <= 0 e5725: - 68 b2863 + x7663 >= 0 e5726: - 196 b2864 + x7664 <= 0 e5727: - 68 b2864 + x7664 >= 0 e5728: - 196 b2865 + x7665 <= 0 e5729: - 68 b2865 + x7665 >= 0 e5730: - 196 b2866 + x7666 <= 0 e5731: - 68 b2866 + x7666 >= 0 e5732: - 196 b2867 + x7667 <= 0 e5733: - 68 b2867 + x7667 >= 0 e5734: - 196 b2868 + x7668 <= 0 e5735: - 68 b2868 + x7668 >= 0 e5736: - 196 b2869 + x7669 <= 0 e5737: - 68 b2869 + x7669 >= 0 e5738: - 196 b2870 + x7670 <= 0 e5739: - 68 b2870 + x7670 >= 0 e5740: - 196 b2871 + x7671 <= 0 e5741: - 68 b2871 + x7671 >= 0 e5742: - 196 b2872 + x7672 <= 0 e5743: - 68 b2872 + x7672 >= 0 e5744: - 196 b2873 + x7673 <= 0 e5745: - 68 b2873 + x7673 >= 0 e5746: - 196 b2874 + x7674 <= 0 e5747: - 68 b2874 + x7674 >= 0 e5748: - 196 b2875 + x7675 <= 0 e5749: - 68 b2875 + x7675 >= 0 e5750: - 196 b2876 + x7676 <= 0 e5751: - 68 b2876 + x7676 >= 0 e5752: - 196 b2877 + x7677 <= 0 e5753: - 68 b2877 + x7677 >= 0 e5754: - 196 b2878 + x7678 <= 0 e5755: - 68 b2878 + x7678 >= 0 e5756: - 196 b2879 + x7679 <= 0 e5757: - 68 b2879 + x7679 >= 0 e5758: - 196 b2880 + x7680 <= 0 e5759: - 68 b2880 + x7680 >= 0 e5760: - 196 b2881 + x7681 <= 0 e5761: - 68 b2881 + x7681 >= 0 e5762: - 183 b2882 + x7682 <= 0 e5763: - 62 b2882 + x7682 >= 0 e5764: - 183 b2883 + x7683 <= 0 e5765: - 62 b2883 + x7683 >= 0 e5766: - 183 b2884 + x7684 <= 0 e5767: - 62 b2884 + x7684 >= 0 e5768: - 183 b2885 + x7685 <= 0 e5769: - 62 b2885 + x7685 >= 0 e5770: - 183 b2886 + x7686 <= 0 e5771: - 62 b2886 + x7686 >= 0 e5772: - 183 b2887 + x7687 <= 0 e5773: - 62 b2887 + x7687 >= 0 e5774: - 183 b2888 + x7688 <= 0 e5775: - 62 b2888 + x7688 >= 0 e5776: - 183 b2889 + x7689 <= 0 e5777: - 62 b2889 + x7689 >= 0 e5778: - 183 b2890 + x7690 <= 0 e5779: - 62 b2890 + x7690 >= 0 e5780: - 183 b2891 + x7691 <= 0 e5781: - 62 b2891 + x7691 >= 0 e5782: - 183 b2892 + x7692 <= 0 e5783: - 62 b2892 + x7692 >= 0 e5784: - 183 b2893 + x7693 <= 0 e5785: - 62 b2893 + x7693 >= 0 e5786: - 183 b2894 + x7694 <= 0 e5787: - 62 b2894 + x7694 >= 0 e5788: - 183 b2895 + x7695 <= 0 e5789: - 62 b2895 + x7695 >= 0 e5790: - 183 b2896 + x7696 <= 0 e5791: - 62 b2896 + x7696 >= 0 e5792: - 183 b2897 + x7697 <= 0 e5793: - 62 b2897 + x7697 >= 0 e5794: - 183 b2898 + x7698 <= 0 e5795: - 62 b2898 + x7698 >= 0 e5796: - 183 b2899 + x7699 <= 0 e5797: - 62 b2899 + x7699 >= 0 e5798: - 183 b2900 + x7700 <= 0 e5799: - 62 b2900 + x7700 >= 0 e5800: - 183 b2901 + x7701 <= 0 e5801: - 62 b2901 + x7701 >= 0 e5802: - 183 b2902 + x7702 <= 0 e5803: - 62 b2902 + x7702 >= 0 e5804: - 183 b2903 + x7703 <= 0 e5805: - 62 b2903 + x7703 >= 0 e5806: - 183 b2904 + x7704 <= 0 e5807: - 62 b2904 + x7704 >= 0 e5808: - 183 b2905 + x7705 <= 0 e5809: - 62 b2905 + x7705 >= 0 e5810: - 180 b2906 + x7706 <= 0 e5811: - 68 b2906 + x7706 >= 0 e5812: - 180 b2907 + x7707 <= 0 e5813: - 68 b2907 + x7707 >= 0 e5814: - 180 b2908 + x7708 <= 0 e5815: - 68 b2908 + x7708 >= 0 e5816: - 180 b2909 + x7709 <= 0 e5817: - 68 b2909 + x7709 >= 0 e5818: - 180 b2910 + x7710 <= 0 e5819: - 68 b2910 + x7710 >= 0 e5820: - 180 b2911 + x7711 <= 0 e5821: - 68 b2911 + x7711 >= 0 e5822: - 180 b2912 + x7712 <= 0 e5823: - 68 b2912 + x7712 >= 0 e5824: - 180 b2913 + x7713 <= 0 e5825: - 68 b2913 + x7713 >= 0 e5826: - 180 b2914 + x7714 <= 0 e5827: - 68 b2914 + x7714 >= 0 e5828: - 180 b2915 + x7715 <= 0 e5829: - 68 b2915 + x7715 >= 0 e5830: - 180 b2916 + x7716 <= 0 e5831: - 68 b2916 + x7716 >= 0 e5832: - 180 b2917 + x7717 <= 0 e5833: - 68 b2917 + x7717 >= 0 e5834: - 180 b2918 + x7718 <= 0 e5835: - 68 b2918 + x7718 >= 0 e5836: - 180 b2919 + x7719 <= 0 e5837: - 68 b2919 + x7719 >= 0 e5838: - 180 b2920 + x7720 <= 0 e5839: - 68 b2920 + x7720 >= 0 e5840: - 180 b2921 + x7721 <= 0 e5841: - 68 b2921 + x7721 >= 0 e5842: - 180 b2922 + x7722 <= 0 e5843: - 68 b2922 + x7722 >= 0 e5844: - 180 b2923 + x7723 <= 0 e5845: - 68 b2923 + x7723 >= 0 e5846: - 180 b2924 + x7724 <= 0 e5847: - 68 b2924 + x7724 >= 0 e5848: - 180 b2925 + x7725 <= 0 e5849: - 68 b2925 + x7725 >= 0 e5850: - 180 b2926 + x7726 <= 0 e5851: - 68 b2926 + x7726 >= 0 e5852: - 180 b2927 + x7727 <= 0 e5853: - 68 b2927 + x7727 >= 0 e5854: - 180 b2928 + x7728 <= 0 e5855: - 68 b2928 + x7728 >= 0 e5856: - 180 b2929 + x7729 <= 0 e5857: - 68 b2929 + x7729 >= 0 e5858: - 189 b2930 + x7730 <= 0 e5859: - 60 b2930 + x7730 >= 0 e5860: - 189 b2931 + x7731 <= 0 e5861: - 60 b2931 + x7731 >= 0 e5862: - 189 b2932 + x7732 <= 0 e5863: - 60 b2932 + x7732 >= 0 e5864: - 189 b2933 + x7733 <= 0 e5865: - 60 b2933 + x7733 >= 0 e5866: - 189 b2934 + x7734 <= 0 e5867: - 60 b2934 + x7734 >= 0 e5868: - 189 b2935 + x7735 <= 0 e5869: - 60 b2935 + x7735 >= 0 e5870: - 189 b2936 + x7736 <= 0 e5871: - 60 b2936 + x7736 >= 0 e5872: - 189 b2937 + x7737 <= 0 e5873: - 60 b2937 + x7737 >= 0 e5874: - 189 b2938 + x7738 <= 0 e5875: - 60 b2938 + x7738 >= 0 e5876: - 189 b2939 + x7739 <= 0 e5877: - 60 b2939 + x7739 >= 0 e5878: - 189 b2940 + x7740 <= 0 e5879: - 60 b2940 + x7740 >= 0 e5880: - 189 b2941 + x7741 <= 0 e5881: - 60 b2941 + x7741 >= 0 e5882: - 189 b2942 + x7742 <= 0 e5883: - 60 b2942 + x7742 >= 0 e5884: - 189 b2943 + x7743 <= 0 e5885: - 60 b2943 + x7743 >= 0 e5886: - 189 b2944 + x7744 <= 0 e5887: - 60 b2944 + x7744 >= 0 e5888: - 189 b2945 + x7745 <= 0 e5889: - 60 b2945 + x7745 >= 0 e5890: - 189 b2946 + x7746 <= 0 e5891: - 60 b2946 + x7746 >= 0 e5892: - 189 b2947 + x7747 <= 0 e5893: - 60 b2947 + x7747 >= 0 e5894: - 189 b2948 + x7748 <= 0 e5895: - 60 b2948 + x7748 >= 0 e5896: - 189 b2949 + x7749 <= 0 e5897: - 60 b2949 + x7749 >= 0 e5898: - 189 b2950 + x7750 <= 0 e5899: - 60 b2950 + x7750 >= 0 e5900: - 189 b2951 + x7751 <= 0 e5901: - 60 b2951 + x7751 >= 0 e5902: - 189 b2952 + x7752 <= 0 e5903: - 60 b2952 + x7752 >= 0 e5904: - 189 b2953 + x7753 <= 0 e5905: - 60 b2953 + x7753 >= 0 e5906: - 183 b2954 + x7754 <= 0 e5907: - 53 b2954 + x7754 >= 0 e5908: - 183 b2955 + x7755 <= 0 e5909: - 53 b2955 + x7755 >= 0 e5910: - 183 b2956 + x7756 <= 0 e5911: - 53 b2956 + x7756 >= 0 e5912: - 183 b2957 + x7757 <= 0 e5913: - 53 b2957 + x7757 >= 0 e5914: - 183 b2958 + x7758 <= 0 e5915: - 53 b2958 + x7758 >= 0 e5916: - 183 b2959 + x7759 <= 0 e5917: - 53 b2959 + x7759 >= 0 e5918: - 183 b2960 + x7760 <= 0 e5919: - 53 b2960 + x7760 >= 0 e5920: - 183 b2961 + x7761 <= 0 e5921: - 53 b2961 + x7761 >= 0 e5922: - 183 b2962 + x7762 <= 0 e5923: - 53 b2962 + x7762 >= 0 e5924: - 183 b2963 + x7763 <= 0 e5925: - 53 b2963 + x7763 >= 0 e5926: - 183 b2964 + x7764 <= 0 e5927: - 53 b2964 + x7764 >= 0 e5928: - 183 b2965 + x7765 <= 0 e5929: - 53 b2965 + x7765 >= 0 e5930: - 183 b2966 + x7766 <= 0 e5931: - 53 b2966 + x7766 >= 0 e5932: - 183 b2967 + x7767 <= 0 e5933: - 53 b2967 + x7767 >= 0 e5934: - 183 b2968 + x7768 <= 0 e5935: - 53 b2968 + x7768 >= 0 e5936: - 183 b2969 + x7769 <= 0 e5937: - 53 b2969 + x7769 >= 0 e5938: - 183 b2970 + x7770 <= 0 e5939: - 53 b2970 + x7770 >= 0 e5940: - 183 b2971 + x7771 <= 0 e5941: - 53 b2971 + x7771 >= 0 e5942: - 183 b2972 + x7772 <= 0 e5943: - 53 b2972 + x7772 >= 0 e5944: - 183 b2973 + x7773 <= 0 e5945: - 53 b2973 + x7773 >= 0 e5946: - 183 b2974 + x7774 <= 0 e5947: - 53 b2974 + x7774 >= 0 e5948: - 183 b2975 + x7775 <= 0 e5949: - 53 b2975 + x7775 >= 0 e5950: - 183 b2976 + x7776 <= 0 e5951: - 53 b2976 + x7776 >= 0 e5952: - 183 b2977 + x7777 <= 0 e5953: - 53 b2977 + x7777 >= 0 e5954: - 172 b2978 + x7778 <= 0 e5955: - 59 b2978 + x7778 >= 0 e5956: - 172 b2979 + x7779 <= 0 e5957: - 59 b2979 + x7779 >= 0 e5958: - 172 b2980 + x7780 <= 0 e5959: - 59 b2980 + x7780 >= 0 e5960: - 172 b2981 + x7781 <= 0 e5961: - 59 b2981 + x7781 >= 0 e5962: - 172 b2982 + x7782 <= 0 e5963: - 59 b2982 + x7782 >= 0 e5964: - 172 b2983 + x7783 <= 0 e5965: - 59 b2983 + x7783 >= 0 e5966: - 172 b2984 + x7784 <= 0 e5967: - 59 b2984 + x7784 >= 0 e5968: - 172 b2985 + x7785 <= 0 e5969: - 59 b2985 + x7785 >= 0 e5970: - 172 b2986 + x7786 <= 0 e5971: - 59 b2986 + x7786 >= 0 e5972: - 172 b2987 + x7787 <= 0 e5973: - 59 b2987 + x7787 >= 0 e5974: - 172 b2988 + x7788 <= 0 e5975: - 59 b2988 + x7788 >= 0 e5976: - 172 b2989 + x7789 <= 0 e5977: - 59 b2989 + x7789 >= 0 e5978: - 172 b2990 + x7790 <= 0 e5979: - 59 b2990 + x7790 >= 0 e5980: - 172 b2991 + x7791 <= 0 e5981: - 59 b2991 + x7791 >= 0 e5982: - 172 b2992 + x7792 <= 0 e5983: - 59 b2992 + x7792 >= 0 e5984: - 172 b2993 + x7793 <= 0 e5985: - 59 b2993 + x7793 >= 0 e5986: - 172 b2994 + x7794 <= 0 e5987: - 59 b2994 + x7794 >= 0 e5988: - 172 b2995 + x7795 <= 0 e5989: - 59 b2995 + x7795 >= 0 e5990: - 172 b2996 + x7796 <= 0 e5991: - 59 b2996 + x7796 >= 0 e5992: - 172 b2997 + x7797 <= 0 e5993: - 59 b2997 + x7797 >= 0 e5994: - 172 b2998 + x7798 <= 0 e5995: - 59 b2998 + x7798 >= 0 e5996: - 172 b2999 + x7799 <= 0 e5997: - 59 b2999 + x7799 >= 0 e5998: - 172 b3000 + x7800 <= 0 e5999: - 59 b3000 + x7800 >= 0 e6000: - 172 b3001 + x7801 <= 0 e6001: - 59 b3001 + x7801 >= 0 e6002: - 183 b3002 + x7802 <= 0 e6003: - 69 b3002 + x7802 >= 0 e6004: - 183 b3003 + x7803 <= 0 e6005: - 69 b3003 + x7803 >= 0 e6006: - 183 b3004 + x7804 <= 0 e6007: - 69 b3004 + x7804 >= 0 e6008: - 183 b3005 + x7805 <= 0 e6009: - 69 b3005 + x7805 >= 0 e6010: - 183 b3006 + x7806 <= 0 e6011: - 69 b3006 + x7806 >= 0 e6012: - 183 b3007 + x7807 <= 0 e6013: - 69 b3007 + x7807 >= 0 e6014: - 183 b3008 + x7808 <= 0 e6015: - 69 b3008 + x7808 >= 0 e6016: - 183 b3009 + x7809 <= 0 e6017: - 69 b3009 + x7809 >= 0 e6018: - 183 b3010 + x7810 <= 0 e6019: - 69 b3010 + x7810 >= 0 e6020: - 183 b3011 + x7811 <= 0 e6021: - 69 b3011 + x7811 >= 0 e6022: - 183 b3012 + x7812 <= 0 e6023: - 69 b3012 + x7812 >= 0 e6024: - 183 b3013 + x7813 <= 0 e6025: - 69 b3013 + x7813 >= 0 e6026: - 183 b3014 + x7814 <= 0 e6027: - 69 b3014 + x7814 >= 0 e6028: - 183 b3015 + x7815 <= 0 e6029: - 69 b3015 + x7815 >= 0 e6030: - 183 b3016 + x7816 <= 0 e6031: - 69 b3016 + x7816 >= 0 e6032: - 183 b3017 + x7817 <= 0 e6033: - 69 b3017 + x7817 >= 0 e6034: - 183 b3018 + x7818 <= 0 e6035: - 69 b3018 + x7818 >= 0 e6036: - 183 b3019 + x7819 <= 0 e6037: - 69 b3019 + x7819 >= 0 e6038: - 183 b3020 + x7820 <= 0 e6039: - 69 b3020 + x7820 >= 0 e6040: - 183 b3021 + x7821 <= 0 e6041: - 69 b3021 + x7821 >= 0 e6042: - 183 b3022 + x7822 <= 0 e6043: - 69 b3022 + x7822 >= 0 e6044: - 183 b3023 + x7823 <= 0 e6045: - 69 b3023 + x7823 >= 0 e6046: - 183 b3024 + x7824 <= 0 e6047: - 69 b3024 + x7824 >= 0 e6048: - 183 b3025 + x7825 <= 0 e6049: - 69 b3025 + x7825 >= 0 e6050: - 200 b3026 + x7826 <= 0 e6051: - 52 b3026 + x7826 >= 0 e6052: - 200 b3027 + x7827 <= 0 e6053: - 52 b3027 + x7827 >= 0 e6054: - 200 b3028 + x7828 <= 0 e6055: - 52 b3028 + x7828 >= 0 e6056: - 200 b3029 + x7829 <= 0 e6057: - 52 b3029 + x7829 >= 0 e6058: - 200 b3030 + x7830 <= 0 e6059: - 52 b3030 + x7830 >= 0 e6060: - 200 b3031 + x7831 <= 0 e6061: - 52 b3031 + x7831 >= 0 e6062: - 200 b3032 + x7832 <= 0 e6063: - 52 b3032 + x7832 >= 0 e6064: - 200 b3033 + x7833 <= 0 e6065: - 52 b3033 + x7833 >= 0 e6066: - 200 b3034 + x7834 <= 0 e6067: - 52 b3034 + x7834 >= 0 e6068: - 200 b3035 + x7835 <= 0 e6069: - 52 b3035 + x7835 >= 0 e6070: - 200 b3036 + x7836 <= 0 e6071: - 52 b3036 + x7836 >= 0 e6072: - 200 b3037 + x7837 <= 0 e6073: - 52 b3037 + x7837 >= 0 e6074: - 200 b3038 + x7838 <= 0 e6075: - 52 b3038 + x7838 >= 0 e6076: - 200 b3039 + x7839 <= 0 e6077: - 52 b3039 + x7839 >= 0 e6078: - 200 b3040 + x7840 <= 0 e6079: - 52 b3040 + x7840 >= 0 e6080: - 200 b3041 + x7841 <= 0 e6081: - 52 b3041 + x7841 >= 0 e6082: - 200 b3042 + x7842 <= 0 e6083: - 52 b3042 + x7842 >= 0 e6084: - 200 b3043 + x7843 <= 0 e6085: - 52 b3043 + x7843 >= 0 e6086: - 200 b3044 + x7844 <= 0 e6087: - 52 b3044 + x7844 >= 0 e6088: - 200 b3045 + x7845 <= 0 e6089: - 52 b3045 + x7845 >= 0 e6090: - 200 b3046 + x7846 <= 0 e6091: - 52 b3046 + x7846 >= 0 e6092: - 200 b3047 + x7847 <= 0 e6093: - 52 b3047 + x7847 >= 0 e6094: - 200 b3048 + x7848 <= 0 e6095: - 52 b3048 + x7848 >= 0 e6096: - 200 b3049 + x7849 <= 0 e6097: - 52 b3049 + x7849 >= 0 e6098: - 220 b3050 + x7850 <= 0 e6099: - 52 b3050 + x7850 >= 0 e6100: - 220 b3051 + x7851 <= 0 e6101: - 52 b3051 + x7851 >= 0 e6102: - 220 b3052 + x7852 <= 0 e6103: - 52 b3052 + x7852 >= 0 e6104: - 220 b3053 + x7853 <= 0 e6105: - 52 b3053 + x7853 >= 0 e6106: - 220 b3054 + x7854 <= 0 e6107: - 52 b3054 + x7854 >= 0 e6108: - 220 b3055 + x7855 <= 0 e6109: - 52 b3055 + x7855 >= 0 e6110: - 220 b3056 + x7856 <= 0 e6111: - 52 b3056 + x7856 >= 0 e6112: - 220 b3057 + x7857 <= 0 e6113: - 52 b3057 + x7857 >= 0 e6114: - 220 b3058 + x7858 <= 0 e6115: - 52 b3058 + x7858 >= 0 e6116: - 220 b3059 + x7859 <= 0 e6117: - 52 b3059 + x7859 >= 0 e6118: - 220 b3060 + x7860 <= 0 e6119: - 52 b3060 + x7860 >= 0 e6120: - 220 b3061 + x7861 <= 0 e6121: - 52 b3061 + x7861 >= 0 e6122: - 220 b3062 + x7862 <= 0 e6123: - 52 b3062 + x7862 >= 0 e6124: - 220 b3063 + x7863 <= 0 e6125: - 52 b3063 + x7863 >= 0 e6126: - 220 b3064 + x7864 <= 0 e6127: - 52 b3064 + x7864 >= 0 e6128: - 220 b3065 + x7865 <= 0 e6129: - 52 b3065 + x7865 >= 0 e6130: - 220 b3066 + x7866 <= 0 e6131: - 52 b3066 + x7866 >= 0 e6132: - 220 b3067 + x7867 <= 0 e6133: - 52 b3067 + x7867 >= 0 e6134: - 220 b3068 + x7868 <= 0 e6135: - 52 b3068 + x7868 >= 0 e6136: - 220 b3069 + x7869 <= 0 e6137: - 52 b3069 + x7869 >= 0 e6138: - 220 b3070 + x7870 <= 0 e6139: - 52 b3070 + x7870 >= 0 e6140: - 220 b3071 + x7871 <= 0 e6141: - 52 b3071 + x7871 >= 0 e6142: - 220 b3072 + x7872 <= 0 e6143: - 52 b3072 + x7872 >= 0 e6144: - 220 b3073 + x7873 <= 0 e6145: - 52 b3073 + x7873 >= 0 e6146: - 184 b3074 + x7874 <= 0 e6147: - 64 b3074 + x7874 >= 0 e6148: - 184 b3075 + x7875 <= 0 e6149: - 64 b3075 + x7875 >= 0 e6150: - 184 b3076 + x7876 <= 0 e6151: - 64 b3076 + x7876 >= 0 e6152: - 184 b3077 + x7877 <= 0 e6153: - 64 b3077 + x7877 >= 0 e6154: - 184 b3078 + x7878 <= 0 e6155: - 64 b3078 + x7878 >= 0 e6156: - 184 b3079 + x7879 <= 0 e6157: - 64 b3079 + x7879 >= 0 e6158: - 184 b3080 + x7880 <= 0 e6159: - 64 b3080 + x7880 >= 0 e6160: - 184 b3081 + x7881 <= 0 e6161: - 64 b3081 + x7881 >= 0 e6162: - 184 b3082 + x7882 <= 0 e6163: - 64 b3082 + x7882 >= 0 e6164: - 184 b3083 + x7883 <= 0 e6165: - 64 b3083 + x7883 >= 0 e6166: - 184 b3084 + x7884 <= 0 e6167: - 64 b3084 + x7884 >= 0 e6168: - 184 b3085 + x7885 <= 0 e6169: - 64 b3085 + x7885 >= 0 e6170: - 184 b3086 + x7886 <= 0 e6171: - 64 b3086 + x7886 >= 0 e6172: - 184 b3087 + x7887 <= 0 e6173: - 64 b3087 + x7887 >= 0 e6174: - 184 b3088 + x7888 <= 0 e6175: - 64 b3088 + x7888 >= 0 e6176: - 184 b3089 + x7889 <= 0 e6177: - 64 b3089 + x7889 >= 0 e6178: - 184 b3090 + x7890 <= 0 e6179: - 64 b3090 + x7890 >= 0 e6180: - 184 b3091 + x7891 <= 0 e6181: - 64 b3091 + x7891 >= 0 e6182: - 184 b3092 + x7892 <= 0 e6183: - 64 b3092 + x7892 >= 0 e6184: - 184 b3093 + x7893 <= 0 e6185: - 64 b3093 + x7893 >= 0 e6186: - 184 b3094 + x7894 <= 0 e6187: - 64 b3094 + x7894 >= 0 e6188: - 184 b3095 + x7895 <= 0 e6189: - 64 b3095 + x7895 >= 0 e6190: - 184 b3096 + x7896 <= 0 e6191: - 64 b3096 + x7896 >= 0 e6192: - 184 b3097 + x7897 <= 0 e6193: - 64 b3097 + x7897 >= 0 e6194: - 209 b3098 + x7898 <= 0 e6195: - 60 b3098 + x7898 >= 0 e6196: - 209 b3099 + x7899 <= 0 e6197: - 60 b3099 + x7899 >= 0 e6198: - 209 b3100 + x7900 <= 0 e6199: - 60 b3100 + x7900 >= 0 e6200: - 209 b3101 + x7901 <= 0 e6201: - 60 b3101 + x7901 >= 0 e6202: - 209 b3102 + x7902 <= 0 e6203: - 60 b3102 + x7902 >= 0 e6204: - 209 b3103 + x7903 <= 0 e6205: - 60 b3103 + x7903 >= 0 e6206: - 209 b3104 + x7904 <= 0 e6207: - 60 b3104 + x7904 >= 0 e6208: - 209 b3105 + x7905 <= 0 e6209: - 60 b3105 + x7905 >= 0 e6210: - 209 b3106 + x7906 <= 0 e6211: - 60 b3106 + x7906 >= 0 e6212: - 209 b3107 + x7907 <= 0 e6213: - 60 b3107 + x7907 >= 0 e6214: - 209 b3108 + x7908 <= 0 e6215: - 60 b3108 + x7908 >= 0 e6216: - 209 b3109 + x7909 <= 0 e6217: - 60 b3109 + x7909 >= 0 e6218: - 209 b3110 + x7910 <= 0 e6219: - 60 b3110 + x7910 >= 0 e6220: - 209 b3111 + x7911 <= 0 e6221: - 60 b3111 + x7911 >= 0 e6222: - 209 b3112 + x7912 <= 0 e6223: - 60 b3112 + x7912 >= 0 e6224: - 209 b3113 + x7913 <= 0 e6225: - 60 b3113 + x7913 >= 0 e6226: - 209 b3114 + x7914 <= 0 e6227: - 60 b3114 + x7914 >= 0 e6228: - 209 b3115 + x7915 <= 0 e6229: - 60 b3115 + x7915 >= 0 e6230: - 209 b3116 + x7916 <= 0 e6231: - 60 b3116 + x7916 >= 0 e6232: - 209 b3117 + x7917 <= 0 e6233: - 60 b3117 + x7917 >= 0 e6234: - 209 b3118 + x7918 <= 0 e6235: - 60 b3118 + x7918 >= 0 e6236: - 209 b3119 + x7919 <= 0 e6237: - 60 b3119 + x7919 >= 0 e6238: - 209 b3120 + x7920 <= 0 e6239: - 60 b3120 + x7920 >= 0 e6240: - 209 b3121 + x7921 <= 0 e6241: - 60 b3121 + x7921 >= 0 e6242: - 184 b3122 + x7922 <= 0 e6243: - 56 b3122 + x7922 >= 0 e6244: - 184 b3123 + x7923 <= 0 e6245: - 56 b3123 + x7923 >= 0 e6246: - 184 b3124 + x7924 <= 0 e6247: - 56 b3124 + x7924 >= 0 e6248: - 184 b3125 + x7925 <= 0 e6249: - 56 b3125 + x7925 >= 0 e6250: - 184 b3126 + x7926 <= 0 e6251: - 56 b3126 + x7926 >= 0 e6252: - 184 b3127 + x7927 <= 0 e6253: - 56 b3127 + x7927 >= 0 e6254: - 184 b3128 + x7928 <= 0 e6255: - 56 b3128 + x7928 >= 0 e6256: - 184 b3129 + x7929 <= 0 e6257: - 56 b3129 + x7929 >= 0 e6258: - 184 b3130 + x7930 <= 0 e6259: - 56 b3130 + x7930 >= 0 e6260: - 184 b3131 + x7931 <= 0 e6261: - 56 b3131 + x7931 >= 0 e6262: - 184 b3132 + x7932 <= 0 e6263: - 56 b3132 + x7932 >= 0 e6264: - 184 b3133 + x7933 <= 0 e6265: - 56 b3133 + x7933 >= 0 e6266: - 184 b3134 + x7934 <= 0 e6267: - 56 b3134 + x7934 >= 0 e6268: - 184 b3135 + x7935 <= 0 e6269: - 56 b3135 + x7935 >= 0 e6270: - 184 b3136 + x7936 <= 0 e6271: - 56 b3136 + x7936 >= 0 e6272: - 184 b3137 + x7937 <= 0 e6273: - 56 b3137 + x7937 >= 0 e6274: - 184 b3138 + x7938 <= 0 e6275: - 56 b3138 + x7938 >= 0 e6276: - 184 b3139 + x7939 <= 0 e6277: - 56 b3139 + x7939 >= 0 e6278: - 184 b3140 + x7940 <= 0 e6279: - 56 b3140 + x7940 >= 0 e6280: - 184 b3141 + x7941 <= 0 e6281: - 56 b3141 + x7941 >= 0 e6282: - 184 b3142 + x7942 <= 0 e6283: - 56 b3142 + x7942 >= 0 e6284: - 184 b3143 + x7943 <= 0 e6285: - 56 b3143 + x7943 >= 0 e6286: - 184 b3144 + x7944 <= 0 e6287: - 56 b3144 + x7944 >= 0 e6288: - 184 b3145 + x7945 <= 0 e6289: - 56 b3145 + x7945 >= 0 e6290: - 217 b3146 + x7946 <= 0 e6291: - 67 b3146 + x7946 >= 0 e6292: - 217 b3147 + x7947 <= 0 e6293: - 67 b3147 + x7947 >= 0 e6294: - 217 b3148 + x7948 <= 0 e6295: - 67 b3148 + x7948 >= 0 e6296: - 217 b3149 + x7949 <= 0 e6297: - 67 b3149 + x7949 >= 0 e6298: - 217 b3150 + x7950 <= 0 e6299: - 67 b3150 + x7950 >= 0 e6300: - 217 b3151 + x7951 <= 0 e6301: - 67 b3151 + x7951 >= 0 e6302: - 217 b3152 + x7952 <= 0 e6303: - 67 b3152 + x7952 >= 0 e6304: - 217 b3153 + x7953 <= 0 e6305: - 67 b3153 + x7953 >= 0 e6306: - 217 b3154 + x7954 <= 0 e6307: - 67 b3154 + x7954 >= 0 e6308: - 217 b3155 + x7955 <= 0 e6309: - 67 b3155 + x7955 >= 0 e6310: - 217 b3156 + x7956 <= 0 e6311: - 67 b3156 + x7956 >= 0 e6312: - 217 b3157 + x7957 <= 0 e6313: - 67 b3157 + x7957 >= 0 e6314: - 217 b3158 + x7958 <= 0 e6315: - 67 b3158 + x7958 >= 0 e6316: - 217 b3159 + x7959 <= 0 e6317: - 67 b3159 + x7959 >= 0 e6318: - 217 b3160 + x7960 <= 0 e6319: - 67 b3160 + x7960 >= 0 e6320: - 217 b3161 + x7961 <= 0 e6321: - 67 b3161 + x7961 >= 0 e6322: - 217 b3162 + x7962 <= 0 e6323: - 67 b3162 + x7962 >= 0 e6324: - 217 b3163 + x7963 <= 0 e6325: - 67 b3163 + x7963 >= 0 e6326: - 217 b3164 + x7964 <= 0 e6327: - 67 b3164 + x7964 >= 0 e6328: - 217 b3165 + x7965 <= 0 e6329: - 67 b3165 + x7965 >= 0 e6330: - 217 b3166 + x7966 <= 0 e6331: - 67 b3166 + x7966 >= 0 e6332: - 217 b3167 + x7967 <= 0 e6333: - 67 b3167 + x7967 >= 0 e6334: - 217 b3168 + x7968 <= 0 e6335: - 67 b3168 + x7968 >= 0 e6336: - 217 b3169 + x7969 <= 0 e6337: - 67 b3169 + x7969 >= 0 e6338: - 199 b3170 + x7970 <= 0 e6339: - 60 b3170 + x7970 >= 0 e6340: - 199 b3171 + x7971 <= 0 e6341: - 60 b3171 + x7971 >= 0 e6342: - 199 b3172 + x7972 <= 0 e6343: - 60 b3172 + x7972 >= 0 e6344: - 199 b3173 + x7973 <= 0 e6345: - 60 b3173 + x7973 >= 0 e6346: - 199 b3174 + x7974 <= 0 e6347: - 60 b3174 + x7974 >= 0 e6348: - 199 b3175 + x7975 <= 0 e6349: - 60 b3175 + x7975 >= 0 e6350: - 199 b3176 + x7976 <= 0 e6351: - 60 b3176 + x7976 >= 0 e6352: - 199 b3177 + x7977 <= 0 e6353: - 60 b3177 + x7977 >= 0 e6354: - 199 b3178 + x7978 <= 0 e6355: - 60 b3178 + x7978 >= 0 e6356: - 199 b3179 + x7979 <= 0 e6357: - 60 b3179 + x7979 >= 0 e6358: - 199 b3180 + x7980 <= 0 e6359: - 60 b3180 + x7980 >= 0 e6360: - 199 b3181 + x7981 <= 0 e6361: - 60 b3181 + x7981 >= 0 e6362: - 199 b3182 + x7982 <= 0 e6363: - 60 b3182 + x7982 >= 0 e6364: - 199 b3183 + x7983 <= 0 e6365: - 60 b3183 + x7983 >= 0 e6366: - 199 b3184 + x7984 <= 0 e6367: - 60 b3184 + x7984 >= 0 e6368: - 199 b3185 + x7985 <= 0 e6369: - 60 b3185 + x7985 >= 0 e6370: - 199 b3186 + x7986 <= 0 e6371: - 60 b3186 + x7986 >= 0 e6372: - 199 b3187 + x7987 <= 0 e6373: - 60 b3187 + x7987 >= 0 e6374: - 199 b3188 + x7988 <= 0 e6375: - 60 b3188 + x7988 >= 0 e6376: - 199 b3189 + x7989 <= 0 e6377: - 60 b3189 + x7989 >= 0 e6378: - 199 b3190 + x7990 <= 0 e6379: - 60 b3190 + x7990 >= 0 e6380: - 199 b3191 + x7991 <= 0 e6381: - 60 b3191 + x7991 >= 0 e6382: - 199 b3192 + x7992 <= 0 e6383: - 60 b3192 + x7992 >= 0 e6384: - 199 b3193 + x7993 <= 0 e6385: - 60 b3193 + x7993 >= 0 e6386: - 175 b3194 + x7994 <= 0 e6387: - 67 b3194 + x7994 >= 0 e6388: - 175 b3195 + x7995 <= 0 e6389: - 67 b3195 + x7995 >= 0 e6390: - 175 b3196 + x7996 <= 0 e6391: - 67 b3196 + x7996 >= 0 e6392: - 175 b3197 + x7997 <= 0 e6393: - 67 b3197 + x7997 >= 0 e6394: - 175 b3198 + x7998 <= 0 e6395: - 67 b3198 + x7998 >= 0 e6396: - 175 b3199 + x7999 <= 0 e6397: - 67 b3199 + x7999 >= 0 e6398: - 175 b3200 + x8000 <= 0 e6399: - 67 b3200 + x8000 >= 0 e6400: - 175 b3201 + x8001 <= 0 e6401: - 67 b3201 + x8001 >= 0 e6402: - 175 b3202 + x8002 <= 0 e6403: - 67 b3202 + x8002 >= 0 e6404: - 175 b3203 + x8003 <= 0 e6405: - 67 b3203 + x8003 >= 0 e6406: - 175 b3204 + x8004 <= 0 e6407: - 67 b3204 + x8004 >= 0 e6408: - 175 b3205 + x8005 <= 0 e6409: - 67 b3205 + x8005 >= 0 e6410: - 175 b3206 + x8006 <= 0 e6411: - 67 b3206 + x8006 >= 0 e6412: - 175 b3207 + x8007 <= 0 e6413: - 67 b3207 + x8007 >= 0 e6414: - 175 b3208 + x8008 <= 0 e6415: - 67 b3208 + x8008 >= 0 e6416: - 175 b3209 + x8009 <= 0 e6417: - 67 b3209 + x8009 >= 0 e6418: - 175 b3210 + x8010 <= 0 e6419: - 67 b3210 + x8010 >= 0 e6420: - 175 b3211 + x8011 <= 0 e6421: - 67 b3211 + x8011 >= 0 e6422: - 175 b3212 + x8012 <= 0 e6423: - 67 b3212 + x8012 >= 0 e6424: - 175 b3213 + x8013 <= 0 e6425: - 67 b3213 + x8013 >= 0 e6426: - 175 b3214 + x8014 <= 0 e6427: - 67 b3214 + x8014 >= 0 e6428: - 175 b3215 + x8015 <= 0 e6429: - 67 b3215 + x8015 >= 0 e6430: - 175 b3216 + x8016 <= 0 e6431: - 67 b3216 + x8016 >= 0 e6432: - 175 b3217 + x8017 <= 0 e6433: - 67 b3217 + x8017 >= 0 e6434: - 195 b3218 + x8018 <= 0 e6435: - 60 b3218 + x8018 >= 0 e6436: - 195 b3219 + x8019 <= 0 e6437: - 60 b3219 + x8019 >= 0 e6438: - 195 b3220 + x8020 <= 0 e6439: - 60 b3220 + x8020 >= 0 e6440: - 195 b3221 + x8021 <= 0 e6441: - 60 b3221 + x8021 >= 0 e6442: - 195 b3222 + x8022 <= 0 e6443: - 60 b3222 + x8022 >= 0 e6444: - 195 b3223 + x8023 <= 0 e6445: - 60 b3223 + x8023 >= 0 e6446: - 195 b3224 + x8024 <= 0 e6447: - 60 b3224 + x8024 >= 0 e6448: - 195 b3225 + x8025 <= 0 e6449: - 60 b3225 + x8025 >= 0 e6450: - 195 b3226 + x8026 <= 0 e6451: - 60 b3226 + x8026 >= 0 e6452: - 195 b3227 + x8027 <= 0 e6453: - 60 b3227 + x8027 >= 0 e6454: - 195 b3228 + x8028 <= 0 e6455: - 60 b3228 + x8028 >= 0 e6456: - 195 b3229 + x8029 <= 0 e6457: - 60 b3229 + x8029 >= 0 e6458: - 195 b3230 + x8030 <= 0 e6459: - 60 b3230 + x8030 >= 0 e6460: - 195 b3231 + x8031 <= 0 e6461: - 60 b3231 + x8031 >= 0 e6462: - 195 b3232 + x8032 <= 0 e6463: - 60 b3232 + x8032 >= 0 e6464: - 195 b3233 + x8033 <= 0 e6465: - 60 b3233 + x8033 >= 0 e6466: - 195 b3234 + x8034 <= 0 e6467: - 60 b3234 + x8034 >= 0 e6468: - 195 b3235 + x8035 <= 0 e6469: - 60 b3235 + x8035 >= 0 e6470: - 195 b3236 + x8036 <= 0 e6471: - 60 b3236 + x8036 >= 0 e6472: - 195 b3237 + x8037 <= 0 e6473: - 60 b3237 + x8037 >= 0 e6474: - 195 b3238 + x8038 <= 0 e6475: - 60 b3238 + x8038 >= 0 e6476: - 195 b3239 + x8039 <= 0 e6477: - 60 b3239 + x8039 >= 0 e6478: - 195 b3240 + x8040 <= 0 e6479: - 60 b3240 + x8040 >= 0 e6480: - 195 b3241 + x8041 <= 0 e6481: - 60 b3241 + x8041 >= 0 e6482: - 180 b3242 + x8042 <= 0 e6483: - 66 b3242 + x8042 >= 0 e6484: - 180 b3243 + x8043 <= 0 e6485: - 66 b3243 + x8043 >= 0 e6486: - 180 b3244 + x8044 <= 0 e6487: - 66 b3244 + x8044 >= 0 e6488: - 180 b3245 + x8045 <= 0 e6489: - 66 b3245 + x8045 >= 0 e6490: - 180 b3246 + x8046 <= 0 e6491: - 66 b3246 + x8046 >= 0 e6492: - 180 b3247 + x8047 <= 0 e6493: - 66 b3247 + x8047 >= 0 e6494: - 180 b3248 + x8048 <= 0 e6495: - 66 b3248 + x8048 >= 0 e6496: - 180 b3249 + x8049 <= 0 e6497: - 66 b3249 + x8049 >= 0 e6498: - 180 b3250 + x8050 <= 0 e6499: - 66 b3250 + x8050 >= 0 e6500: - 180 b3251 + x8051 <= 0 e6501: - 66 b3251 + x8051 >= 0 e6502: - 180 b3252 + x8052 <= 0 e6503: - 66 b3252 + x8052 >= 0 e6504: - 180 b3253 + x8053 <= 0 e6505: - 66 b3253 + x8053 >= 0 e6506: - 180 b3254 + x8054 <= 0 e6507: - 66 b3254 + x8054 >= 0 e6508: - 180 b3255 + x8055 <= 0 e6509: - 66 b3255 + x8055 >= 0 e6510: - 180 b3256 + x8056 <= 0 e6511: - 66 b3256 + x8056 >= 0 e6512: - 180 b3257 + x8057 <= 0 e6513: - 66 b3257 + x8057 >= 0 e6514: - 180 b3258 + x8058 <= 0 e6515: - 66 b3258 + x8058 >= 0 e6516: - 180 b3259 + x8059 <= 0 e6517: - 66 b3259 + x8059 >= 0 e6518: - 180 b3260 + x8060 <= 0 e6519: - 66 b3260 + x8060 >= 0 e6520: - 180 b3261 + x8061 <= 0 e6521: - 66 b3261 + x8061 >= 0 e6522: - 180 b3262 + x8062 <= 0 e6523: - 66 b3262 + x8062 >= 0 e6524: - 180 b3263 + x8063 <= 0 e6525: - 66 b3263 + x8063 >= 0 e6526: - 180 b3264 + x8064 <= 0 e6527: - 66 b3264 + x8064 >= 0 e6528: - 180 b3265 + x8065 <= 0 e6529: - 66 b3265 + x8065 >= 0 e6530: - 196 b3266 + x8066 <= 0 e6531: - 57 b3266 + x8066 >= 0 e6532: - 196 b3267 + x8067 <= 0 e6533: - 57 b3267 + x8067 >= 0 e6534: - 196 b3268 + x8068 <= 0 e6535: - 57 b3268 + x8068 >= 0 e6536: - 196 b3269 + x8069 <= 0 e6537: - 57 b3269 + x8069 >= 0 e6538: - 196 b3270 + x8070 <= 0 e6539: - 57 b3270 + x8070 >= 0 e6540: - 196 b3271 + x8071 <= 0 e6541: - 57 b3271 + x8071 >= 0 e6542: - 196 b3272 + x8072 <= 0 e6543: - 57 b3272 + x8072 >= 0 e6544: - 196 b3273 + x8073 <= 0 e6545: - 57 b3273 + x8073 >= 0 e6546: - 196 b3274 + x8074 <= 0 e6547: - 57 b3274 + x8074 >= 0 e6548: - 196 b3275 + x8075 <= 0 e6549: - 57 b3275 + x8075 >= 0 e6550: - 196 b3276 + x8076 <= 0 e6551: - 57 b3276 + x8076 >= 0 e6552: - 196 b3277 + x8077 <= 0 e6553: - 57 b3277 + x8077 >= 0 e6554: - 196 b3278 + x8078 <= 0 e6555: - 57 b3278 + x8078 >= 0 e6556: - 196 b3279 + x8079 <= 0 e6557: - 57 b3279 + x8079 >= 0 e6558: - 196 b3280 + x8080 <= 0 e6559: - 57 b3280 + x8080 >= 0 e6560: - 196 b3281 + x8081 <= 0 e6561: - 57 b3281 + x8081 >= 0 e6562: - 196 b3282 + x8082 <= 0 e6563: - 57 b3282 + x8082 >= 0 e6564: - 196 b3283 + x8083 <= 0 e6565: - 57 b3283 + x8083 >= 0 e6566: - 196 b3284 + x8084 <= 0 e6567: - 57 b3284 + x8084 >= 0 e6568: - 196 b3285 + x8085 <= 0 e6569: - 57 b3285 + x8085 >= 0 e6570: - 196 b3286 + x8086 <= 0 e6571: - 57 b3286 + x8086 >= 0 e6572: - 196 b3287 + x8087 <= 0 e6573: - 57 b3287 + x8087 >= 0 e6574: - 196 b3288 + x8088 <= 0 e6575: - 57 b3288 + x8088 >= 0 e6576: - 196 b3289 + x8089 <= 0 e6577: - 57 b3289 + x8089 >= 0 e6578: - 206 b3290 + x8090 <= 0 e6579: - 60 b3290 + x8090 >= 0 e6580: - 206 b3291 + x8091 <= 0 e6581: - 60 b3291 + x8091 >= 0 e6582: - 206 b3292 + x8092 <= 0 e6583: - 60 b3292 + x8092 >= 0 e6584: - 206 b3293 + x8093 <= 0 e6585: - 60 b3293 + x8093 >= 0 e6586: - 206 b3294 + x8094 <= 0 e6587: - 60 b3294 + x8094 >= 0 e6588: - 206 b3295 + x8095 <= 0 e6589: - 60 b3295 + x8095 >= 0 e6590: - 206 b3296 + x8096 <= 0 e6591: - 60 b3296 + x8096 >= 0 e6592: - 206 b3297 + x8097 <= 0 e6593: - 60 b3297 + x8097 >= 0 e6594: - 206 b3298 + x8098 <= 0 e6595: - 60 b3298 + x8098 >= 0 e6596: - 206 b3299 + x8099 <= 0 e6597: - 60 b3299 + x8099 >= 0 e6598: - 206 b3300 + x8100 <= 0 e6599: - 60 b3300 + x8100 >= 0 e6600: - 206 b3301 + x8101 <= 0 e6601: - 60 b3301 + x8101 >= 0 e6602: - 206 b3302 + x8102 <= 0 e6603: - 60 b3302 + x8102 >= 0 e6604: - 206 b3303 + x8103 <= 0 e6605: - 60 b3303 + x8103 >= 0 e6606: - 206 b3304 + x8104 <= 0 e6607: - 60 b3304 + x8104 >= 0 e6608: - 206 b3305 + x8105 <= 0 e6609: - 60 b3305 + x8105 >= 0 e6610: - 206 b3306 + x8106 <= 0 e6611: - 60 b3306 + x8106 >= 0 e6612: - 206 b3307 + x8107 <= 0 e6613: - 60 b3307 + x8107 >= 0 e6614: - 206 b3308 + x8108 <= 0 e6615: - 60 b3308 + x8108 >= 0 e6616: - 206 b3309 + x8109 <= 0 e6617: - 60 b3309 + x8109 >= 0 e6618: - 206 b3310 + x8110 <= 0 e6619: - 60 b3310 + x8110 >= 0 e6620: - 206 b3311 + x8111 <= 0 e6621: - 60 b3311 + x8111 >= 0 e6622: - 206 b3312 + x8112 <= 0 e6623: - 60 b3312 + x8112 >= 0 e6624: - 206 b3313 + x8113 <= 0 e6625: - 60 b3313 + x8113 >= 0 e6626: - 215 b3314 + x8114 <= 0 e6627: - 60 b3314 + x8114 >= 0 e6628: - 215 b3315 + x8115 <= 0 e6629: - 60 b3315 + x8115 >= 0 e6630: - 215 b3316 + x8116 <= 0 e6631: - 60 b3316 + x8116 >= 0 e6632: - 215 b3317 + x8117 <= 0 e6633: - 60 b3317 + x8117 >= 0 e6634: - 215 b3318 + x8118 <= 0 e6635: - 60 b3318 + x8118 >= 0 e6636: - 215 b3319 + x8119 <= 0 e6637: - 60 b3319 + x8119 >= 0 e6638: - 215 b3320 + x8120 <= 0 e6639: - 60 b3320 + x8120 >= 0 e6640: - 215 b3321 + x8121 <= 0 e6641: - 60 b3321 + x8121 >= 0 e6642: - 215 b3322 + x8122 <= 0 e6643: - 60 b3322 + x8122 >= 0 e6644: - 215 b3323 + x8123 <= 0 e6645: - 60 b3323 + x8123 >= 0 e6646: - 215 b3324 + x8124 <= 0 e6647: - 60 b3324 + x8124 >= 0 e6648: - 215 b3325 + x8125 <= 0 e6649: - 60 b3325 + x8125 >= 0 e6650: - 215 b3326 + x8126 <= 0 e6651: - 60 b3326 + x8126 >= 0 e6652: - 215 b3327 + x8127 <= 0 e6653: - 60 b3327 + x8127 >= 0 e6654: - 215 b3328 + x8128 <= 0 e6655: - 60 b3328 + x8128 >= 0 e6656: - 215 b3329 + x8129 <= 0 e6657: - 60 b3329 + x8129 >= 0 e6658: - 215 b3330 + x8130 <= 0 e6659: - 60 b3330 + x8130 >= 0 e6660: - 215 b3331 + x8131 <= 0 e6661: - 60 b3331 + x8131 >= 0 e6662: - 215 b3332 + x8132 <= 0 e6663: - 60 b3332 + x8132 >= 0 e6664: - 215 b3333 + x8133 <= 0 e6665: - 60 b3333 + x8133 >= 0 e6666: - 215 b3334 + x8134 <= 0 e6667: - 60 b3334 + x8134 >= 0 e6668: - 215 b3335 + x8135 <= 0 e6669: - 60 b3335 + x8135 >= 0 e6670: - 215 b3336 + x8136 <= 0 e6671: - 60 b3336 + x8136 >= 0 e6672: - 215 b3337 + x8137 <= 0 e6673: - 60 b3337 + x8137 >= 0 e6674: - 194 b3338 + x8138 <= 0 e6675: - 70 b3338 + x8138 >= 0 e6676: - 194 b3339 + x8139 <= 0 e6677: - 70 b3339 + x8139 >= 0 e6678: - 194 b3340 + x8140 <= 0 e6679: - 70 b3340 + x8140 >= 0 e6680: - 194 b3341 + x8141 <= 0 e6681: - 70 b3341 + x8141 >= 0 e6682: - 194 b3342 + x8142 <= 0 e6683: - 70 b3342 + x8142 >= 0 e6684: - 194 b3343 + x8143 <= 0 e6685: - 70 b3343 + x8143 >= 0 e6686: - 194 b3344 + x8144 <= 0 e6687: - 70 b3344 + x8144 >= 0 e6688: - 194 b3345 + x8145 <= 0 e6689: - 70 b3345 + x8145 >= 0 e6690: - 194 b3346 + x8146 <= 0 e6691: - 70 b3346 + x8146 >= 0 e6692: - 194 b3347 + x8147 <= 0 e6693: - 70 b3347 + x8147 >= 0 e6694: - 194 b3348 + x8148 <= 0 e6695: - 70 b3348 + x8148 >= 0 e6696: - 194 b3349 + x8149 <= 0 e6697: - 70 b3349 + x8149 >= 0 e6698: - 194 b3350 + x8150 <= 0 e6699: - 70 b3350 + x8150 >= 0 e6700: - 194 b3351 + x8151 <= 0 e6701: - 70 b3351 + x8151 >= 0 e6702: - 194 b3352 + x8152 <= 0 e6703: - 70 b3352 + x8152 >= 0 e6704: - 194 b3353 + x8153 <= 0 e6705: - 70 b3353 + x8153 >= 0 e6706: - 194 b3354 + x8154 <= 0 e6707: - 70 b3354 + x8154 >= 0 e6708: - 194 b3355 + x8155 <= 0 e6709: - 70 b3355 + x8155 >= 0 e6710: - 194 b3356 + x8156 <= 0 e6711: - 70 b3356 + x8156 >= 0 e6712: - 194 b3357 + x8157 <= 0 e6713: - 70 b3357 + x8157 >= 0 e6714: - 194 b3358 + x8158 <= 0 e6715: - 70 b3358 + x8158 >= 0 e6716: - 194 b3359 + x8159 <= 0 e6717: - 70 b3359 + x8159 >= 0 e6718: - 194 b3360 + x8160 <= 0 e6719: - 70 b3360 + x8160 >= 0 e6720: - 194 b3361 + x8161 <= 0 e6721: - 70 b3361 + x8161 >= 0 e6722: - 177 b3362 + x8162 <= 0 e6723: - 61 b3362 + x8162 >= 0 e6724: - 177 b3363 + x8163 <= 0 e6725: - 61 b3363 + x8163 >= 0 e6726: - 177 b3364 + x8164 <= 0 e6727: - 61 b3364 + x8164 >= 0 e6728: - 177 b3365 + x8165 <= 0 e6729: - 61 b3365 + x8165 >= 0 e6730: - 177 b3366 + x8166 <= 0 e6731: - 61 b3366 + x8166 >= 0 e6732: - 177 b3367 + x8167 <= 0 e6733: - 61 b3367 + x8167 >= 0 e6734: - 177 b3368 + x8168 <= 0 e6735: - 61 b3368 + x8168 >= 0 e6736: - 177 b3369 + x8169 <= 0 e6737: - 61 b3369 + x8169 >= 0 e6738: - 177 b3370 + x8170 <= 0 e6739: - 61 b3370 + x8170 >= 0 e6740: - 177 b3371 + x8171 <= 0 e6741: - 61 b3371 + x8171 >= 0 e6742: - 177 b3372 + x8172 <= 0 e6743: - 61 b3372 + x8172 >= 0 e6744: - 177 b3373 + x8173 <= 0 e6745: - 61 b3373 + x8173 >= 0 e6746: - 177 b3374 + x8174 <= 0 e6747: - 61 b3374 + x8174 >= 0 e6748: - 177 b3375 + x8175 <= 0 e6749: - 61 b3375 + x8175 >= 0 e6750: - 177 b3376 + x8176 <= 0 e6751: - 61 b3376 + x8176 >= 0 e6752: - 177 b3377 + x8177 <= 0 e6753: - 61 b3377 + x8177 >= 0 e6754: - 177 b3378 + x8178 <= 0 e6755: - 61 b3378 + x8178 >= 0 e6756: - 177 b3379 + x8179 <= 0 e6757: - 61 b3379 + x8179 >= 0 e6758: - 177 b3380 + x8180 <= 0 e6759: - 61 b3380 + x8180 >= 0 e6760: - 177 b3381 + x8181 <= 0 e6761: - 61 b3381 + x8181 >= 0 e6762: - 177 b3382 + x8182 <= 0 e6763: - 61 b3382 + x8182 >= 0 e6764: - 177 b3383 + x8183 <= 0 e6765: - 61 b3383 + x8183 >= 0 e6766: - 177 b3384 + x8184 <= 0 e6767: - 61 b3384 + x8184 >= 0 e6768: - 177 b3385 + x8185 <= 0 e6769: - 61 b3385 + x8185 >= 0 e6770: - 195 b3386 + x8186 <= 0 e6771: - 61 b3386 + x8186 >= 0 e6772: - 195 b3387 + x8187 <= 0 e6773: - 61 b3387 + x8187 >= 0 e6774: - 195 b3388 + x8188 <= 0 e6775: - 61 b3388 + x8188 >= 0 e6776: - 195 b3389 + x8189 <= 0 e6777: - 61 b3389 + x8189 >= 0 e6778: - 195 b3390 + x8190 <= 0 e6779: - 61 b3390 + x8190 >= 0 e6780: - 195 b3391 + x8191 <= 0 e6781: - 61 b3391 + x8191 >= 0 e6782: - 195 b3392 + x8192 <= 0 e6783: - 61 b3392 + x8192 >= 0 e6784: - 195 b3393 + x8193 <= 0 e6785: - 61 b3393 + x8193 >= 0 e6786: - 195 b3394 + x8194 <= 0 e6787: - 61 b3394 + x8194 >= 0 e6788: - 195 b3395 + x8195 <= 0 e6789: - 61 b3395 + x8195 >= 0 e6790: - 195 b3396 + x8196 <= 0 e6791: - 61 b3396 + x8196 >= 0 e6792: - 195 b3397 + x8197 <= 0 e6793: - 61 b3397 + x8197 >= 0 e6794: - 195 b3398 + x8198 <= 0 e6795: - 61 b3398 + x8198 >= 0 e6796: - 195 b3399 + x8199 <= 0 e6797: - 61 b3399 + x8199 >= 0 e6798: - 195 b3400 + x8200 <= 0 e6799: - 61 b3400 + x8200 >= 0 e6800: - 195 b3401 + x8201 <= 0 e6801: - 61 b3401 + x8201 >= 0 e6802: - 195 b3402 + x8202 <= 0 e6803: - 61 b3402 + x8202 >= 0 e6804: - 195 b3403 + x8203 <= 0 e6805: - 61 b3403 + x8203 >= 0 e6806: - 195 b3404 + x8204 <= 0 e6807: - 61 b3404 + x8204 >= 0 e6808: - 195 b3405 + x8205 <= 0 e6809: - 61 b3405 + x8205 >= 0 e6810: - 195 b3406 + x8206 <= 0 e6811: - 61 b3406 + x8206 >= 0 e6812: - 195 b3407 + x8207 <= 0 e6813: - 61 b3407 + x8207 >= 0 e6814: - 195 b3408 + x8208 <= 0 e6815: - 61 b3408 + x8208 >= 0 e6816: - 195 b3409 + x8209 <= 0 e6817: - 61 b3409 + x8209 >= 0 e6818: - 185 b3410 + x8210 <= 0 e6819: - 50 b3410 + x8210 >= 0 e6820: - 185 b3411 + x8211 <= 0 e6821: - 50 b3411 + x8211 >= 0 e6822: - 185 b3412 + x8212 <= 0 e6823: - 50 b3412 + x8212 >= 0 e6824: - 185 b3413 + x8213 <= 0 e6825: - 50 b3413 + x8213 >= 0 e6826: - 185 b3414 + x8214 <= 0 e6827: - 50 b3414 + x8214 >= 0 e6828: - 185 b3415 + x8215 <= 0 e6829: - 50 b3415 + x8215 >= 0 e6830: - 185 b3416 + x8216 <= 0 e6831: - 50 b3416 + x8216 >= 0 e6832: - 185 b3417 + x8217 <= 0 e6833: - 50 b3417 + x8217 >= 0 e6834: - 185 b3418 + x8218 <= 0 e6835: - 50 b3418 + x8218 >= 0 e6836: - 185 b3419 + x8219 <= 0 e6837: - 50 b3419 + x8219 >= 0 e6838: - 185 b3420 + x8220 <= 0 e6839: - 50 b3420 + x8220 >= 0 e6840: - 185 b3421 + x8221 <= 0 e6841: - 50 b3421 + x8221 >= 0 e6842: - 185 b3422 + x8222 <= 0 e6843: - 50 b3422 + x8222 >= 0 e6844: - 185 b3423 + x8223 <= 0 e6845: - 50 b3423 + x8223 >= 0 e6846: - 185 b3424 + x8224 <= 0 e6847: - 50 b3424 + x8224 >= 0 e6848: - 185 b3425 + x8225 <= 0 e6849: - 50 b3425 + x8225 >= 0 e6850: - 185 b3426 + x8226 <= 0 e6851: - 50 b3426 + x8226 >= 0 e6852: - 185 b3427 + x8227 <= 0 e6853: - 50 b3427 + x8227 >= 0 e6854: - 185 b3428 + x8228 <= 0 e6855: - 50 b3428 + x8228 >= 0 e6856: - 185 b3429 + x8229 <= 0 e6857: - 50 b3429 + x8229 >= 0 e6858: - 185 b3430 + x8230 <= 0 e6859: - 50 b3430 + x8230 >= 0 e6860: - 185 b3431 + x8231 <= 0 e6861: - 50 b3431 + x8231 >= 0 e6862: - 185 b3432 + x8232 <= 0 e6863: - 50 b3432 + x8232 >= 0 e6864: - 185 b3433 + x8233 <= 0 e6865: - 50 b3433 + x8233 >= 0 e6866: - 193 b3434 + x8234 <= 0 e6867: - 51 b3434 + x8234 >= 0 e6868: - 193 b3435 + x8235 <= 0 e6869: - 51 b3435 + x8235 >= 0 e6870: - 193 b3436 + x8236 <= 0 e6871: - 51 b3436 + x8236 >= 0 e6872: - 193 b3437 + x8237 <= 0 e6873: - 51 b3437 + x8237 >= 0 e6874: - 193 b3438 + x8238 <= 0 e6875: - 51 b3438 + x8238 >= 0 e6876: - 193 b3439 + x8239 <= 0 e6877: - 51 b3439 + x8239 >= 0 e6878: - 193 b3440 + x8240 <= 0 e6879: - 51 b3440 + x8240 >= 0 e6880: - 193 b3441 + x8241 <= 0 e6881: - 51 b3441 + x8241 >= 0 e6882: - 193 b3442 + x8242 <= 0 e6883: - 51 b3442 + x8242 >= 0 e6884: - 193 b3443 + x8243 <= 0 e6885: - 51 b3443 + x8243 >= 0 e6886: - 193 b3444 + x8244 <= 0 e6887: - 51 b3444 + x8244 >= 0 e6888: - 193 b3445 + x8245 <= 0 e6889: - 51 b3445 + x8245 >= 0 e6890: - 193 b3446 + x8246 <= 0 e6891: - 51 b3446 + x8246 >= 0 e6892: - 193 b3447 + x8247 <= 0 e6893: - 51 b3447 + x8247 >= 0 e6894: - 193 b3448 + x8248 <= 0 e6895: - 51 b3448 + x8248 >= 0 e6896: - 193 b3449 + x8249 <= 0 e6897: - 51 b3449 + x8249 >= 0 e6898: - 193 b3450 + x8250 <= 0 e6899: - 51 b3450 + x8250 >= 0 e6900: - 193 b3451 + x8251 <= 0 e6901: - 51 b3451 + x8251 >= 0 e6902: - 193 b3452 + x8252 <= 0 e6903: - 51 b3452 + x8252 >= 0 e6904: - 193 b3453 + x8253 <= 0 e6905: - 51 b3453 + x8253 >= 0 e6906: - 193 b3454 + x8254 <= 0 e6907: - 51 b3454 + x8254 >= 0 e6908: - 193 b3455 + x8255 <= 0 e6909: - 51 b3455 + x8255 >= 0 e6910: - 193 b3456 + x8256 <= 0 e6911: - 51 b3456 + x8256 >= 0 e6912: - 193 b3457 + x8257 <= 0 e6913: - 51 b3457 + x8257 >= 0 e6914: - 183 b3458 + x8258 <= 0 e6915: - 56 b3458 + x8258 >= 0 e6916: - 183 b3459 + x8259 <= 0 e6917: - 56 b3459 + x8259 >= 0 e6918: - 183 b3460 + x8260 <= 0 e6919: - 56 b3460 + x8260 >= 0 e6920: - 183 b3461 + x8261 <= 0 e6921: - 56 b3461 + x8261 >= 0 e6922: - 183 b3462 + x8262 <= 0 e6923: - 56 b3462 + x8262 >= 0 e6924: - 183 b3463 + x8263 <= 0 e6925: - 56 b3463 + x8263 >= 0 e6926: - 183 b3464 + x8264 <= 0 e6927: - 56 b3464 + x8264 >= 0 e6928: - 183 b3465 + x8265 <= 0 e6929: - 56 b3465 + x8265 >= 0 e6930: - 183 b3466 + x8266 <= 0 e6931: - 56 b3466 + x8266 >= 0 e6932: - 183 b3467 + x8267 <= 0 e6933: - 56 b3467 + x8267 >= 0 e6934: - 183 b3468 + x8268 <= 0 e6935: - 56 b3468 + x8268 >= 0 e6936: - 183 b3469 + x8269 <= 0 e6937: - 56 b3469 + x8269 >= 0 e6938: - 183 b3470 + x8270 <= 0 e6939: - 56 b3470 + x8270 >= 0 e6940: - 183 b3471 + x8271 <= 0 e6941: - 56 b3471 + x8271 >= 0 e6942: - 183 b3472 + x8272 <= 0 e6943: - 56 b3472 + x8272 >= 0 e6944: - 183 b3473 + x8273 <= 0 e6945: - 56 b3473 + x8273 >= 0 e6946: - 183 b3474 + x8274 <= 0 e6947: - 56 b3474 + x8274 >= 0 e6948: - 183 b3475 + x8275 <= 0 e6949: - 56 b3475 + x8275 >= 0 e6950: - 183 b3476 + x8276 <= 0 e6951: - 56 b3476 + x8276 >= 0 e6952: - 183 b3477 + x8277 <= 0 e6953: - 56 b3477 + x8277 >= 0 e6954: - 183 b3478 + x8278 <= 0 e6955: - 56 b3478 + x8278 >= 0 e6956: - 183 b3479 + x8279 <= 0 e6957: - 56 b3479 + x8279 >= 0 e6958: - 183 b3480 + x8280 <= 0 e6959: - 56 b3480 + x8280 >= 0 e6960: - 183 b3481 + x8281 <= 0 e6961: - 56 b3481 + x8281 >= 0 e6962: - 213 b3482 + x8282 <= 0 e6963: - 58 b3482 + x8282 >= 0 e6964: - 213 b3483 + x8283 <= 0 e6965: - 58 b3483 + x8283 >= 0 e6966: - 213 b3484 + x8284 <= 0 e6967: - 58 b3484 + x8284 >= 0 e6968: - 213 b3485 + x8285 <= 0 e6969: - 58 b3485 + x8285 >= 0 e6970: - 213 b3486 + x8286 <= 0 e6971: - 58 b3486 + x8286 >= 0 e6972: - 213 b3487 + x8287 <= 0 e6973: - 58 b3487 + x8287 >= 0 e6974: - 213 b3488 + x8288 <= 0 e6975: - 58 b3488 + x8288 >= 0 e6976: - 213 b3489 + x8289 <= 0 e6977: - 58 b3489 + x8289 >= 0 e6978: - 213 b3490 + x8290 <= 0 e6979: - 58 b3490 + x8290 >= 0 e6980: - 213 b3491 + x8291 <= 0 e6981: - 58 b3491 + x8291 >= 0 e6982: - 213 b3492 + x8292 <= 0 e6983: - 58 b3492 + x8292 >= 0 e6984: - 213 b3493 + x8293 <= 0 e6985: - 58 b3493 + x8293 >= 0 e6986: - 213 b3494 + x8294 <= 0 e6987: - 58 b3494 + x8294 >= 0 e6988: - 213 b3495 + x8295 <= 0 e6989: - 58 b3495 + x8295 >= 0 e6990: - 213 b3496 + x8296 <= 0 e6991: - 58 b3496 + x8296 >= 0 e6992: - 213 b3497 + x8297 <= 0 e6993: - 58 b3497 + x8297 >= 0 e6994: - 213 b3498 + x8298 <= 0 e6995: - 58 b3498 + x8298 >= 0 e6996: - 213 b3499 + x8299 <= 0 e6997: - 58 b3499 + x8299 >= 0 e6998: - 213 b3500 + x8300 <= 0 e6999: - 58 b3500 + x8300 >= 0 e7000: - 213 b3501 + x8301 <= 0 e7001: - 58 b3501 + x8301 >= 0 e7002: - 213 b3502 + x8302 <= 0 e7003: - 58 b3502 + x8302 >= 0 e7004: - 213 b3503 + x8303 <= 0 e7005: - 58 b3503 + x8303 >= 0 e7006: - 213 b3504 + x8304 <= 0 e7007: - 58 b3504 + x8304 >= 0 e7008: - 213 b3505 + x8305 <= 0 e7009: - 58 b3505 + x8305 >= 0 e7010: - 176 b3506 + x8306 <= 0 e7011: - 53 b3506 + x8306 >= 0 e7012: - 176 b3507 + x8307 <= 0 e7013: - 53 b3507 + x8307 >= 0 e7014: - 176 b3508 + x8308 <= 0 e7015: - 53 b3508 + x8308 >= 0 e7016: - 176 b3509 + x8309 <= 0 e7017: - 53 b3509 + x8309 >= 0 e7018: - 176 b3510 + x8310 <= 0 e7019: - 53 b3510 + x8310 >= 0 e7020: - 176 b3511 + x8311 <= 0 e7021: - 53 b3511 + x8311 >= 0 e7022: - 176 b3512 + x8312 <= 0 e7023: - 53 b3512 + x8312 >= 0 e7024: - 176 b3513 + x8313 <= 0 e7025: - 53 b3513 + x8313 >= 0 e7026: - 176 b3514 + x8314 <= 0 e7027: - 53 b3514 + x8314 >= 0 e7028: - 176 b3515 + x8315 <= 0 e7029: - 53 b3515 + x8315 >= 0 e7030: - 176 b3516 + x8316 <= 0 e7031: - 53 b3516 + x8316 >= 0 e7032: - 176 b3517 + x8317 <= 0 e7033: - 53 b3517 + x8317 >= 0 e7034: - 176 b3518 + x8318 <= 0 e7035: - 53 b3518 + x8318 >= 0 e7036: - 176 b3519 + x8319 <= 0 e7037: - 53 b3519 + x8319 >= 0 e7038: - 176 b3520 + x8320 <= 0 e7039: - 53 b3520 + x8320 >= 0 e7040: - 176 b3521 + x8321 <= 0 e7041: - 53 b3521 + x8321 >= 0 e7042: - 176 b3522 + x8322 <= 0 e7043: - 53 b3522 + x8322 >= 0 e7044: - 176 b3523 + x8323 <= 0 e7045: - 53 b3523 + x8323 >= 0 e7046: - 176 b3524 + x8324 <= 0 e7047: - 53 b3524 + x8324 >= 0 e7048: - 176 b3525 + x8325 <= 0 e7049: - 53 b3525 + x8325 >= 0 e7050: - 176 b3526 + x8326 <= 0 e7051: - 53 b3526 + x8326 >= 0 e7052: - 176 b3527 + x8327 <= 0 e7053: - 53 b3527 + x8327 >= 0 e7054: - 176 b3528 + x8328 <= 0 e7055: - 53 b3528 + x8328 >= 0 e7056: - 176 b3529 + x8329 <= 0 e7057: - 53 b3529 + x8329 >= 0 e7058: - 183 b3530 + x8330 <= 0 e7059: - 63 b3530 + x8330 >= 0 e7060: - 183 b3531 + x8331 <= 0 e7061: - 63 b3531 + x8331 >= 0 e7062: - 183 b3532 + x8332 <= 0 e7063: - 63 b3532 + x8332 >= 0 e7064: - 183 b3533 + x8333 <= 0 e7065: - 63 b3533 + x8333 >= 0 e7066: - 183 b3534 + x8334 <= 0 e7067: - 63 b3534 + x8334 >= 0 e7068: - 183 b3535 + x8335 <= 0 e7069: - 63 b3535 + x8335 >= 0 e7070: - 183 b3536 + x8336 <= 0 e7071: - 63 b3536 + x8336 >= 0 e7072: - 183 b3537 + x8337 <= 0 e7073: - 63 b3537 + x8337 >= 0 e7074: - 183 b3538 + x8338 <= 0 e7075: - 63 b3538 + x8338 >= 0 e7076: - 183 b3539 + x8339 <= 0 e7077: - 63 b3539 + x8339 >= 0 e7078: - 183 b3540 + x8340 <= 0 e7079: - 63 b3540 + x8340 >= 0 e7080: - 183 b3541 + x8341 <= 0 e7081: - 63 b3541 + x8341 >= 0 e7082: - 183 b3542 + x8342 <= 0 e7083: - 63 b3542 + x8342 >= 0 e7084: - 183 b3543 + x8343 <= 0 e7085: - 63 b3543 + x8343 >= 0 e7086: - 183 b3544 + x8344 <= 0 e7087: - 63 b3544 + x8344 >= 0 e7088: - 183 b3545 + x8345 <= 0 e7089: - 63 b3545 + x8345 >= 0 e7090: - 183 b3546 + x8346 <= 0 e7091: - 63 b3546 + x8346 >= 0 e7092: - 183 b3547 + x8347 <= 0 e7093: - 63 b3547 + x8347 >= 0 e7094: - 183 b3548 + x8348 <= 0 e7095: - 63 b3548 + x8348 >= 0 e7096: - 183 b3549 + x8349 <= 0 e7097: - 63 b3549 + x8349 >= 0 e7098: - 183 b3550 + x8350 <= 0 e7099: - 63 b3550 + x8350 >= 0 e7100: - 183 b3551 + x8351 <= 0 e7101: - 63 b3551 + x8351 >= 0 e7102: - 183 b3552 + x8352 <= 0 e7103: - 63 b3552 + x8352 >= 0 e7104: - 183 b3553 + x8353 <= 0 e7105: - 63 b3553 + x8353 >= 0 e7106: - 171 b3554 + x8354 <= 0 e7107: - 65 b3554 + x8354 >= 0 e7108: - 171 b3555 + x8355 <= 0 e7109: - 65 b3555 + x8355 >= 0 e7110: - 171 b3556 + x8356 <= 0 e7111: - 65 b3556 + x8356 >= 0 e7112: - 171 b3557 + x8357 <= 0 e7113: - 65 b3557 + x8357 >= 0 e7114: - 171 b3558 + x8358 <= 0 e7115: - 65 b3558 + x8358 >= 0 e7116: - 171 b3559 + x8359 <= 0 e7117: - 65 b3559 + x8359 >= 0 e7118: - 171 b3560 + x8360 <= 0 e7119: - 65 b3560 + x8360 >= 0 e7120: - 171 b3561 + x8361 <= 0 e7121: - 65 b3561 + x8361 >= 0 e7122: - 171 b3562 + x8362 <= 0 e7123: - 65 b3562 + x8362 >= 0 e7124: - 171 b3563 + x8363 <= 0 e7125: - 65 b3563 + x8363 >= 0 e7126: - 171 b3564 + x8364 <= 0 e7127: - 65 b3564 + x8364 >= 0 e7128: - 171 b3565 + x8365 <= 0 e7129: - 65 b3565 + x8365 >= 0 e7130: - 171 b3566 + x8366 <= 0 e7131: - 65 b3566 + x8366 >= 0 e7132: - 171 b3567 + x8367 <= 0 e7133: - 65 b3567 + x8367 >= 0 e7134: - 171 b3568 + x8368 <= 0 e7135: - 65 b3568 + x8368 >= 0 e7136: - 171 b3569 + x8369 <= 0 e7137: - 65 b3569 + x8369 >= 0 e7138: - 171 b3570 + x8370 <= 0 e7139: - 65 b3570 + x8370 >= 0 e7140: - 171 b3571 + x8371 <= 0 e7141: - 65 b3571 + x8371 >= 0 e7142: - 171 b3572 + x8372 <= 0 e7143: - 65 b3572 + x8372 >= 0 e7144: - 171 b3573 + x8373 <= 0 e7145: - 65 b3573 + x8373 >= 0 e7146: - 171 b3574 + x8374 <= 0 e7147: - 65 b3574 + x8374 >= 0 e7148: - 171 b3575 + x8375 <= 0 e7149: - 65 b3575 + x8375 >= 0 e7150: - 171 b3576 + x8376 <= 0 e7151: - 65 b3576 + x8376 >= 0 e7152: - 171 b3577 + x8377 <= 0 e7153: - 65 b3577 + x8377 >= 0 e7154: - 215 b3578 + x8378 <= 0 e7155: - 57 b3578 + x8378 >= 0 e7156: - 215 b3579 + x8379 <= 0 e7157: - 57 b3579 + x8379 >= 0 e7158: - 215 b3580 + x8380 <= 0 e7159: - 57 b3580 + x8380 >= 0 e7160: - 215 b3581 + x8381 <= 0 e7161: - 57 b3581 + x8381 >= 0 e7162: - 215 b3582 + x8382 <= 0 e7163: - 57 b3582 + x8382 >= 0 e7164: - 215 b3583 + x8383 <= 0 e7165: - 57 b3583 + x8383 >= 0 e7166: - 215 b3584 + x8384 <= 0 e7167: - 57 b3584 + x8384 >= 0 e7168: - 215 b3585 + x8385 <= 0 e7169: - 57 b3585 + x8385 >= 0 e7170: - 215 b3586 + x8386 <= 0 e7171: - 57 b3586 + x8386 >= 0 e7172: - 215 b3587 + x8387 <= 0 e7173: - 57 b3587 + x8387 >= 0 e7174: - 215 b3588 + x8388 <= 0 e7175: - 57 b3588 + x8388 >= 0 e7176: - 215 b3589 + x8389 <= 0 e7177: - 57 b3589 + x8389 >= 0 e7178: - 215 b3590 + x8390 <= 0 e7179: - 57 b3590 + x8390 >= 0 e7180: - 215 b3591 + x8391 <= 0 e7181: - 57 b3591 + x8391 >= 0 e7182: - 215 b3592 + x8392 <= 0 e7183: - 57 b3592 + x8392 >= 0 e7184: - 215 b3593 + x8393 <= 0 e7185: - 57 b3593 + x8393 >= 0 e7186: - 215 b3594 + x8394 <= 0 e7187: - 57 b3594 + x8394 >= 0 e7188: - 215 b3595 + x8395 <= 0 e7189: - 57 b3595 + x8395 >= 0 e7190: - 215 b3596 + x8396 <= 0 e7191: - 57 b3596 + x8396 >= 0 e7192: - 215 b3597 + x8397 <= 0 e7193: - 57 b3597 + x8397 >= 0 e7194: - 215 b3598 + x8398 <= 0 e7195: - 57 b3598 + x8398 >= 0 e7196: - 215 b3599 + x8399 <= 0 e7197: - 57 b3599 + x8399 >= 0 e7198: - 215 b3600 + x8400 <= 0 e7199: - 57 b3600 + x8400 >= 0 e7200: - 215 b3601 + x8401 <= 0 e7201: - 57 b3601 + x8401 >= 0 e7202: - 215 b3602 + x8402 <= 0 e7203: - 52 b3602 + x8402 >= 0 e7204: - 215 b3603 + x8403 <= 0 e7205: - 52 b3603 + x8403 >= 0 e7206: - 215 b3604 + x8404 <= 0 e7207: - 52 b3604 + x8404 >= 0 e7208: - 215 b3605 + x8405 <= 0 e7209: - 52 b3605 + x8405 >= 0 e7210: - 215 b3606 + x8406 <= 0 e7211: - 52 b3606 + x8406 >= 0 e7212: - 215 b3607 + x8407 <= 0 e7213: - 52 b3607 + x8407 >= 0 e7214: - 215 b3608 + x8408 <= 0 e7215: - 52 b3608 + x8408 >= 0 e7216: - 215 b3609 + x8409 <= 0 e7217: - 52 b3609 + x8409 >= 0 e7218: - 215 b3610 + x8410 <= 0 e7219: - 52 b3610 + x8410 >= 0 e7220: - 215 b3611 + x8411 <= 0 e7221: - 52 b3611 + x8411 >= 0 e7222: - 215 b3612 + x8412 <= 0 e7223: - 52 b3612 + x8412 >= 0 e7224: - 215 b3613 + x8413 <= 0 e7225: - 52 b3613 + x8413 >= 0 e7226: - 215 b3614 + x8414 <= 0 e7227: - 52 b3614 + x8414 >= 0 e7228: - 215 b3615 + x8415 <= 0 e7229: - 52 b3615 + x8415 >= 0 e7230: - 215 b3616 + x8416 <= 0 e7231: - 52 b3616 + x8416 >= 0 e7232: - 215 b3617 + x8417 <= 0 e7233: - 52 b3617 + x8417 >= 0 e7234: - 215 b3618 + x8418 <= 0 e7235: - 52 b3618 + x8418 >= 0 e7236: - 215 b3619 + x8419 <= 0 e7237: - 52 b3619 + x8419 >= 0 e7238: - 215 b3620 + x8420 <= 0 e7239: - 52 b3620 + x8420 >= 0 e7240: - 215 b3621 + x8421 <= 0 e7241: - 52 b3621 + x8421 >= 0 e7242: - 215 b3622 + x8422 <= 0 e7243: - 52 b3622 + x8422 >= 0 e7244: - 215 b3623 + x8423 <= 0 e7245: - 52 b3623 + x8423 >= 0 e7246: - 215 b3624 + x8424 <= 0 e7247: - 52 b3624 + x8424 >= 0 e7248: - 215 b3625 + x8425 <= 0 e7249: - 52 b3625 + x8425 >= 0 e7250: - 213 b3626 + x8426 <= 0 e7251: - 54 b3626 + x8426 >= 0 e7252: - 213 b3627 + x8427 <= 0 e7253: - 54 b3627 + x8427 >= 0 e7254: - 213 b3628 + x8428 <= 0 e7255: - 54 b3628 + x8428 >= 0 e7256: - 213 b3629 + x8429 <= 0 e7257: - 54 b3629 + x8429 >= 0 e7258: - 213 b3630 + x8430 <= 0 e7259: - 54 b3630 + x8430 >= 0 e7260: - 213 b3631 + x8431 <= 0 e7261: - 54 b3631 + x8431 >= 0 e7262: - 213 b3632 + x8432 <= 0 e7263: - 54 b3632 + x8432 >= 0 e7264: - 213 b3633 + x8433 <= 0 e7265: - 54 b3633 + x8433 >= 0 e7266: - 213 b3634 + x8434 <= 0 e7267: - 54 b3634 + x8434 >= 0 e7268: - 213 b3635 + x8435 <= 0 e7269: - 54 b3635 + x8435 >= 0 e7270: - 213 b3636 + x8436 <= 0 e7271: - 54 b3636 + x8436 >= 0 e7272: - 213 b3637 + x8437 <= 0 e7273: - 54 b3637 + x8437 >= 0 e7274: - 213 b3638 + x8438 <= 0 e7275: - 54 b3638 + x8438 >= 0 e7276: - 213 b3639 + x8439 <= 0 e7277: - 54 b3639 + x8439 >= 0 e7278: - 213 b3640 + x8440 <= 0 e7279: - 54 b3640 + x8440 >= 0 e7280: - 213 b3641 + x8441 <= 0 e7281: - 54 b3641 + x8441 >= 0 e7282: - 213 b3642 + x8442 <= 0 e7283: - 54 b3642 + x8442 >= 0 e7284: - 213 b3643 + x8443 <= 0 e7285: - 54 b3643 + x8443 >= 0 e7286: - 213 b3644 + x8444 <= 0 e7287: - 54 b3644 + x8444 >= 0 e7288: - 213 b3645 + x8445 <= 0 e7289: - 54 b3645 + x8445 >= 0 e7290: - 213 b3646 + x8446 <= 0 e7291: - 54 b3646 + x8446 >= 0 e7292: - 213 b3647 + x8447 <= 0 e7293: - 54 b3647 + x8447 >= 0 e7294: - 213 b3648 + x8448 <= 0 e7295: - 54 b3648 + x8448 >= 0 e7296: - 213 b3649 + x8449 <= 0 e7297: - 54 b3649 + x8449 >= 0 e7298: - 215 b3650 + x8450 <= 0 e7299: - 53 b3650 + x8450 >= 0 e7300: - 215 b3651 + x8451 <= 0 e7301: - 53 b3651 + x8451 >= 0 e7302: - 215 b3652 + x8452 <= 0 e7303: - 53 b3652 + x8452 >= 0 e7304: - 215 b3653 + x8453 <= 0 e7305: - 53 b3653 + x8453 >= 0 e7306: - 215 b3654 + x8454 <= 0 e7307: - 53 b3654 + x8454 >= 0 e7308: - 215 b3655 + x8455 <= 0 e7309: - 53 b3655 + x8455 >= 0 e7310: - 215 b3656 + x8456 <= 0 e7311: - 53 b3656 + x8456 >= 0 e7312: - 215 b3657 + x8457 <= 0 e7313: - 53 b3657 + x8457 >= 0 e7314: - 215 b3658 + x8458 <= 0 e7315: - 53 b3658 + x8458 >= 0 e7316: - 215 b3659 + x8459 <= 0 e7317: - 53 b3659 + x8459 >= 0 e7318: - 215 b3660 + x8460 <= 0 e7319: - 53 b3660 + x8460 >= 0 e7320: - 215 b3661 + x8461 <= 0 e7321: - 53 b3661 + x8461 >= 0 e7322: - 215 b3662 + x8462 <= 0 e7323: - 53 b3662 + x8462 >= 0 e7324: - 215 b3663 + x8463 <= 0 e7325: - 53 b3663 + x8463 >= 0 e7326: - 215 b3664 + x8464 <= 0 e7327: - 53 b3664 + x8464 >= 0 e7328: - 215 b3665 + x8465 <= 0 e7329: - 53 b3665 + x8465 >= 0 e7330: - 215 b3666 + x8466 <= 0 e7331: - 53 b3666 + x8466 >= 0 e7332: - 215 b3667 + x8467 <= 0 e7333: - 53 b3667 + x8467 >= 0 e7334: - 215 b3668 + x8468 <= 0 e7335: - 53 b3668 + x8468 >= 0 e7336: - 215 b3669 + x8469 <= 0 e7337: - 53 b3669 + x8469 >= 0 e7338: - 215 b3670 + x8470 <= 0 e7339: - 53 b3670 + x8470 >= 0 e7340: - 215 b3671 + x8471 <= 0 e7341: - 53 b3671 + x8471 >= 0 e7342: - 215 b3672 + x8472 <= 0 e7343: - 53 b3672 + x8472 >= 0 e7344: - 215 b3673 + x8473 <= 0 e7345: - 53 b3673 + x8473 >= 0 e7346: - 183 b3674 + x8474 <= 0 e7347: - 59 b3674 + x8474 >= 0 e7348: - 183 b3675 + x8475 <= 0 e7349: - 59 b3675 + x8475 >= 0 e7350: - 183 b3676 + x8476 <= 0 e7351: - 59 b3676 + x8476 >= 0 e7352: - 183 b3677 + x8477 <= 0 e7353: - 59 b3677 + x8477 >= 0 e7354: - 183 b3678 + x8478 <= 0 e7355: - 59 b3678 + x8478 >= 0 e7356: - 183 b3679 + x8479 <= 0 e7357: - 59 b3679 + x8479 >= 0 e7358: - 183 b3680 + x8480 <= 0 e7359: - 59 b3680 + x8480 >= 0 e7360: - 183 b3681 + x8481 <= 0 e7361: - 59 b3681 + x8481 >= 0 e7362: - 183 b3682 + x8482 <= 0 e7363: - 59 b3682 + x8482 >= 0 e7364: - 183 b3683 + x8483 <= 0 e7365: - 59 b3683 + x8483 >= 0 e7366: - 183 b3684 + x8484 <= 0 e7367: - 59 b3684 + x8484 >= 0 e7368: - 183 b3685 + x8485 <= 0 e7369: - 59 b3685 + x8485 >= 0 e7370: - 183 b3686 + x8486 <= 0 e7371: - 59 b3686 + x8486 >= 0 e7372: - 183 b3687 + x8487 <= 0 e7373: - 59 b3687 + x8487 >= 0 e7374: - 183 b3688 + x8488 <= 0 e7375: - 59 b3688 + x8488 >= 0 e7376: - 183 b3689 + x8489 <= 0 e7377: - 59 b3689 + x8489 >= 0 e7378: - 183 b3690 + x8490 <= 0 e7379: - 59 b3690 + x8490 >= 0 e7380: - 183 b3691 + x8491 <= 0 e7381: - 59 b3691 + x8491 >= 0 e7382: - 183 b3692 + x8492 <= 0 e7383: - 59 b3692 + x8492 >= 0 e7384: - 183 b3693 + x8493 <= 0 e7385: - 59 b3693 + x8493 >= 0 e7386: - 183 b3694 + x8494 <= 0 e7387: - 59 b3694 + x8494 >= 0 e7388: - 183 b3695 + x8495 <= 0 e7389: - 59 b3695 + x8495 >= 0 e7390: - 183 b3696 + x8496 <= 0 e7391: - 59 b3696 + x8496 >= 0 e7392: - 183 b3697 + x8497 <= 0 e7393: - 59 b3697 + x8497 >= 0 e7394: - 192 b3698 + x8498 <= 0 e7395: - 51 b3698 + x8498 >= 0 e7396: - 192 b3699 + x8499 <= 0 e7397: - 51 b3699 + x8499 >= 0 e7398: - 192 b3700 + x8500 <= 0 e7399: - 51 b3700 + x8500 >= 0 e7400: - 192 b3701 + x8501 <= 0 e7401: - 51 b3701 + x8501 >= 0 e7402: - 192 b3702 + x8502 <= 0 e7403: - 51 b3702 + x8502 >= 0 e7404: - 192 b3703 + x8503 <= 0 e7405: - 51 b3703 + x8503 >= 0 e7406: - 192 b3704 + x8504 <= 0 e7407: - 51 b3704 + x8504 >= 0 e7408: - 192 b3705 + x8505 <= 0 e7409: - 51 b3705 + x8505 >= 0 e7410: - 192 b3706 + x8506 <= 0 e7411: - 51 b3706 + x8506 >= 0 e7412: - 192 b3707 + x8507 <= 0 e7413: - 51 b3707 + x8507 >= 0 e7414: - 192 b3708 + x8508 <= 0 e7415: - 51 b3708 + x8508 >= 0 e7416: - 192 b3709 + x8509 <= 0 e7417: - 51 b3709 + x8509 >= 0 e7418: - 192 b3710 + x8510 <= 0 e7419: - 51 b3710 + x8510 >= 0 e7420: - 192 b3711 + x8511 <= 0 e7421: - 51 b3711 + x8511 >= 0 e7422: - 192 b3712 + x8512 <= 0 e7423: - 51 b3712 + x8512 >= 0 e7424: - 192 b3713 + x8513 <= 0 e7425: - 51 b3713 + x8513 >= 0 e7426: - 192 b3714 + x8514 <= 0 e7427: - 51 b3714 + x8514 >= 0 e7428: - 192 b3715 + x8515 <= 0 e7429: - 51 b3715 + x8515 >= 0 e7430: - 192 b3716 + x8516 <= 0 e7431: - 51 b3716 + x8516 >= 0 e7432: - 192 b3717 + x8517 <= 0 e7433: - 51 b3717 + x8517 >= 0 e7434: - 192 b3718 + x8518 <= 0 e7435: - 51 b3718 + x8518 >= 0 e7436: - 192 b3719 + x8519 <= 0 e7437: - 51 b3719 + x8519 >= 0 e7438: - 192 b3720 + x8520 <= 0 e7439: - 51 b3720 + x8520 >= 0 e7440: - 192 b3721 + x8521 <= 0 e7441: - 51 b3721 + x8521 >= 0 e7442: - 194 b3722 + x8522 <= 0 e7443: - 62 b3722 + x8522 >= 0 e7444: - 194 b3723 + x8523 <= 0 e7445: - 62 b3723 + x8523 >= 0 e7446: - 194 b3724 + x8524 <= 0 e7447: - 62 b3724 + x8524 >= 0 e7448: - 194 b3725 + x8525 <= 0 e7449: - 62 b3725 + x8525 >= 0 e7450: - 194 b3726 + x8526 <= 0 e7451: - 62 b3726 + x8526 >= 0 e7452: - 194 b3727 + x8527 <= 0 e7453: - 62 b3727 + x8527 >= 0 e7454: - 194 b3728 + x8528 <= 0 e7455: - 62 b3728 + x8528 >= 0 e7456: - 194 b3729 + x8529 <= 0 e7457: - 62 b3729 + x8529 >= 0 e7458: - 194 b3730 + x8530 <= 0 e7459: - 62 b3730 + x8530 >= 0 e7460: - 194 b3731 + x8531 <= 0 e7461: - 62 b3731 + x8531 >= 0 e7462: - 194 b3732 + x8532 <= 0 e7463: - 62 b3732 + x8532 >= 0 e7464: - 194 b3733 + x8533 <= 0 e7465: - 62 b3733 + x8533 >= 0 e7466: - 194 b3734 + x8534 <= 0 e7467: - 62 b3734 + x8534 >= 0 e7468: - 194 b3735 + x8535 <= 0 e7469: - 62 b3735 + x8535 >= 0 e7470: - 194 b3736 + x8536 <= 0 e7471: - 62 b3736 + x8536 >= 0 e7472: - 194 b3737 + x8537 <= 0 e7473: - 62 b3737 + x8537 >= 0 e7474: - 194 b3738 + x8538 <= 0 e7475: - 62 b3738 + x8538 >= 0 e7476: - 194 b3739 + x8539 <= 0 e7477: - 62 b3739 + x8539 >= 0 e7478: - 194 b3740 + x8540 <= 0 e7479: - 62 b3740 + x8540 >= 0 e7480: - 194 b3741 + x8541 <= 0 e7481: - 62 b3741 + x8541 >= 0 e7482: - 194 b3742 + x8542 <= 0 e7483: - 62 b3742 + x8542 >= 0 e7484: - 194 b3743 + x8543 <= 0 e7485: - 62 b3743 + x8543 >= 0 e7486: - 194 b3744 + x8544 <= 0 e7487: - 62 b3744 + x8544 >= 0 e7488: - 194 b3745 + x8545 <= 0 e7489: - 62 b3745 + x8545 >= 0 e7490: - 180 b3746 + x8546 <= 0 e7491: - 55 b3746 + x8546 >= 0 e7492: - 180 b3747 + x8547 <= 0 e7493: - 55 b3747 + x8547 >= 0 e7494: - 180 b3748 + x8548 <= 0 e7495: - 55 b3748 + x8548 >= 0 e7496: - 180 b3749 + x8549 <= 0 e7497: - 55 b3749 + x8549 >= 0 e7498: - 180 b3750 + x8550 <= 0 e7499: - 55 b3750 + x8550 >= 0 e7500: - 180 b3751 + x8551 <= 0 e7501: - 55 b3751 + x8551 >= 0 e7502: - 180 b3752 + x8552 <= 0 e7503: - 55 b3752 + x8552 >= 0 e7504: - 180 b3753 + x8553 <= 0 e7505: - 55 b3753 + x8553 >= 0 e7506: - 180 b3754 + x8554 <= 0 e7507: - 55 b3754 + x8554 >= 0 e7508: - 180 b3755 + x8555 <= 0 e7509: - 55 b3755 + x8555 >= 0 e7510: - 180 b3756 + x8556 <= 0 e7511: - 55 b3756 + x8556 >= 0 e7512: - 180 b3757 + x8557 <= 0 e7513: - 55 b3757 + x8557 >= 0 e7514: - 180 b3758 + x8558 <= 0 e7515: - 55 b3758 + x8558 >= 0 e7516: - 180 b3759 + x8559 <= 0 e7517: - 55 b3759 + x8559 >= 0 e7518: - 180 b3760 + x8560 <= 0 e7519: - 55 b3760 + x8560 >= 0 e7520: - 180 b3761 + x8561 <= 0 e7521: - 55 b3761 + x8561 >= 0 e7522: - 180 b3762 + x8562 <= 0 e7523: - 55 b3762 + x8562 >= 0 e7524: - 180 b3763 + x8563 <= 0 e7525: - 55 b3763 + x8563 >= 0 e7526: - 180 b3764 + x8564 <= 0 e7527: - 55 b3764 + x8564 >= 0 e7528: - 180 b3765 + x8565 <= 0 e7529: - 55 b3765 + x8565 >= 0 e7530: - 180 b3766 + x8566 <= 0 e7531: - 55 b3766 + x8566 >= 0 e7532: - 180 b3767 + x8567 <= 0 e7533: - 55 b3767 + x8567 >= 0 e7534: - 180 b3768 + x8568 <= 0 e7535: - 55 b3768 + x8568 >= 0 e7536: - 180 b3769 + x8569 <= 0 e7537: - 55 b3769 + x8569 >= 0 e7538: - 184 b3770 + x8570 <= 0 e7539: - 51 b3770 + x8570 >= 0 e7540: - 184 b3771 + x8571 <= 0 e7541: - 51 b3771 + x8571 >= 0 e7542: - 184 b3772 + x8572 <= 0 e7543: - 51 b3772 + x8572 >= 0 e7544: - 184 b3773 + x8573 <= 0 e7545: - 51 b3773 + x8573 >= 0 e7546: - 184 b3774 + x8574 <= 0 e7547: - 51 b3774 + x8574 >= 0 e7548: - 184 b3775 + x8575 <= 0 e7549: - 51 b3775 + x8575 >= 0 e7550: - 184 b3776 + x8576 <= 0 e7551: - 51 b3776 + x8576 >= 0 e7552: - 184 b3777 + x8577 <= 0 e7553: - 51 b3777 + x8577 >= 0 e7554: - 184 b3778 + x8578 <= 0 e7555: - 51 b3778 + x8578 >= 0 e7556: - 184 b3779 + x8579 <= 0 e7557: - 51 b3779 + x8579 >= 0 e7558: - 184 b3780 + x8580 <= 0 e7559: - 51 b3780 + x8580 >= 0 e7560: - 184 b3781 + x8581 <= 0 e7561: - 51 b3781 + x8581 >= 0 e7562: - 184 b3782 + x8582 <= 0 e7563: - 51 b3782 + x8582 >= 0 e7564: - 184 b3783 + x8583 <= 0 e7565: - 51 b3783 + x8583 >= 0 e7566: - 184 b3784 + x8584 <= 0 e7567: - 51 b3784 + x8584 >= 0 e7568: - 184 b3785 + x8585 <= 0 e7569: - 51 b3785 + x8585 >= 0 e7570: - 184 b3786 + x8586 <= 0 e7571: - 51 b3786 + x8586 >= 0 e7572: - 184 b3787 + x8587 <= 0 e7573: - 51 b3787 + x8587 >= 0 e7574: - 184 b3788 + x8588 <= 0 e7575: - 51 b3788 + x8588 >= 0 e7576: - 184 b3789 + x8589 <= 0 e7577: - 51 b3789 + x8589 >= 0 e7578: - 184 b3790 + x8590 <= 0 e7579: - 51 b3790 + x8590 >= 0 e7580: - 184 b3791 + x8591 <= 0 e7581: - 51 b3791 + x8591 >= 0 e7582: - 184 b3792 + x8592 <= 0 e7583: - 51 b3792 + x8592 >= 0 e7584: - 184 b3793 + x8593 <= 0 e7585: - 51 b3793 + x8593 >= 0 e7586: - 204 b3794 + x8594 <= 0 e7587: - 53 b3794 + x8594 >= 0 e7588: - 204 b3795 + x8595 <= 0 e7589: - 53 b3795 + x8595 >= 0 e7590: - 204 b3796 + x8596 <= 0 e7591: - 53 b3796 + x8596 >= 0 e7592: - 204 b3797 + x8597 <= 0 e7593: - 53 b3797 + x8597 >= 0 e7594: - 204 b3798 + x8598 <= 0 e7595: - 53 b3798 + x8598 >= 0 e7596: - 204 b3799 + x8599 <= 0 e7597: - 53 b3799 + x8599 >= 0 e7598: - 204 b3800 + x8600 <= 0 e7599: - 53 b3800 + x8600 >= 0 e7600: - 204 b3801 + x8601 <= 0 e7601: - 53 b3801 + x8601 >= 0 e7602: - 204 b3802 + x8602 <= 0 e7603: - 53 b3802 + x8602 >= 0 e7604: - 204 b3803 + x8603 <= 0 e7605: - 53 b3803 + x8603 >= 0 e7606: - 204 b3804 + x8604 <= 0 e7607: - 53 b3804 + x8604 >= 0 e7608: - 204 b3805 + x8605 <= 0 e7609: - 53 b3805 + x8605 >= 0 e7610: - 204 b3806 + x8606 <= 0 e7611: - 53 b3806 + x8606 >= 0 e7612: - 204 b3807 + x8607 <= 0 e7613: - 53 b3807 + x8607 >= 0 e7614: - 204 b3808 + x8608 <= 0 e7615: - 53 b3808 + x8608 >= 0 e7616: - 204 b3809 + x8609 <= 0 e7617: - 53 b3809 + x8609 >= 0 e7618: - 204 b3810 + x8610 <= 0 e7619: - 53 b3810 + x8610 >= 0 e7620: - 204 b3811 + x8611 <= 0 e7621: - 53 b3811 + x8611 >= 0 e7622: - 204 b3812 + x8612 <= 0 e7623: - 53 b3812 + x8612 >= 0 e7624: - 204 b3813 + x8613 <= 0 e7625: - 53 b3813 + x8613 >= 0 e7626: - 204 b3814 + x8614 <= 0 e7627: - 53 b3814 + x8614 >= 0 e7628: - 204 b3815 + x8615 <= 0 e7629: - 53 b3815 + x8615 >= 0 e7630: - 204 b3816 + x8616 <= 0 e7631: - 53 b3816 + x8616 >= 0 e7632: - 204 b3817 + x8617 <= 0 e7633: - 53 b3817 + x8617 >= 0 e7634: - 195 b3818 + x8618 <= 0 e7635: - 53 b3818 + x8618 >= 0 e7636: - 195 b3819 + x8619 <= 0 e7637: - 53 b3819 + x8619 >= 0 e7638: - 195 b3820 + x8620 <= 0 e7639: - 53 b3820 + x8620 >= 0 e7640: - 195 b3821 + x8621 <= 0 e7641: - 53 b3821 + x8621 >= 0 e7642: - 195 b3822 + x8622 <= 0 e7643: - 53 b3822 + x8622 >= 0 e7644: - 195 b3823 + x8623 <= 0 e7645: - 53 b3823 + x8623 >= 0 e7646: - 195 b3824 + x8624 <= 0 e7647: - 53 b3824 + x8624 >= 0 e7648: - 195 b3825 + x8625 <= 0 e7649: - 53 b3825 + x8625 >= 0 e7650: - 195 b3826 + x8626 <= 0 e7651: - 53 b3826 + x8626 >= 0 e7652: - 195 b3827 + x8627 <= 0 e7653: - 53 b3827 + x8627 >= 0 e7654: - 195 b3828 + x8628 <= 0 e7655: - 53 b3828 + x8628 >= 0 e7656: - 195 b3829 + x8629 <= 0 e7657: - 53 b3829 + x8629 >= 0 e7658: - 195 b3830 + x8630 <= 0 e7659: - 53 b3830 + x8630 >= 0 e7660: - 195 b3831 + x8631 <= 0 e7661: - 53 b3831 + x8631 >= 0 e7662: - 195 b3832 + x8632 <= 0 e7663: - 53 b3832 + x8632 >= 0 e7664: - 195 b3833 + x8633 <= 0 e7665: - 53 b3833 + x8633 >= 0 e7666: - 195 b3834 + x8634 <= 0 e7667: - 53 b3834 + x8634 >= 0 e7668: - 195 b3835 + x8635 <= 0 e7669: - 53 b3835 + x8635 >= 0 e7670: - 195 b3836 + x8636 <= 0 e7671: - 53 b3836 + x8636 >= 0 e7672: - 195 b3837 + x8637 <= 0 e7673: - 53 b3837 + x8637 >= 0 e7674: - 195 b3838 + x8638 <= 0 e7675: - 53 b3838 + x8638 >= 0 e7676: - 195 b3839 + x8639 <= 0 e7677: - 53 b3839 + x8639 >= 0 e7678: - 195 b3840 + x8640 <= 0 e7679: - 53 b3840 + x8640 >= 0 e7680: - 195 b3841 + x8641 <= 0 e7681: - 53 b3841 + x8641 >= 0 e7682: - 191 b3842 + x8642 <= 0 e7683: - 67 b3842 + x8642 >= 0 e7684: - 191 b3843 + x8643 <= 0 e7685: - 67 b3843 + x8643 >= 0 e7686: - 191 b3844 + x8644 <= 0 e7687: - 67 b3844 + x8644 >= 0 e7688: - 191 b3845 + x8645 <= 0 e7689: - 67 b3845 + x8645 >= 0 e7690: - 191 b3846 + x8646 <= 0 e7691: - 67 b3846 + x8646 >= 0 e7692: - 191 b3847 + x8647 <= 0 e7693: - 67 b3847 + x8647 >= 0 e7694: - 191 b3848 + x8648 <= 0 e7695: - 67 b3848 + x8648 >= 0 e7696: - 191 b3849 + x8649 <= 0 e7697: - 67 b3849 + x8649 >= 0 e7698: - 191 b3850 + x8650 <= 0 e7699: - 67 b3850 + x8650 >= 0 e7700: - 191 b3851 + x8651 <= 0 e7701: - 67 b3851 + x8651 >= 0 e7702: - 191 b3852 + x8652 <= 0 e7703: - 67 b3852 + x8652 >= 0 e7704: - 191 b3853 + x8653 <= 0 e7705: - 67 b3853 + x8653 >= 0 e7706: - 191 b3854 + x8654 <= 0 e7707: - 67 b3854 + x8654 >= 0 e7708: - 191 b3855 + x8655 <= 0 e7709: - 67 b3855 + x8655 >= 0 e7710: - 191 b3856 + x8656 <= 0 e7711: - 67 b3856 + x8656 >= 0 e7712: - 191 b3857 + x8657 <= 0 e7713: - 67 b3857 + x8657 >= 0 e7714: - 191 b3858 + x8658 <= 0 e7715: - 67 b3858 + x8658 >= 0 e7716: - 191 b3859 + x8659 <= 0 e7717: - 67 b3859 + x8659 >= 0 e7718: - 191 b3860 + x8660 <= 0 e7719: - 67 b3860 + x8660 >= 0 e7720: - 191 b3861 + x8661 <= 0 e7721: - 67 b3861 + x8661 >= 0 e7722: - 191 b3862 + x8662 <= 0 e7723: - 67 b3862 + x8662 >= 0 e7724: - 191 b3863 + x8663 <= 0 e7725: - 67 b3863 + x8663 >= 0 e7726: - 191 b3864 + x8664 <= 0 e7727: - 67 b3864 + x8664 >= 0 e7728: - 191 b3865 + x8665 <= 0 e7729: - 67 b3865 + x8665 >= 0 e7730: - 205 b3866 + x8666 <= 0 e7731: - 55 b3866 + x8666 >= 0 e7732: - 205 b3867 + x8667 <= 0 e7733: - 55 b3867 + x8667 >= 0 e7734: - 205 b3868 + x8668 <= 0 e7735: - 55 b3868 + x8668 >= 0 e7736: - 205 b3869 + x8669 <= 0 e7737: - 55 b3869 + x8669 >= 0 e7738: - 205 b3870 + x8670 <= 0 e7739: - 55 b3870 + x8670 >= 0 e7740: - 205 b3871 + x8671 <= 0 e7741: - 55 b3871 + x8671 >= 0 e7742: - 205 b3872 + x8672 <= 0 e7743: - 55 b3872 + x8672 >= 0 e7744: - 205 b3873 + x8673 <= 0 e7745: - 55 b3873 + x8673 >= 0 e7746: - 205 b3874 + x8674 <= 0 e7747: - 55 b3874 + x8674 >= 0 e7748: - 205 b3875 + x8675 <= 0 e7749: - 55 b3875 + x8675 >= 0 e7750: - 205 b3876 + x8676 <= 0 e7751: - 55 b3876 + x8676 >= 0 e7752: - 205 b3877 + x8677 <= 0 e7753: - 55 b3877 + x8677 >= 0 e7754: - 205 b3878 + x8678 <= 0 e7755: - 55 b3878 + x8678 >= 0 e7756: - 205 b3879 + x8679 <= 0 e7757: - 55 b3879 + x8679 >= 0 e7758: - 205 b3880 + x8680 <= 0 e7759: - 55 b3880 + x8680 >= 0 e7760: - 205 b3881 + x8681 <= 0 e7761: - 55 b3881 + x8681 >= 0 e7762: - 205 b3882 + x8682 <= 0 e7763: - 55 b3882 + x8682 >= 0 e7764: - 205 b3883 + x8683 <= 0 e7765: - 55 b3883 + x8683 >= 0 e7766: - 205 b3884 + x8684 <= 0 e7767: - 55 b3884 + x8684 >= 0 e7768: - 205 b3885 + x8685 <= 0 e7769: - 55 b3885 + x8685 >= 0 e7770: - 205 b3886 + x8686 <= 0 e7771: - 55 b3886 + x8686 >= 0 e7772: - 205 b3887 + x8687 <= 0 e7773: - 55 b3887 + x8687 >= 0 e7774: - 205 b3888 + x8688 <= 0 e7775: - 55 b3888 + x8688 >= 0 e7776: - 205 b3889 + x8689 <= 0 e7777: - 55 b3889 + x8689 >= 0 e7778: - 179 b3890 + x8690 <= 0 e7779: - 65 b3890 + x8690 >= 0 e7780: - 179 b3891 + x8691 <= 0 e7781: - 65 b3891 + x8691 >= 0 e7782: - 179 b3892 + x8692 <= 0 e7783: - 65 b3892 + x8692 >= 0 e7784: - 179 b3893 + x8693 <= 0 e7785: - 65 b3893 + x8693 >= 0 e7786: - 179 b3894 + x8694 <= 0 e7787: - 65 b3894 + x8694 >= 0 e7788: - 179 b3895 + x8695 <= 0 e7789: - 65 b3895 + x8695 >= 0 e7790: - 179 b3896 + x8696 <= 0 e7791: - 65 b3896 + x8696 >= 0 e7792: - 179 b3897 + x8697 <= 0 e7793: - 65 b3897 + x8697 >= 0 e7794: - 179 b3898 + x8698 <= 0 e7795: - 65 b3898 + x8698 >= 0 e7796: - 179 b3899 + x8699 <= 0 e7797: - 65 b3899 + x8699 >= 0 e7798: - 179 b3900 + x8700 <= 0 e7799: - 65 b3900 + x8700 >= 0 e7800: - 179 b3901 + x8701 <= 0 e7801: - 65 b3901 + x8701 >= 0 e7802: - 179 b3902 + x8702 <= 0 e7803: - 65 b3902 + x8702 >= 0 e7804: - 179 b3903 + x8703 <= 0 e7805: - 65 b3903 + x8703 >= 0 e7806: - 179 b3904 + x8704 <= 0 e7807: - 65 b3904 + x8704 >= 0 e7808: - 179 b3905 + x8705 <= 0 e7809: - 65 b3905 + x8705 >= 0 e7810: - 179 b3906 + x8706 <= 0 e7811: - 65 b3906 + x8706 >= 0 e7812: - 179 b3907 + x8707 <= 0 e7813: - 65 b3907 + x8707 >= 0 e7814: - 179 b3908 + x8708 <= 0 e7815: - 65 b3908 + x8708 >= 0 e7816: - 179 b3909 + x8709 <= 0 e7817: - 65 b3909 + x8709 >= 0 e7818: - 179 b3910 + x8710 <= 0 e7819: - 65 b3910 + x8710 >= 0 e7820: - 179 b3911 + x8711 <= 0 e7821: - 65 b3911 + x8711 >= 0 e7822: - 179 b3912 + x8712 <= 0 e7823: - 65 b3912 + x8712 >= 0 e7824: - 179 b3913 + x8713 <= 0 e7825: - 65 b3913 + x8713 >= 0 e7826: - 204 b3914 + x8714 <= 0 e7827: - 58 b3914 + x8714 >= 0 e7828: - 204 b3915 + x8715 <= 0 e7829: - 58 b3915 + x8715 >= 0 e7830: - 204 b3916 + x8716 <= 0 e7831: - 58 b3916 + x8716 >= 0 e7832: - 204 b3917 + x8717 <= 0 e7833: - 58 b3917 + x8717 >= 0 e7834: - 204 b3918 + x8718 <= 0 e7835: - 58 b3918 + x8718 >= 0 e7836: - 204 b3919 + x8719 <= 0 e7837: - 58 b3919 + x8719 >= 0 e7838: - 204 b3920 + x8720 <= 0 e7839: - 58 b3920 + x8720 >= 0 e7840: - 204 b3921 + x8721 <= 0 e7841: - 58 b3921 + x8721 >= 0 e7842: - 204 b3922 + x8722 <= 0 e7843: - 58 b3922 + x8722 >= 0 e7844: - 204 b3923 + x8723 <= 0 e7845: - 58 b3923 + x8723 >= 0 e7846: - 204 b3924 + x8724 <= 0 e7847: - 58 b3924 + x8724 >= 0 e7848: - 204 b3925 + x8725 <= 0 e7849: - 58 b3925 + x8725 >= 0 e7850: - 204 b3926 + x8726 <= 0 e7851: - 58 b3926 + x8726 >= 0 e7852: - 204 b3927 + x8727 <= 0 e7853: - 58 b3927 + x8727 >= 0 e7854: - 204 b3928 + x8728 <= 0 e7855: - 58 b3928 + x8728 >= 0 e7856: - 204 b3929 + x8729 <= 0 e7857: - 58 b3929 + x8729 >= 0 e7858: - 204 b3930 + x8730 <= 0 e7859: - 58 b3930 + x8730 >= 0 e7860: - 204 b3931 + x8731 <= 0 e7861: - 58 b3931 + x8731 >= 0 e7862: - 204 b3932 + x8732 <= 0 e7863: - 58 b3932 + x8732 >= 0 e7864: - 204 b3933 + x8733 <= 0 e7865: - 58 b3933 + x8733 >= 0 e7866: - 204 b3934 + x8734 <= 0 e7867: - 58 b3934 + x8734 >= 0 e7868: - 204 b3935 + x8735 <= 0 e7869: - 58 b3935 + x8735 >= 0 e7870: - 204 b3936 + x8736 <= 0 e7871: - 58 b3936 + x8736 >= 0 e7872: - 204 b3937 + x8737 <= 0 e7873: - 58 b3937 + x8737 >= 0 e7874: - 202 b3938 + x8738 <= 0 e7875: - 62 b3938 + x8738 >= 0 e7876: - 202 b3939 + x8739 <= 0 e7877: - 62 b3939 + x8739 >= 0 e7878: - 202 b3940 + x8740 <= 0 e7879: - 62 b3940 + x8740 >= 0 e7880: - 202 b3941 + x8741 <= 0 e7881: - 62 b3941 + x8741 >= 0 e7882: - 202 b3942 + x8742 <= 0 e7883: - 62 b3942 + x8742 >= 0 e7884: - 202 b3943 + x8743 <= 0 e7885: - 62 b3943 + x8743 >= 0 e7886: - 202 b3944 + x8744 <= 0 e7887: - 62 b3944 + x8744 >= 0 e7888: - 202 b3945 + x8745 <= 0 e7889: - 62 b3945 + x8745 >= 0 e7890: - 202 b3946 + x8746 <= 0 e7891: - 62 b3946 + x8746 >= 0 e7892: - 202 b3947 + x8747 <= 0 e7893: - 62 b3947 + x8747 >= 0 e7894: - 202 b3948 + x8748 <= 0 e7895: - 62 b3948 + x8748 >= 0 e7896: - 202 b3949 + x8749 <= 0 e7897: - 62 b3949 + x8749 >= 0 e7898: - 202 b3950 + x8750 <= 0 e7899: - 62 b3950 + x8750 >= 0 e7900: - 202 b3951 + x8751 <= 0 e7901: - 62 b3951 + x8751 >= 0 e7902: - 202 b3952 + x8752 <= 0 e7903: - 62 b3952 + x8752 >= 0 e7904: - 202 b3953 + x8753 <= 0 e7905: - 62 b3953 + x8753 >= 0 e7906: - 202 b3954 + x8754 <= 0 e7907: - 62 b3954 + x8754 >= 0 e7908: - 202 b3955 + x8755 <= 0 e7909: - 62 b3955 + x8755 >= 0 e7910: - 202 b3956 + x8756 <= 0 e7911: - 62 b3956 + x8756 >= 0 e7912: - 202 b3957 + x8757 <= 0 e7913: - 62 b3957 + x8757 >= 0 e7914: - 202 b3958 + x8758 <= 0 e7915: - 62 b3958 + x8758 >= 0 e7916: - 202 b3959 + x8759 <= 0 e7917: - 62 b3959 + x8759 >= 0 e7918: - 202 b3960 + x8760 <= 0 e7919: - 62 b3960 + x8760 >= 0 e7920: - 202 b3961 + x8761 <= 0 e7921: - 62 b3961 + x8761 >= 0 e7922: - 176 b3962 + x8762 <= 0 e7923: - 67 b3962 + x8762 >= 0 e7924: - 176 b3963 + x8763 <= 0 e7925: - 67 b3963 + x8763 >= 0 e7926: - 176 b3964 + x8764 <= 0 e7927: - 67 b3964 + x8764 >= 0 e7928: - 176 b3965 + x8765 <= 0 e7929: - 67 b3965 + x8765 >= 0 e7930: - 176 b3966 + x8766 <= 0 e7931: - 67 b3966 + x8766 >= 0 e7932: - 176 b3967 + x8767 <= 0 e7933: - 67 b3967 + x8767 >= 0 e7934: - 176 b3968 + x8768 <= 0 e7935: - 67 b3968 + x8768 >= 0 e7936: - 176 b3969 + x8769 <= 0 e7937: - 67 b3969 + x8769 >= 0 e7938: - 176 b3970 + x8770 <= 0 e7939: - 67 b3970 + x8770 >= 0 e7940: - 176 b3971 + x8771 <= 0 e7941: - 67 b3971 + x8771 >= 0 e7942: - 176 b3972 + x8772 <= 0 e7943: - 67 b3972 + x8772 >= 0 e7944: - 176 b3973 + x8773 <= 0 e7945: - 67 b3973 + x8773 >= 0 e7946: - 176 b3974 + x8774 <= 0 e7947: - 67 b3974 + x8774 >= 0 e7948: - 176 b3975 + x8775 <= 0 e7949: - 67 b3975 + x8775 >= 0 e7950: - 176 b3976 + x8776 <= 0 e7951: - 67 b3976 + x8776 >= 0 e7952: - 176 b3977 + x8777 <= 0 e7953: - 67 b3977 + x8777 >= 0 e7954: - 176 b3978 + x8778 <= 0 e7955: - 67 b3978 + x8778 >= 0 e7956: - 176 b3979 + x8779 <= 0 e7957: - 67 b3979 + x8779 >= 0 e7958: - 176 b3980 + x8780 <= 0 e7959: - 67 b3980 + x8780 >= 0 e7960: - 176 b3981 + x8781 <= 0 e7961: - 67 b3981 + x8781 >= 0 e7962: - 176 b3982 + x8782 <= 0 e7963: - 67 b3982 + x8782 >= 0 e7964: - 176 b3983 + x8783 <= 0 e7965: - 67 b3983 + x8783 >= 0 e7966: - 176 b3984 + x8784 <= 0 e7967: - 67 b3984 + x8784 >= 0 e7968: - 176 b3985 + x8785 <= 0 e7969: - 67 b3985 + x8785 >= 0 e7970: - 200 b3986 + x8786 <= 0 e7971: - 51 b3986 + x8786 >= 0 e7972: - 200 b3987 + x8787 <= 0 e7973: - 51 b3987 + x8787 >= 0 e7974: - 200 b3988 + x8788 <= 0 e7975: - 51 b3988 + x8788 >= 0 e7976: - 200 b3989 + x8789 <= 0 e7977: - 51 b3989 + x8789 >= 0 e7978: - 200 b3990 + x8790 <= 0 e7979: - 51 b3990 + x8790 >= 0 e7980: - 200 b3991 + x8791 <= 0 e7981: - 51 b3991 + x8791 >= 0 e7982: - 200 b3992 + x8792 <= 0 e7983: - 51 b3992 + x8792 >= 0 e7984: - 200 b3993 + x8793 <= 0 e7985: - 51 b3993 + x8793 >= 0 e7986: - 200 b3994 + x8794 <= 0 e7987: - 51 b3994 + x8794 >= 0 e7988: - 200 b3995 + x8795 <= 0 e7989: - 51 b3995 + x8795 >= 0 e7990: - 200 b3996 + x8796 <= 0 e7991: - 51 b3996 + x8796 >= 0 e7992: - 200 b3997 + x8797 <= 0 e7993: - 51 b3997 + x8797 >= 0 e7994: - 200 b3998 + x8798 <= 0 e7995: - 51 b3998 + x8798 >= 0 e7996: - 200 b3999 + x8799 <= 0 e7997: - 51 b3999 + x8799 >= 0 e7998: - 200 b4000 + x8800 <= 0 e7999: - 51 b4000 + x8800 >= 0 e8000: - 200 b4001 + x8801 <= 0 e8001: - 51 b4001 + x8801 >= 0 e8002: - 200 b4002 + x8802 <= 0 e8003: - 51 b4002 + x8802 >= 0 e8004: - 200 b4003 + x8803 <= 0 e8005: - 51 b4003 + x8803 >= 0 e8006: - 200 b4004 + x8804 <= 0 e8007: - 51 b4004 + x8804 >= 0 e8008: - 200 b4005 + x8805 <= 0 e8009: - 51 b4005 + x8805 >= 0 e8010: - 200 b4006 + x8806 <= 0 e8011: - 51 b4006 + x8806 >= 0 e8012: - 200 b4007 + x8807 <= 0 e8013: - 51 b4007 + x8807 >= 0 e8014: - 200 b4008 + x8808 <= 0 e8015: - 51 b4008 + x8808 >= 0 e8016: - 200 b4009 + x8809 <= 0 e8017: - 51 b4009 + x8809 >= 0 e8018: - 299 b4010 + x8810 <= 0 e8019: - 89 b4010 + x8810 >= 0 e8020: - 299 b4011 + x8811 <= 0 e8021: - 89 b4011 + x8811 >= 0 e8022: - 299 b4012 + x8812 <= 0 e8023: - 89 b4012 + x8812 >= 0 e8024: - 299 b4013 + x8813 <= 0 e8025: - 89 b4013 + x8813 >= 0 e8026: - 299 b4014 + x8814 <= 0 e8027: - 89 b4014 + x8814 >= 0 e8028: - 299 b4015 + x8815 <= 0 e8029: - 89 b4015 + x8815 >= 0 e8030: - 299 b4016 + x8816 <= 0 e8031: - 89 b4016 + x8816 >= 0 e8032: - 299 b4017 + x8817 <= 0 e8033: - 89 b4017 + x8817 >= 0 e8034: - 299 b4018 + x8818 <= 0 e8035: - 89 b4018 + x8818 >= 0 e8036: - 299 b4019 + x8819 <= 0 e8037: - 89 b4019 + x8819 >= 0 e8038: - 299 b4020 + x8820 <= 0 e8039: - 89 b4020 + x8820 >= 0 e8040: - 299 b4021 + x8821 <= 0 e8041: - 89 b4021 + x8821 >= 0 e8042: - 299 b4022 + x8822 <= 0 e8043: - 89 b4022 + x8822 >= 0 e8044: - 299 b4023 + x8823 <= 0 e8045: - 89 b4023 + x8823 >= 0 e8046: - 299 b4024 + x8824 <= 0 e8047: - 89 b4024 + x8824 >= 0 e8048: - 299 b4025 + x8825 <= 0 e8049: - 89 b4025 + x8825 >= 0 e8050: - 299 b4026 + x8826 <= 0 e8051: - 89 b4026 + x8826 >= 0 e8052: - 299 b4027 + x8827 <= 0 e8053: - 89 b4027 + x8827 >= 0 e8054: - 299 b4028 + x8828 <= 0 e8055: - 89 b4028 + x8828 >= 0 e8056: - 299 b4029 + x8829 <= 0 e8057: - 89 b4029 + x8829 >= 0 e8058: - 299 b4030 + x8830 <= 0 e8059: - 89 b4030 + x8830 >= 0 e8060: - 299 b4031 + x8831 <= 0 e8061: - 89 b4031 + x8831 >= 0 e8062: - 299 b4032 + x8832 <= 0 e8063: - 89 b4032 + x8832 >= 0 e8064: - 299 b4033 + x8833 <= 0 e8065: - 89 b4033 + x8833 >= 0 e8066: - 319 b4034 + x8834 <= 0 e8067: - 79 b4034 + x8834 >= 0 e8068: - 319 b4035 + x8835 <= 0 e8069: - 79 b4035 + x8835 >= 0 e8070: - 319 b4036 + x8836 <= 0 e8071: - 79 b4036 + x8836 >= 0 e8072: - 319 b4037 + x8837 <= 0 e8073: - 79 b4037 + x8837 >= 0 e8074: - 319 b4038 + x8838 <= 0 e8075: - 79 b4038 + x8838 >= 0 e8076: - 319 b4039 + x8839 <= 0 e8077: - 79 b4039 + x8839 >= 0 e8078: - 319 b4040 + x8840 <= 0 e8079: - 79 b4040 + x8840 >= 0 e8080: - 319 b4041 + x8841 <= 0 e8081: - 79 b4041 + x8841 >= 0 e8082: - 319 b4042 + x8842 <= 0 e8083: - 79 b4042 + x8842 >= 0 e8084: - 319 b4043 + x8843 <= 0 e8085: - 79 b4043 + x8843 >= 0 e8086: - 319 b4044 + x8844 <= 0 e8087: - 79 b4044 + x8844 >= 0 e8088: - 319 b4045 + x8845 <= 0 e8089: - 79 b4045 + x8845 >= 0 e8090: - 319 b4046 + x8846 <= 0 e8091: - 79 b4046 + x8846 >= 0 e8092: - 319 b4047 + x8847 <= 0 e8093: - 79 b4047 + x8847 >= 0 e8094: - 319 b4048 + x8848 <= 0 e8095: - 79 b4048 + x8848 >= 0 e8096: - 319 b4049 + x8849 <= 0 e8097: - 79 b4049 + x8849 >= 0 e8098: - 319 b4050 + x8850 <= 0 e8099: - 79 b4050 + x8850 >= 0 e8100: - 319 b4051 + x8851 <= 0 e8101: - 79 b4051 + x8851 >= 0 e8102: - 319 b4052 + x8852 <= 0 e8103: - 79 b4052 + x8852 >= 0 e8104: - 319 b4053 + x8853 <= 0 e8105: - 79 b4053 + x8853 >= 0 e8106: - 319 b4054 + x8854 <= 0 e8107: - 79 b4054 + x8854 >= 0 e8108: - 319 b4055 + x8855 <= 0 e8109: - 79 b4055 + x8855 >= 0 e8110: - 319 b4056 + x8856 <= 0 e8111: - 79 b4056 + x8856 >= 0 e8112: - 319 b4057 + x8857 <= 0 e8113: - 79 b4057 + x8857 >= 0 e8114: - 324 b4058 + x8858 <= 0 e8115: - 89 b4058 + x8858 >= 0 e8116: - 324 b4059 + x8859 <= 0 e8117: - 89 b4059 + x8859 >= 0 e8118: - 324 b4060 + x8860 <= 0 e8119: - 89 b4060 + x8860 >= 0 e8120: - 324 b4061 + x8861 <= 0 e8121: - 89 b4061 + x8861 >= 0 e8122: - 324 b4062 + x8862 <= 0 e8123: - 89 b4062 + x8862 >= 0 e8124: - 324 b4063 + x8863 <= 0 e8125: - 89 b4063 + x8863 >= 0 e8126: - 324 b4064 + x8864 <= 0 e8127: - 89 b4064 + x8864 >= 0 e8128: - 324 b4065 + x8865 <= 0 e8129: - 89 b4065 + x8865 >= 0 e8130: - 324 b4066 + x8866 <= 0 e8131: - 89 b4066 + x8866 >= 0 e8132: - 324 b4067 + x8867 <= 0 e8133: - 89 b4067 + x8867 >= 0 e8134: - 324 b4068 + x8868 <= 0 e8135: - 89 b4068 + x8868 >= 0 e8136: - 324 b4069 + x8869 <= 0 e8137: - 89 b4069 + x8869 >= 0 e8138: - 324 b4070 + x8870 <= 0 e8139: - 89 b4070 + x8870 >= 0 e8140: - 324 b4071 + x8871 <= 0 e8141: - 89 b4071 + x8871 >= 0 e8142: - 324 b4072 + x8872 <= 0 e8143: - 89 b4072 + x8872 >= 0 e8144: - 324 b4073 + x8873 <= 0 e8145: - 89 b4073 + x8873 >= 0 e8146: - 324 b4074 + x8874 <= 0 e8147: - 89 b4074 + x8874 >= 0 e8148: - 324 b4075 + x8875 <= 0 e8149: - 89 b4075 + x8875 >= 0 e8150: - 324 b4076 + x8876 <= 0 e8151: - 89 b4076 + x8876 >= 0 e8152: - 324 b4077 + x8877 <= 0 e8153: - 89 b4077 + x8877 >= 0 e8154: - 324 b4078 + x8878 <= 0 e8155: - 89 b4078 + x8878 >= 0 e8156: - 324 b4079 + x8879 <= 0 e8157: - 89 b4079 + x8879 >= 0 e8158: - 324 b4080 + x8880 <= 0 e8159: - 89 b4080 + x8880 >= 0 e8160: - 324 b4081 + x8881 <= 0 e8161: - 89 b4081 + x8881 >= 0 e8162: - 295 b4082 + x8882 <= 0 e8163: - 86 b4082 + x8882 >= 0 e8164: - 295 b4083 + x8883 <= 0 e8165: - 86 b4083 + x8883 >= 0 e8166: - 295 b4084 + x8884 <= 0 e8167: - 86 b4084 + x8884 >= 0 e8168: - 295 b4085 + x8885 <= 0 e8169: - 86 b4085 + x8885 >= 0 e8170: - 295 b4086 + x8886 <= 0 e8171: - 86 b4086 + x8886 >= 0 e8172: - 295 b4087 + x8887 <= 0 e8173: - 86 b4087 + x8887 >= 0 e8174: - 295 b4088 + x8888 <= 0 e8175: - 86 b4088 + x8888 >= 0 e8176: - 295 b4089 + x8889 <= 0 e8177: - 86 b4089 + x8889 >= 0 e8178: - 295 b4090 + x8890 <= 0 e8179: - 86 b4090 + x8890 >= 0 e8180: - 295 b4091 + x8891 <= 0 e8181: - 86 b4091 + x8891 >= 0 e8182: - 295 b4092 + x8892 <= 0 e8183: - 86 b4092 + x8892 >= 0 e8184: - 295 b4093 + x8893 <= 0 e8185: - 86 b4093 + x8893 >= 0 e8186: - 295 b4094 + x8894 <= 0 e8187: - 86 b4094 + x8894 >= 0 e8188: - 295 b4095 + x8895 <= 0 e8189: - 86 b4095 + x8895 >= 0 e8190: - 295 b4096 + x8896 <= 0 e8191: - 86 b4096 + x8896 >= 0 e8192: - 295 b4097 + x8897 <= 0 e8193: - 86 b4097 + x8897 >= 0 e8194: - 295 b4098 + x8898 <= 0 e8195: - 86 b4098 + x8898 >= 0 e8196: - 295 b4099 + x8899 <= 0 e8197: - 86 b4099 + x8899 >= 0 e8198: - 295 b4100 + x8900 <= 0 e8199: - 86 b4100 + x8900 >= 0 e8200: - 295 b4101 + x8901 <= 0 e8201: - 86 b4101 + x8901 >= 0 e8202: - 295 b4102 + x8902 <= 0 e8203: - 86 b4102 + x8902 >= 0 e8204: - 295 b4103 + x8903 <= 0 e8205: - 86 b4103 + x8903 >= 0 e8206: - 295 b4104 + x8904 <= 0 e8207: - 86 b4104 + x8904 >= 0 e8208: - 295 b4105 + x8905 <= 0 e8209: - 86 b4105 + x8905 >= 0 e8210: - 305 b4106 + x8906 <= 0 e8211: - 96 b4106 + x8906 >= 0 e8212: - 305 b4107 + x8907 <= 0 e8213: - 96 b4107 + x8907 >= 0 e8214: - 305 b4108 + x8908 <= 0 e8215: - 96 b4108 + x8908 >= 0 e8216: - 305 b4109 + x8909 <= 0 e8217: - 96 b4109 + x8909 >= 0 e8218: - 305 b4110 + x8910 <= 0 e8219: - 96 b4110 + x8910 >= 0 e8220: - 305 b4111 + x8911 <= 0 e8221: - 96 b4111 + x8911 >= 0 e8222: - 305 b4112 + x8912 <= 0 e8223: - 96 b4112 + x8912 >= 0 e8224: - 305 b4113 + x8913 <= 0 e8225: - 96 b4113 + x8913 >= 0 e8226: - 305 b4114 + x8914 <= 0 e8227: - 96 b4114 + x8914 >= 0 e8228: - 305 b4115 + x8915 <= 0 e8229: - 96 b4115 + x8915 >= 0 e8230: - 305 b4116 + x8916 <= 0 e8231: - 96 b4116 + x8916 >= 0 e8232: - 305 b4117 + x8917 <= 0 e8233: - 96 b4117 + x8917 >= 0 e8234: - 305 b4118 + x8918 <= 0 e8235: - 96 b4118 + x8918 >= 0 e8236: - 305 b4119 + x8919 <= 0 e8237: - 96 b4119 + x8919 >= 0 e8238: - 305 b4120 + x8920 <= 0 e8239: - 96 b4120 + x8920 >= 0 e8240: - 305 b4121 + x8921 <= 0 e8241: - 96 b4121 + x8921 >= 0 e8242: - 305 b4122 + x8922 <= 0 e8243: - 96 b4122 + x8922 >= 0 e8244: - 305 b4123 + x8923 <= 0 e8245: - 96 b4123 + x8923 >= 0 e8246: - 305 b4124 + x8924 <= 0 e8247: - 96 b4124 + x8924 >= 0 e8248: - 305 b4125 + x8925 <= 0 e8249: - 96 b4125 + x8925 >= 0 e8250: - 305 b4126 + x8926 <= 0 e8251: - 96 b4126 + x8926 >= 0 e8252: - 305 b4127 + x8927 <= 0 e8253: - 96 b4127 + x8927 >= 0 e8254: - 305 b4128 + x8928 <= 0 e8255: - 96 b4128 + x8928 >= 0 e8256: - 305 b4129 + x8929 <= 0 e8257: - 96 b4129 + x8929 >= 0 e8258: - 288 b4130 + x8930 <= 0 e8259: - 94 b4130 + x8930 >= 0 e8260: - 288 b4131 + x8931 <= 0 e8261: - 94 b4131 + x8931 >= 0 e8262: - 288 b4132 + x8932 <= 0 e8263: - 94 b4132 + x8932 >= 0 e8264: - 288 b4133 + x8933 <= 0 e8265: - 94 b4133 + x8933 >= 0 e8266: - 288 b4134 + x8934 <= 0 e8267: - 94 b4134 + x8934 >= 0 e8268: - 288 b4135 + x8935 <= 0 e8269: - 94 b4135 + x8935 >= 0 e8270: - 288 b4136 + x8936 <= 0 e8271: - 94 b4136 + x8936 >= 0 e8272: - 288 b4137 + x8937 <= 0 e8273: - 94 b4137 + x8937 >= 0 e8274: - 288 b4138 + x8938 <= 0 e8275: - 94 b4138 + x8938 >= 0 e8276: - 288 b4139 + x8939 <= 0 e8277: - 94 b4139 + x8939 >= 0 e8278: - 288 b4140 + x8940 <= 0 e8279: - 94 b4140 + x8940 >= 0 e8280: - 288 b4141 + x8941 <= 0 e8281: - 94 b4141 + x8941 >= 0 e8282: - 288 b4142 + x8942 <= 0 e8283: - 94 b4142 + x8942 >= 0 e8284: - 288 b4143 + x8943 <= 0 e8285: - 94 b4143 + x8943 >= 0 e8286: - 288 b4144 + x8944 <= 0 e8287: - 94 b4144 + x8944 >= 0 e8288: - 288 b4145 + x8945 <= 0 e8289: - 94 b4145 + x8945 >= 0 e8290: - 288 b4146 + x8946 <= 0 e8291: - 94 b4146 + x8946 >= 0 e8292: - 288 b4147 + x8947 <= 0 e8293: - 94 b4147 + x8947 >= 0 e8294: - 288 b4148 + x8948 <= 0 e8295: - 94 b4148 + x8948 >= 0 e8296: - 288 b4149 + x8949 <= 0 e8297: - 94 b4149 + x8949 >= 0 e8298: - 288 b4150 + x8950 <= 0 e8299: - 94 b4150 + x8950 >= 0 e8300: - 288 b4151 + x8951 <= 0 e8301: - 94 b4151 + x8951 >= 0 e8302: - 288 b4152 + x8952 <= 0 e8303: - 94 b4152 + x8952 >= 0 e8304: - 288 b4153 + x8953 <= 0 e8305: - 94 b4153 + x8953 >= 0 e8306: - 327 b4154 + x8954 <= 0 e8307: - 97 b4154 + x8954 >= 0 e8308: - 327 b4155 + x8955 <= 0 e8309: - 97 b4155 + x8955 >= 0 e8310: - 327 b4156 + x8956 <= 0 e8311: - 97 b4156 + x8956 >= 0 e8312: - 327 b4157 + x8957 <= 0 e8313: - 97 b4157 + x8957 >= 0 e8314: - 327 b4158 + x8958 <= 0 e8315: - 97 b4158 + x8958 >= 0 e8316: - 327 b4159 + x8959 <= 0 e8317: - 97 b4159 + x8959 >= 0 e8318: - 327 b4160 + x8960 <= 0 e8319: - 97 b4160 + x8960 >= 0 e8320: - 327 b4161 + x8961 <= 0 e8321: - 97 b4161 + x8961 >= 0 e8322: - 327 b4162 + x8962 <= 0 e8323: - 97 b4162 + x8962 >= 0 e8324: - 327 b4163 + x8963 <= 0 e8325: - 97 b4163 + x8963 >= 0 e8326: - 327 b4164 + x8964 <= 0 e8327: - 97 b4164 + x8964 >= 0 e8328: - 327 b4165 + x8965 <= 0 e8329: - 97 b4165 + x8965 >= 0 e8330: - 327 b4166 + x8966 <= 0 e8331: - 97 b4166 + x8966 >= 0 e8332: - 327 b4167 + x8967 <= 0 e8333: - 97 b4167 + x8967 >= 0 e8334: - 327 b4168 + x8968 <= 0 e8335: - 97 b4168 + x8968 >= 0 e8336: - 327 b4169 + x8969 <= 0 e8337: - 97 b4169 + x8969 >= 0 e8338: - 327 b4170 + x8970 <= 0 e8339: - 97 b4170 + x8970 >= 0 e8340: - 327 b4171 + x8971 <= 0 e8341: - 97 b4171 + x8971 >= 0 e8342: - 327 b4172 + x8972 <= 0 e8343: - 97 b4172 + x8972 >= 0 e8344: - 327 b4173 + x8973 <= 0 e8345: - 97 b4173 + x8973 >= 0 e8346: - 327 b4174 + x8974 <= 0 e8347: - 97 b4174 + x8974 >= 0 e8348: - 327 b4175 + x8975 <= 0 e8349: - 97 b4175 + x8975 >= 0 e8350: - 327 b4176 + x8976 <= 0 e8351: - 97 b4176 + x8976 >= 0 e8352: - 327 b4177 + x8977 <= 0 e8353: - 97 b4177 + x8977 >= 0 e8354: - 297 b4178 + x8978 <= 0 e8355: - 76 b4178 + x8978 >= 0 e8356: - 297 b4179 + x8979 <= 0 e8357: - 76 b4179 + x8979 >= 0 e8358: - 297 b4180 + x8980 <= 0 e8359: - 76 b4180 + x8980 >= 0 e8360: - 297 b4181 + x8981 <= 0 e8361: - 76 b4181 + x8981 >= 0 e8362: - 297 b4182 + x8982 <= 0 e8363: - 76 b4182 + x8982 >= 0 e8364: - 297 b4183 + x8983 <= 0 e8365: - 76 b4183 + x8983 >= 0 e8366: - 297 b4184 + x8984 <= 0 e8367: - 76 b4184 + x8984 >= 0 e8368: - 297 b4185 + x8985 <= 0 e8369: - 76 b4185 + x8985 >= 0 e8370: - 297 b4186 + x8986 <= 0 e8371: - 76 b4186 + x8986 >= 0 e8372: - 297 b4187 + x8987 <= 0 e8373: - 76 b4187 + x8987 >= 0 e8374: - 297 b4188 + x8988 <= 0 e8375: - 76 b4188 + x8988 >= 0 e8376: - 297 b4189 + x8989 <= 0 e8377: - 76 b4189 + x8989 >= 0 e8378: - 297 b4190 + x8990 <= 0 e8379: - 76 b4190 + x8990 >= 0 e8380: - 297 b4191 + x8991 <= 0 e8381: - 76 b4191 + x8991 >= 0 e8382: - 297 b4192 + x8992 <= 0 e8383: - 76 b4192 + x8992 >= 0 e8384: - 297 b4193 + x8993 <= 0 e8385: - 76 b4193 + x8993 >= 0 e8386: - 297 b4194 + x8994 <= 0 e8387: - 76 b4194 + x8994 >= 0 e8388: - 297 b4195 + x8995 <= 0 e8389: - 76 b4195 + x8995 >= 0 e8390: - 297 b4196 + x8996 <= 0 e8391: - 76 b4196 + x8996 >= 0 e8392: - 297 b4197 + x8997 <= 0 e8393: - 76 b4197 + x8997 >= 0 e8394: - 297 b4198 + x8998 <= 0 e8395: - 76 b4198 + x8998 >= 0 e8396: - 297 b4199 + x8999 <= 0 e8397: - 76 b4199 + x8999 >= 0 e8398: - 297 b4200 + x9000 <= 0 e8399: - 76 b4200 + x9000 >= 0 e8400: - 297 b4201 + x9001 <= 0 e8401: - 76 b4201 + x9001 >= 0 e8402: - 320 b4202 + x9002 <= 0 e8403: - 76 b4202 + x9002 >= 0 e8404: - 320 b4203 + x9003 <= 0 e8405: - 76 b4203 + x9003 >= 0 e8406: - 320 b4204 + x9004 <= 0 e8407: - 76 b4204 + x9004 >= 0 e8408: - 320 b4205 + x9005 <= 0 e8409: - 76 b4205 + x9005 >= 0 e8410: - 320 b4206 + x9006 <= 0 e8411: - 76 b4206 + x9006 >= 0 e8412: - 320 b4207 + x9007 <= 0 e8413: - 76 b4207 + x9007 >= 0 e8414: - 320 b4208 + x9008 <= 0 e8415: - 76 b4208 + x9008 >= 0 e8416: - 320 b4209 + x9009 <= 0 e8417: - 76 b4209 + x9009 >= 0 e8418: - 320 b4210 + x9010 <= 0 e8419: - 76 b4210 + x9010 >= 0 e8420: - 320 b4211 + x9011 <= 0 e8421: - 76 b4211 + x9011 >= 0 e8422: - 320 b4212 + x9012 <= 0 e8423: - 76 b4212 + x9012 >= 0 e8424: - 320 b4213 + x9013 <= 0 e8425: - 76 b4213 + x9013 >= 0 e8426: - 320 b4214 + x9014 <= 0 e8427: - 76 b4214 + x9014 >= 0 e8428: - 320 b4215 + x9015 <= 0 e8429: - 76 b4215 + x9015 >= 0 e8430: - 320 b4216 + x9016 <= 0 e8431: - 76 b4216 + x9016 >= 0 e8432: - 320 b4217 + x9017 <= 0 e8433: - 76 b4217 + x9017 >= 0 e8434: - 320 b4218 + x9018 <= 0 e8435: - 76 b4218 + x9018 >= 0 e8436: - 320 b4219 + x9019 <= 0 e8437: - 76 b4219 + x9019 >= 0 e8438: - 320 b4220 + x9020 <= 0 e8439: - 76 b4220 + x9020 >= 0 e8440: - 320 b4221 + x9021 <= 0 e8441: - 76 b4221 + x9021 >= 0 e8442: - 320 b4222 + x9022 <= 0 e8443: - 76 b4222 + x9022 >= 0 e8444: - 320 b4223 + x9023 <= 0 e8445: - 76 b4223 + x9023 >= 0 e8446: - 320 b4224 + x9024 <= 0 e8447: - 76 b4224 + x9024 >= 0 e8448: - 320 b4225 + x9025 <= 0 e8449: - 76 b4225 + x9025 >= 0 e8450: - 283 b4226 + x9026 <= 0 e8451: - 80 b4226 + x9026 >= 0 e8452: - 283 b4227 + x9027 <= 0 e8453: - 80 b4227 + x9027 >= 0 e8454: - 283 b4228 + x9028 <= 0 e8455: - 80 b4228 + x9028 >= 0 e8456: - 283 b4229 + x9029 <= 0 e8457: - 80 b4229 + x9029 >= 0 e8458: - 283 b4230 + x9030 <= 0 e8459: - 80 b4230 + x9030 >= 0 e8460: - 283 b4231 + x9031 <= 0 e8461: - 80 b4231 + x9031 >= 0 e8462: - 283 b4232 + x9032 <= 0 e8463: - 80 b4232 + x9032 >= 0 e8464: - 283 b4233 + x9033 <= 0 e8465: - 80 b4233 + x9033 >= 0 e8466: - 283 b4234 + x9034 <= 0 e8467: - 80 b4234 + x9034 >= 0 e8468: - 283 b4235 + x9035 <= 0 e8469: - 80 b4235 + x9035 >= 0 e8470: - 283 b4236 + x9036 <= 0 e8471: - 80 b4236 + x9036 >= 0 e8472: - 283 b4237 + x9037 <= 0 e8473: - 80 b4237 + x9037 >= 0 e8474: - 283 b4238 + x9038 <= 0 e8475: - 80 b4238 + x9038 >= 0 e8476: - 283 b4239 + x9039 <= 0 e8477: - 80 b4239 + x9039 >= 0 e8478: - 283 b4240 + x9040 <= 0 e8479: - 80 b4240 + x9040 >= 0 e8480: - 283 b4241 + x9041 <= 0 e8481: - 80 b4241 + x9041 >= 0 e8482: - 283 b4242 + x9042 <= 0 e8483: - 80 b4242 + x9042 >= 0 e8484: - 283 b4243 + x9043 <= 0 e8485: - 80 b4243 + x9043 >= 0 e8486: - 283 b4244 + x9044 <= 0 e8487: - 80 b4244 + x9044 >= 0 e8488: - 283 b4245 + x9045 <= 0 e8489: - 80 b4245 + x9045 >= 0 e8490: - 283 b4246 + x9046 <= 0 e8491: - 80 b4246 + x9046 >= 0 e8492: - 283 b4247 + x9047 <= 0 e8493: - 80 b4247 + x9047 >= 0 e8494: - 283 b4248 + x9048 <= 0 e8495: - 80 b4248 + x9048 >= 0 e8496: - 283 b4249 + x9049 <= 0 e8497: - 80 b4249 + x9049 >= 0 e8498: - 326 b4250 + x9050 <= 0 e8499: - 70 b4250 + x9050 >= 0 e8500: - 326 b4251 + x9051 <= 0 e8501: - 70 b4251 + x9051 >= 0 e8502: - 326 b4252 + x9052 <= 0 e8503: - 70 b4252 + x9052 >= 0 e8504: - 326 b4253 + x9053 <= 0 e8505: - 70 b4253 + x9053 >= 0 e8506: - 326 b4254 + x9054 <= 0 e8507: - 70 b4254 + x9054 >= 0 e8508: - 326 b4255 + x9055 <= 0 e8509: - 70 b4255 + x9055 >= 0 e8510: - 326 b4256 + x9056 <= 0 e8511: - 70 b4256 + x9056 >= 0 e8512: - 326 b4257 + x9057 <= 0 e8513: - 70 b4257 + x9057 >= 0 e8514: - 326 b4258 + x9058 <= 0 e8515: - 70 b4258 + x9058 >= 0 e8516: - 326 b4259 + x9059 <= 0 e8517: - 70 b4259 + x9059 >= 0 e8518: - 326 b4260 + x9060 <= 0 e8519: - 70 b4260 + x9060 >= 0 e8520: - 326 b4261 + x9061 <= 0 e8521: - 70 b4261 + x9061 >= 0 e8522: - 326 b4262 + x9062 <= 0 e8523: - 70 b4262 + x9062 >= 0 e8524: - 326 b4263 + x9063 <= 0 e8525: - 70 b4263 + x9063 >= 0 e8526: - 326 b4264 + x9064 <= 0 e8527: - 70 b4264 + x9064 >= 0 e8528: - 326 b4265 + x9065 <= 0 e8529: - 70 b4265 + x9065 >= 0 e8530: - 326 b4266 + x9066 <= 0 e8531: - 70 b4266 + x9066 >= 0 e8532: - 326 b4267 + x9067 <= 0 e8533: - 70 b4267 + x9067 >= 0 e8534: - 326 b4268 + x9068 <= 0 e8535: - 70 b4268 + x9068 >= 0 e8536: - 326 b4269 + x9069 <= 0 e8537: - 70 b4269 + x9069 >= 0 e8538: - 326 b4270 + x9070 <= 0 e8539: - 70 b4270 + x9070 >= 0 e8540: - 326 b4271 + x9071 <= 0 e8541: - 70 b4271 + x9071 >= 0 e8542: - 326 b4272 + x9072 <= 0 e8543: - 70 b4272 + x9072 >= 0 e8544: - 326 b4273 + x9073 <= 0 e8545: - 70 b4273 + x9073 >= 0 e8546: - 317 b4274 + x9074 <= 0 e8547: - 91 b4274 + x9074 >= 0 e8548: - 317 b4275 + x9075 <= 0 e8549: - 91 b4275 + x9075 >= 0 e8550: - 317 b4276 + x9076 <= 0 e8551: - 91 b4276 + x9076 >= 0 e8552: - 317 b4277 + x9077 <= 0 e8553: - 91 b4277 + x9077 >= 0 e8554: - 317 b4278 + x9078 <= 0 e8555: - 91 b4278 + x9078 >= 0 e8556: - 317 b4279 + x9079 <= 0 e8557: - 91 b4279 + x9079 >= 0 e8558: - 317 b4280 + x9080 <= 0 e8559: - 91 b4280 + x9080 >= 0 e8560: - 317 b4281 + x9081 <= 0 e8561: - 91 b4281 + x9081 >= 0 e8562: - 317 b4282 + x9082 <= 0 e8563: - 91 b4282 + x9082 >= 0 e8564: - 317 b4283 + x9083 <= 0 e8565: - 91 b4283 + x9083 >= 0 e8566: - 317 b4284 + x9084 <= 0 e8567: - 91 b4284 + x9084 >= 0 e8568: - 317 b4285 + x9085 <= 0 e8569: - 91 b4285 + x9085 >= 0 e8570: - 317 b4286 + x9086 <= 0 e8571: - 91 b4286 + x9086 >= 0 e8572: - 317 b4287 + x9087 <= 0 e8573: - 91 b4287 + x9087 >= 0 e8574: - 317 b4288 + x9088 <= 0 e8575: - 91 b4288 + x9088 >= 0 e8576: - 317 b4289 + x9089 <= 0 e8577: - 91 b4289 + x9089 >= 0 e8578: - 317 b4290 + x9090 <= 0 e8579: - 91 b4290 + x9090 >= 0 e8580: - 317 b4291 + x9091 <= 0 e8581: - 91 b4291 + x9091 >= 0 e8582: - 317 b4292 + x9092 <= 0 e8583: - 91 b4292 + x9092 >= 0 e8584: - 317 b4293 + x9093 <= 0 e8585: - 91 b4293 + x9093 >= 0 e8586: - 317 b4294 + x9094 <= 0 e8587: - 91 b4294 + x9094 >= 0 e8588: - 317 b4295 + x9095 <= 0 e8589: - 91 b4295 + x9095 >= 0 e8590: - 317 b4296 + x9096 <= 0 e8591: - 91 b4296 + x9096 >= 0 e8592: - 317 b4297 + x9097 <= 0 e8593: - 91 b4297 + x9097 >= 0 e8594: - 321 b4298 + x9098 <= 0 e8595: - 96 b4298 + x9098 >= 0 e8596: - 321 b4299 + x9099 <= 0 e8597: - 96 b4299 + x9099 >= 0 e8598: - 321 b4300 + x9100 <= 0 e8599: - 96 b4300 + x9100 >= 0 e8600: - 321 b4301 + x9101 <= 0 e8601: - 96 b4301 + x9101 >= 0 e8602: - 321 b4302 + x9102 <= 0 e8603: - 96 b4302 + x9102 >= 0 e8604: - 321 b4303 + x9103 <= 0 e8605: - 96 b4303 + x9103 >= 0 e8606: - 321 b4304 + x9104 <= 0 e8607: - 96 b4304 + x9104 >= 0 e8608: - 321 b4305 + x9105 <= 0 e8609: - 96 b4305 + x9105 >= 0 e8610: - 321 b4306 + x9106 <= 0 e8611: - 96 b4306 + x9106 >= 0 e8612: - 321 b4307 + x9107 <= 0 e8613: - 96 b4307 + x9107 >= 0 e8614: - 321 b4308 + x9108 <= 0 e8615: - 96 b4308 + x9108 >= 0 e8616: - 321 b4309 + x9109 <= 0 e8617: - 96 b4309 + x9109 >= 0 e8618: - 321 b4310 + x9110 <= 0 e8619: - 96 b4310 + x9110 >= 0 e8620: - 321 b4311 + x9111 <= 0 e8621: - 96 b4311 + x9111 >= 0 e8622: - 321 b4312 + x9112 <= 0 e8623: - 96 b4312 + x9112 >= 0 e8624: - 321 b4313 + x9113 <= 0 e8625: - 96 b4313 + x9113 >= 0 e8626: - 321 b4314 + x9114 <= 0 e8627: - 96 b4314 + x9114 >= 0 e8628: - 321 b4315 + x9115 <= 0 e8629: - 96 b4315 + x9115 >= 0 e8630: - 321 b4316 + x9116 <= 0 e8631: - 96 b4316 + x9116 >= 0 e8632: - 321 b4317 + x9117 <= 0 e8633: - 96 b4317 + x9117 >= 0 e8634: - 321 b4318 + x9118 <= 0 e8635: - 96 b4318 + x9118 >= 0 e8636: - 321 b4319 + x9119 <= 0 e8637: - 96 b4319 + x9119 >= 0 e8638: - 321 b4320 + x9120 <= 0 e8639: - 96 b4320 + x9120 >= 0 e8640: - 321 b4321 + x9121 <= 0 e8641: - 96 b4321 + x9121 >= 0 e8642: - 324 b4322 + x9122 <= 0 e8643: - 74 b4322 + x9122 >= 0 e8644: - 324 b4323 + x9123 <= 0 e8645: - 74 b4323 + x9123 >= 0 e8646: - 324 b4324 + x9124 <= 0 e8647: - 74 b4324 + x9124 >= 0 e8648: - 324 b4325 + x9125 <= 0 e8649: - 74 b4325 + x9125 >= 0 e8650: - 324 b4326 + x9126 <= 0 e8651: - 74 b4326 + x9126 >= 0 e8652: - 324 b4327 + x9127 <= 0 e8653: - 74 b4327 + x9127 >= 0 e8654: - 324 b4328 + x9128 <= 0 e8655: - 74 b4328 + x9128 >= 0 e8656: - 324 b4329 + x9129 <= 0 e8657: - 74 b4329 + x9129 >= 0 e8658: - 324 b4330 + x9130 <= 0 e8659: - 74 b4330 + x9130 >= 0 e8660: - 324 b4331 + x9131 <= 0 e8661: - 74 b4331 + x9131 >= 0 e8662: - 324 b4332 + x9132 <= 0 e8663: - 74 b4332 + x9132 >= 0 e8664: - 324 b4333 + x9133 <= 0 e8665: - 74 b4333 + x9133 >= 0 e8666: - 324 b4334 + x9134 <= 0 e8667: - 74 b4334 + x9134 >= 0 e8668: - 324 b4335 + x9135 <= 0 e8669: - 74 b4335 + x9135 >= 0 e8670: - 324 b4336 + x9136 <= 0 e8671: - 74 b4336 + x9136 >= 0 e8672: - 324 b4337 + x9137 <= 0 e8673: - 74 b4337 + x9137 >= 0 e8674: - 324 b4338 + x9138 <= 0 e8675: - 74 b4338 + x9138 >= 0 e8676: - 324 b4339 + x9139 <= 0 e8677: - 74 b4339 + x9139 >= 0 e8678: - 324 b4340 + x9140 <= 0 e8679: - 74 b4340 + x9140 >= 0 e8680: - 324 b4341 + x9141 <= 0 e8681: - 74 b4341 + x9141 >= 0 e8682: - 324 b4342 + x9142 <= 0 e8683: - 74 b4342 + x9142 >= 0 e8684: - 324 b4343 + x9143 <= 0 e8685: - 74 b4343 + x9143 >= 0 e8686: - 324 b4344 + x9144 <= 0 e8687: - 74 b4344 + x9144 >= 0 e8688: - 324 b4345 + x9145 <= 0 e8689: - 74 b4345 + x9145 >= 0 e8690: - 290 b4346 + x9146 <= 0 e8691: - 70 b4346 + x9146 >= 0 e8692: - 290 b4347 + x9147 <= 0 e8693: - 70 b4347 + x9147 >= 0 e8694: - 290 b4348 + x9148 <= 0 e8695: - 70 b4348 + x9148 >= 0 e8696: - 290 b4349 + x9149 <= 0 e8697: - 70 b4349 + x9149 >= 0 e8698: - 290 b4350 + x9150 <= 0 e8699: - 70 b4350 + x9150 >= 0 e8700: - 290 b4351 + x9151 <= 0 e8701: - 70 b4351 + x9151 >= 0 e8702: - 290 b4352 + x9152 <= 0 e8703: - 70 b4352 + x9152 >= 0 e8704: - 290 b4353 + x9153 <= 0 e8705: - 70 b4353 + x9153 >= 0 e8706: - 290 b4354 + x9154 <= 0 e8707: - 70 b4354 + x9154 >= 0 e8708: - 290 b4355 + x9155 <= 0 e8709: - 70 b4355 + x9155 >= 0 e8710: - 290 b4356 + x9156 <= 0 e8711: - 70 b4356 + x9156 >= 0 e8712: - 290 b4357 + x9157 <= 0 e8713: - 70 b4357 + x9157 >= 0 e8714: - 290 b4358 + x9158 <= 0 e8715: - 70 b4358 + x9158 >= 0 e8716: - 290 b4359 + x9159 <= 0 e8717: - 70 b4359 + x9159 >= 0 e8718: - 290 b4360 + x9160 <= 0 e8719: - 70 b4360 + x9160 >= 0 e8720: - 290 b4361 + x9161 <= 0 e8721: - 70 b4361 + x9161 >= 0 e8722: - 290 b4362 + x9162 <= 0 e8723: - 70 b4362 + x9162 >= 0 e8724: - 290 b4363 + x9163 <= 0 e8725: - 70 b4363 + x9163 >= 0 e8726: - 290 b4364 + x9164 <= 0 e8727: - 70 b4364 + x9164 >= 0 e8728: - 290 b4365 + x9165 <= 0 e8729: - 70 b4365 + x9165 >= 0 e8730: - 290 b4366 + x9166 <= 0 e8731: - 70 b4366 + x9166 >= 0 e8732: - 290 b4367 + x9167 <= 0 e8733: - 70 b4367 + x9167 >= 0 e8734: - 290 b4368 + x9168 <= 0 e8735: - 70 b4368 + x9168 >= 0 e8736: - 290 b4369 + x9169 <= 0 e8737: - 70 b4369 + x9169 >= 0 e8738: - 296 b4370 + x9170 <= 0 e8739: - 88 b4370 + x9170 >= 0 e8740: - 296 b4371 + x9171 <= 0 e8741: - 88 b4371 + x9171 >= 0 e8742: - 296 b4372 + x9172 <= 0 e8743: - 88 b4372 + x9172 >= 0 e8744: - 296 b4373 + x9173 <= 0 e8745: - 88 b4373 + x9173 >= 0 e8746: - 296 b4374 + x9174 <= 0 e8747: - 88 b4374 + x9174 >= 0 e8748: - 296 b4375 + x9175 <= 0 e8749: - 88 b4375 + x9175 >= 0 e8750: - 296 b4376 + x9176 <= 0 e8751: - 88 b4376 + x9176 >= 0 e8752: - 296 b4377 + x9177 <= 0 e8753: - 88 b4377 + x9177 >= 0 e8754: - 296 b4378 + x9178 <= 0 e8755: - 88 b4378 + x9178 >= 0 e8756: - 296 b4379 + x9179 <= 0 e8757: - 88 b4379 + x9179 >= 0 e8758: - 296 b4380 + x9180 <= 0 e8759: - 88 b4380 + x9180 >= 0 e8760: - 296 b4381 + x9181 <= 0 e8761: - 88 b4381 + x9181 >= 0 e8762: - 296 b4382 + x9182 <= 0 e8763: - 88 b4382 + x9182 >= 0 e8764: - 296 b4383 + x9183 <= 0 e8765: - 88 b4383 + x9183 >= 0 e8766: - 296 b4384 + x9184 <= 0 e8767: - 88 b4384 + x9184 >= 0 e8768: - 296 b4385 + x9185 <= 0 e8769: - 88 b4385 + x9185 >= 0 e8770: - 296 b4386 + x9186 <= 0 e8771: - 88 b4386 + x9186 >= 0 e8772: - 296 b4387 + x9187 <= 0 e8773: - 88 b4387 + x9187 >= 0 e8774: - 296 b4388 + x9188 <= 0 e8775: - 88 b4388 + x9188 >= 0 e8776: - 296 b4389 + x9189 <= 0 e8777: - 88 b4389 + x9189 >= 0 e8778: - 296 b4390 + x9190 <= 0 e8779: - 88 b4390 + x9190 >= 0 e8780: - 296 b4391 + x9191 <= 0 e8781: - 88 b4391 + x9191 >= 0 e8782: - 296 b4392 + x9192 <= 0 e8783: - 88 b4392 + x9192 >= 0 e8784: - 296 b4393 + x9193 <= 0 e8785: - 88 b4393 + x9193 >= 0 e8786: - 311 b4394 + x9194 <= 0 e8787: - 96 b4394 + x9194 >= 0 e8788: - 311 b4395 + x9195 <= 0 e8789: - 96 b4395 + x9195 >= 0 e8790: - 311 b4396 + x9196 <= 0 e8791: - 96 b4396 + x9196 >= 0 e8792: - 311 b4397 + x9197 <= 0 e8793: - 96 b4397 + x9197 >= 0 e8794: - 311 b4398 + x9198 <= 0 e8795: - 96 b4398 + x9198 >= 0 e8796: - 311 b4399 + x9199 <= 0 e8797: - 96 b4399 + x9199 >= 0 e8798: - 311 b4400 + x9200 <= 0 e8799: - 96 b4400 + x9200 >= 0 e8800: - 311 b4401 + x9201 <= 0 e8801: - 96 b4401 + x9201 >= 0 e8802: - 311 b4402 + x9202 <= 0 e8803: - 96 b4402 + x9202 >= 0 e8804: - 311 b4403 + x9203 <= 0 e8805: - 96 b4403 + x9203 >= 0 e8806: - 311 b4404 + x9204 <= 0 e8807: - 96 b4404 + x9204 >= 0 e8808: - 311 b4405 + x9205 <= 0 e8809: - 96 b4405 + x9205 >= 0 e8810: - 311 b4406 + x9206 <= 0 e8811: - 96 b4406 + x9206 >= 0 e8812: - 311 b4407 + x9207 <= 0 e8813: - 96 b4407 + x9207 >= 0 e8814: - 311 b4408 + x9208 <= 0 e8815: - 96 b4408 + x9208 >= 0 e8816: - 311 b4409 + x9209 <= 0 e8817: - 96 b4409 + x9209 >= 0 e8818: - 311 b4410 + x9210 <= 0 e8819: - 96 b4410 + x9210 >= 0 e8820: - 311 b4411 + x9211 <= 0 e8821: - 96 b4411 + x9211 >= 0 e8822: - 311 b4412 + x9212 <= 0 e8823: - 96 b4412 + x9212 >= 0 e8824: - 311 b4413 + x9213 <= 0 e8825: - 96 b4413 + x9213 >= 0 e8826: - 311 b4414 + x9214 <= 0 e8827: - 96 b4414 + x9214 >= 0 e8828: - 311 b4415 + x9215 <= 0 e8829: - 96 b4415 + x9215 >= 0 e8830: - 311 b4416 + x9216 <= 0 e8831: - 96 b4416 + x9216 >= 0 e8832: - 311 b4417 + x9217 <= 0 e8833: - 96 b4417 + x9217 >= 0 e8834: - 301 b4418 + x9218 <= 0 e8835: - 76 b4418 + x9218 >= 0 e8836: - 301 b4419 + x9219 <= 0 e8837: - 76 b4419 + x9219 >= 0 e8838: - 301 b4420 + x9220 <= 0 e8839: - 76 b4420 + x9220 >= 0 e8840: - 301 b4421 + x9221 <= 0 e8841: - 76 b4421 + x9221 >= 0 e8842: - 301 b4422 + x9222 <= 0 e8843: - 76 b4422 + x9222 >= 0 e8844: - 301 b4423 + x9223 <= 0 e8845: - 76 b4423 + x9223 >= 0 e8846: - 301 b4424 + x9224 <= 0 e8847: - 76 b4424 + x9224 >= 0 e8848: - 301 b4425 + x9225 <= 0 e8849: - 76 b4425 + x9225 >= 0 e8850: - 301 b4426 + x9226 <= 0 e8851: - 76 b4426 + x9226 >= 0 e8852: - 301 b4427 + x9227 <= 0 e8853: - 76 b4427 + x9227 >= 0 e8854: - 301 b4428 + x9228 <= 0 e8855: - 76 b4428 + x9228 >= 0 e8856: - 301 b4429 + x9229 <= 0 e8857: - 76 b4429 + x9229 >= 0 e8858: - 301 b4430 + x9230 <= 0 e8859: - 76 b4430 + x9230 >= 0 e8860: - 301 b4431 + x9231 <= 0 e8861: - 76 b4431 + x9231 >= 0 e8862: - 301 b4432 + x9232 <= 0 e8863: - 76 b4432 + x9232 >= 0 e8864: - 301 b4433 + x9233 <= 0 e8865: - 76 b4433 + x9233 >= 0 e8866: - 301 b4434 + x9234 <= 0 e8867: - 76 b4434 + x9234 >= 0 e8868: - 301 b4435 + x9235 <= 0 e8869: - 76 b4435 + x9235 >= 0 e8870: - 301 b4436 + x9236 <= 0 e8871: - 76 b4436 + x9236 >= 0 e8872: - 301 b4437 + x9237 <= 0 e8873: - 76 b4437 + x9237 >= 0 e8874: - 301 b4438 + x9238 <= 0 e8875: - 76 b4438 + x9238 >= 0 e8876: - 301 b4439 + x9239 <= 0 e8877: - 76 b4439 + x9239 >= 0 e8878: - 301 b4440 + x9240 <= 0 e8879: - 76 b4440 + x9240 >= 0 e8880: - 301 b4441 + x9241 <= 0 e8881: - 76 b4441 + x9241 >= 0 e8882: - 292 b4442 + x9242 <= 0 e8883: - 74 b4442 + x9242 >= 0 e8884: - 292 b4443 + x9243 <= 0 e8885: - 74 b4443 + x9243 >= 0 e8886: - 292 b4444 + x9244 <= 0 e8887: - 74 b4444 + x9244 >= 0 e8888: - 292 b4445 + x9245 <= 0 e8889: - 74 b4445 + x9245 >= 0 e8890: - 292 b4446 + x9246 <= 0 e8891: - 74 b4446 + x9246 >= 0 e8892: - 292 b4447 + x9247 <= 0 e8893: - 74 b4447 + x9247 >= 0 e8894: - 292 b4448 + x9248 <= 0 e8895: - 74 b4448 + x9248 >= 0 e8896: - 292 b4449 + x9249 <= 0 e8897: - 74 b4449 + x9249 >= 0 e8898: - 292 b4450 + x9250 <= 0 e8899: - 74 b4450 + x9250 >= 0 e8900: - 292 b4451 + x9251 <= 0 e8901: - 74 b4451 + x9251 >= 0 e8902: - 292 b4452 + x9252 <= 0 e8903: - 74 b4452 + x9252 >= 0 e8904: - 292 b4453 + x9253 <= 0 e8905: - 74 b4453 + x9253 >= 0 e8906: - 292 b4454 + x9254 <= 0 e8907: - 74 b4454 + x9254 >= 0 e8908: - 292 b4455 + x9255 <= 0 e8909: - 74 b4455 + x9255 >= 0 e8910: - 292 b4456 + x9256 <= 0 e8911: - 74 b4456 + x9256 >= 0 e8912: - 292 b4457 + x9257 <= 0 e8913: - 74 b4457 + x9257 >= 0 e8914: - 292 b4458 + x9258 <= 0 e8915: - 74 b4458 + x9258 >= 0 e8916: - 292 b4459 + x9259 <= 0 e8917: - 74 b4459 + x9259 >= 0 e8918: - 292 b4460 + x9260 <= 0 e8919: - 74 b4460 + x9260 >= 0 e8920: - 292 b4461 + x9261 <= 0 e8921: - 74 b4461 + x9261 >= 0 e8922: - 292 b4462 + x9262 <= 0 e8923: - 74 b4462 + x9262 >= 0 e8924: - 292 b4463 + x9263 <= 0 e8925: - 74 b4463 + x9263 >= 0 e8926: - 292 b4464 + x9264 <= 0 e8927: - 74 b4464 + x9264 >= 0 e8928: - 292 b4465 + x9265 <= 0 e8929: - 74 b4465 + x9265 >= 0 e8930: - 314 b4466 + x9266 <= 0 e8931: - 73 b4466 + x9266 >= 0 e8932: - 314 b4467 + x9267 <= 0 e8933: - 73 b4467 + x9267 >= 0 e8934: - 314 b4468 + x9268 <= 0 e8935: - 73 b4468 + x9268 >= 0 e8936: - 314 b4469 + x9269 <= 0 e8937: - 73 b4469 + x9269 >= 0 e8938: - 314 b4470 + x9270 <= 0 e8939: - 73 b4470 + x9270 >= 0 e8940: - 314 b4471 + x9271 <= 0 e8941: - 73 b4471 + x9271 >= 0 e8942: - 314 b4472 + x9272 <= 0 e8943: - 73 b4472 + x9272 >= 0 e8944: - 314 b4473 + x9273 <= 0 e8945: - 73 b4473 + x9273 >= 0 e8946: - 314 b4474 + x9274 <= 0 e8947: - 73 b4474 + x9274 >= 0 e8948: - 314 b4475 + x9275 <= 0 e8949: - 73 b4475 + x9275 >= 0 e8950: - 314 b4476 + x9276 <= 0 e8951: - 73 b4476 + x9276 >= 0 e8952: - 314 b4477 + x9277 <= 0 e8953: - 73 b4477 + x9277 >= 0 e8954: - 314 b4478 + x9278 <= 0 e8955: - 73 b4478 + x9278 >= 0 e8956: - 314 b4479 + x9279 <= 0 e8957: - 73 b4479 + x9279 >= 0 e8958: - 314 b4480 + x9280 <= 0 e8959: - 73 b4480 + x9280 >= 0 e8960: - 314 b4481 + x9281 <= 0 e8961: - 73 b4481 + x9281 >= 0 e8962: - 314 b4482 + x9282 <= 0 e8963: - 73 b4482 + x9282 >= 0 e8964: - 314 b4483 + x9283 <= 0 e8965: - 73 b4483 + x9283 >= 0 e8966: - 314 b4484 + x9284 <= 0 e8967: - 73 b4484 + x9284 >= 0 e8968: - 314 b4485 + x9285 <= 0 e8969: - 73 b4485 + x9285 >= 0 e8970: - 314 b4486 + x9286 <= 0 e8971: - 73 b4486 + x9286 >= 0 e8972: - 314 b4487 + x9287 <= 0 e8973: - 73 b4487 + x9287 >= 0 e8974: - 314 b4488 + x9288 <= 0 e8975: - 73 b4488 + x9288 >= 0 e8976: - 314 b4489 + x9289 <= 0 e8977: - 73 b4489 + x9289 >= 0 e8978: - 310 b4490 + x9290 <= 0 e8979: - 95 b4490 + x9290 >= 0 e8980: - 310 b4491 + x9291 <= 0 e8981: - 95 b4491 + x9291 >= 0 e8982: - 310 b4492 + x9292 <= 0 e8983: - 95 b4492 + x9292 >= 0 e8984: - 310 b4493 + x9293 <= 0 e8985: - 95 b4493 + x9293 >= 0 e8986: - 310 b4494 + x9294 <= 0 e8987: - 95 b4494 + x9294 >= 0 e8988: - 310 b4495 + x9295 <= 0 e8989: - 95 b4495 + x9295 >= 0 e8990: - 310 b4496 + x9296 <= 0 e8991: - 95 b4496 + x9296 >= 0 e8992: - 310 b4497 + x9297 <= 0 e8993: - 95 b4497 + x9297 >= 0 e8994: - 310 b4498 + x9298 <= 0 e8995: - 95 b4498 + x9298 >= 0 e8996: - 310 b4499 + x9299 <= 0 e8997: - 95 b4499 + x9299 >= 0 e8998: - 310 b4500 + x9300 <= 0 e8999: - 95 b4500 + x9300 >= 0 e9000: - 310 b4501 + x9301 <= 0 e9001: - 95 b4501 + x9301 >= 0 e9002: - 310 b4502 + x9302 <= 0 e9003: - 95 b4502 + x9302 >= 0 e9004: - 310 b4503 + x9303 <= 0 e9005: - 95 b4503 + x9303 >= 0 e9006: - 310 b4504 + x9304 <= 0 e9007: - 95 b4504 + x9304 >= 0 e9008: - 310 b4505 + x9305 <= 0 e9009: - 95 b4505 + x9305 >= 0 e9010: - 310 b4506 + x9306 <= 0 e9011: - 95 b4506 + x9306 >= 0 e9012: - 310 b4507 + x9307 <= 0 e9013: - 95 b4507 + x9307 >= 0 e9014: - 310 b4508 + x9308 <= 0 e9015: - 95 b4508 + x9308 >= 0 e9016: - 310 b4509 + x9309 <= 0 e9017: - 95 b4509 + x9309 >= 0 e9018: - 310 b4510 + x9310 <= 0 e9019: - 95 b4510 + x9310 >= 0 e9020: - 310 b4511 + x9311 <= 0 e9021: - 95 b4511 + x9311 >= 0 e9022: - 310 b4512 + x9312 <= 0 e9023: - 95 b4512 + x9312 >= 0 e9024: - 310 b4513 + x9313 <= 0 e9025: - 95 b4513 + x9313 >= 0 e9026: - 302 b4514 + x9314 <= 0 e9027: - 97 b4514 + x9314 >= 0 e9028: - 302 b4515 + x9315 <= 0 e9029: - 97 b4515 + x9315 >= 0 e9030: - 302 b4516 + x9316 <= 0 e9031: - 97 b4516 + x9316 >= 0 e9032: - 302 b4517 + x9317 <= 0 e9033: - 97 b4517 + x9317 >= 0 e9034: - 302 b4518 + x9318 <= 0 e9035: - 97 b4518 + x9318 >= 0 e9036: - 302 b4519 + x9319 <= 0 e9037: - 97 b4519 + x9319 >= 0 e9038: - 302 b4520 + x9320 <= 0 e9039: - 97 b4520 + x9320 >= 0 e9040: - 302 b4521 + x9321 <= 0 e9041: - 97 b4521 + x9321 >= 0 e9042: - 302 b4522 + x9322 <= 0 e9043: - 97 b4522 + x9322 >= 0 e9044: - 302 b4523 + x9323 <= 0 e9045: - 97 b4523 + x9323 >= 0 e9046: - 302 b4524 + x9324 <= 0 e9047: - 97 b4524 + x9324 >= 0 e9048: - 302 b4525 + x9325 <= 0 e9049: - 97 b4525 + x9325 >= 0 e9050: - 302 b4526 + x9326 <= 0 e9051: - 97 b4526 + x9326 >= 0 e9052: - 302 b4527 + x9327 <= 0 e9053: - 97 b4527 + x9327 >= 0 e9054: - 302 b4528 + x9328 <= 0 e9055: - 97 b4528 + x9328 >= 0 e9056: - 302 b4529 + x9329 <= 0 e9057: - 97 b4529 + x9329 >= 0 e9058: - 302 b4530 + x9330 <= 0 e9059: - 97 b4530 + x9330 >= 0 e9060: - 302 b4531 + x9331 <= 0 e9061: - 97 b4531 + x9331 >= 0 e9062: - 302 b4532 + x9332 <= 0 e9063: - 97 b4532 + x9332 >= 0 e9064: - 302 b4533 + x9333 <= 0 e9065: - 97 b4533 + x9333 >= 0 e9066: - 302 b4534 + x9334 <= 0 e9067: - 97 b4534 + x9334 >= 0 e9068: - 302 b4535 + x9335 <= 0 e9069: - 97 b4535 + x9335 >= 0 e9070: - 302 b4536 + x9336 <= 0 e9071: - 97 b4536 + x9336 >= 0 e9072: - 302 b4537 + x9337 <= 0 e9073: - 97 b4537 + x9337 >= 0 e9074: - 289 b4538 + x9338 <= 0 e9075: - 74 b4538 + x9338 >= 0 e9076: - 289 b4539 + x9339 <= 0 e9077: - 74 b4539 + x9339 >= 0 e9078: - 289 b4540 + x9340 <= 0 e9079: - 74 b4540 + x9340 >= 0 e9080: - 289 b4541 + x9341 <= 0 e9081: - 74 b4541 + x9341 >= 0 e9082: - 289 b4542 + x9342 <= 0 e9083: - 74 b4542 + x9342 >= 0 e9084: - 289 b4543 + x9343 <= 0 e9085: - 74 b4543 + x9343 >= 0 e9086: - 289 b4544 + x9344 <= 0 e9087: - 74 b4544 + x9344 >= 0 e9088: - 289 b4545 + x9345 <= 0 e9089: - 74 b4545 + x9345 >= 0 e9090: - 289 b4546 + x9346 <= 0 e9091: - 74 b4546 + x9346 >= 0 e9092: - 289 b4547 + x9347 <= 0 e9093: - 74 b4547 + x9347 >= 0 e9094: - 289 b4548 + x9348 <= 0 e9095: - 74 b4548 + x9348 >= 0 e9096: - 289 b4549 + x9349 <= 0 e9097: - 74 b4549 + x9349 >= 0 e9098: - 289 b4550 + x9350 <= 0 e9099: - 74 b4550 + x9350 >= 0 e9100: - 289 b4551 + x9351 <= 0 e9101: - 74 b4551 + x9351 >= 0 e9102: - 289 b4552 + x9352 <= 0 e9103: - 74 b4552 + x9352 >= 0 e9104: - 289 b4553 + x9353 <= 0 e9105: - 74 b4553 + x9353 >= 0 e9106: - 289 b4554 + x9354 <= 0 e9107: - 74 b4554 + x9354 >= 0 e9108: - 289 b4555 + x9355 <= 0 e9109: - 74 b4555 + x9355 >= 0 e9110: - 289 b4556 + x9356 <= 0 e9111: - 74 b4556 + x9356 >= 0 e9112: - 289 b4557 + x9357 <= 0 e9113: - 74 b4557 + x9357 >= 0 e9114: - 289 b4558 + x9358 <= 0 e9115: - 74 b4558 + x9358 >= 0 e9116: - 289 b4559 + x9359 <= 0 e9117: - 74 b4559 + x9359 >= 0 e9118: - 289 b4560 + x9360 <= 0 e9119: - 74 b4560 + x9360 >= 0 e9120: - 289 b4561 + x9361 <= 0 e9121: - 74 b4561 + x9361 >= 0 e9122: - 321 b4562 + x9362 <= 0 e9123: - 96 b4562 + x9362 >= 0 e9124: - 321 b4563 + x9363 <= 0 e9125: - 96 b4563 + x9363 >= 0 e9126: - 321 b4564 + x9364 <= 0 e9127: - 96 b4564 + x9364 >= 0 e9128: - 321 b4565 + x9365 <= 0 e9129: - 96 b4565 + x9365 >= 0 e9130: - 321 b4566 + x9366 <= 0 e9131: - 96 b4566 + x9366 >= 0 e9132: - 321 b4567 + x9367 <= 0 e9133: - 96 b4567 + x9367 >= 0 e9134: - 321 b4568 + x9368 <= 0 e9135: - 96 b4568 + x9368 >= 0 e9136: - 321 b4569 + x9369 <= 0 e9137: - 96 b4569 + x9369 >= 0 e9138: - 321 b4570 + x9370 <= 0 e9139: - 96 b4570 + x9370 >= 0 e9140: - 321 b4571 + x9371 <= 0 e9141: - 96 b4571 + x9371 >= 0 e9142: - 321 b4572 + x9372 <= 0 e9143: - 96 b4572 + x9372 >= 0 e9144: - 321 b4573 + x9373 <= 0 e9145: - 96 b4573 + x9373 >= 0 e9146: - 321 b4574 + x9374 <= 0 e9147: - 96 b4574 + x9374 >= 0 e9148: - 321 b4575 + x9375 <= 0 e9149: - 96 b4575 + x9375 >= 0 e9150: - 321 b4576 + x9376 <= 0 e9151: - 96 b4576 + x9376 >= 0 e9152: - 321 b4577 + x9377 <= 0 e9153: - 96 b4577 + x9377 >= 0 e9154: - 321 b4578 + x9378 <= 0 e9155: - 96 b4578 + x9378 >= 0 e9156: - 321 b4579 + x9379 <= 0 e9157: - 96 b4579 + x9379 >= 0 e9158: - 321 b4580 + x9380 <= 0 e9159: - 96 b4580 + x9380 >= 0 e9160: - 321 b4581 + x9381 <= 0 e9161: - 96 b4581 + x9381 >= 0 e9162: - 321 b4582 + x9382 <= 0 e9163: - 96 b4582 + x9382 >= 0 e9164: - 321 b4583 + x9383 <= 0 e9165: - 96 b4583 + x9383 >= 0 e9166: - 321 b4584 + x9384 <= 0 e9167: - 96 b4584 + x9384 >= 0 e9168: - 321 b4585 + x9385 <= 0 e9169: - 96 b4585 + x9385 >= 0 e9170: - 310 b4586 + x9386 <= 0 e9171: - 78 b4586 + x9386 >= 0 e9172: - 310 b4587 + x9387 <= 0 e9173: - 78 b4587 + x9387 >= 0 e9174: - 310 b4588 + x9388 <= 0 e9175: - 78 b4588 + x9388 >= 0 e9176: - 310 b4589 + x9389 <= 0 e9177: - 78 b4589 + x9389 >= 0 e9178: - 310 b4590 + x9390 <= 0 e9179: - 78 b4590 + x9390 >= 0 e9180: - 310 b4591 + x9391 <= 0 e9181: - 78 b4591 + x9391 >= 0 e9182: - 310 b4592 + x9392 <= 0 e9183: - 78 b4592 + x9392 >= 0 e9184: - 310 b4593 + x9393 <= 0 e9185: - 78 b4593 + x9393 >= 0 e9186: - 310 b4594 + x9394 <= 0 e9187: - 78 b4594 + x9394 >= 0 e9188: - 310 b4595 + x9395 <= 0 e9189: - 78 b4595 + x9395 >= 0 e9190: - 310 b4596 + x9396 <= 0 e9191: - 78 b4596 + x9396 >= 0 e9192: - 310 b4597 + x9397 <= 0 e9193: - 78 b4597 + x9397 >= 0 e9194: - 310 b4598 + x9398 <= 0 e9195: - 78 b4598 + x9398 >= 0 e9196: - 310 b4599 + x9399 <= 0 e9197: - 78 b4599 + x9399 >= 0 e9198: - 310 b4600 + x9400 <= 0 e9199: - 78 b4600 + x9400 >= 0 e9200: - 310 b4601 + x9401 <= 0 e9201: - 78 b4601 + x9401 >= 0 e9202: - 310 b4602 + x9402 <= 0 e9203: - 78 b4602 + x9402 >= 0 e9204: - 310 b4603 + x9403 <= 0 e9205: - 78 b4603 + x9403 >= 0 e9206: - 310 b4604 + x9404 <= 0 e9207: - 78 b4604 + x9404 >= 0 e9208: - 310 b4605 + x9405 <= 0 e9209: - 78 b4605 + x9405 >= 0 e9210: - 310 b4606 + x9406 <= 0 e9211: - 78 b4606 + x9406 >= 0 e9212: - 310 b4607 + x9407 <= 0 e9213: - 78 b4607 + x9407 >= 0 e9214: - 310 b4608 + x9408 <= 0 e9215: - 78 b4608 + x9408 >= 0 e9216: - 310 b4609 + x9409 <= 0 e9217: - 78 b4609 + x9409 >= 0 e9218: - 299 b4610 + x9410 <= 0 e9219: - 94 b4610 + x9410 >= 0 e9220: - 299 b4611 + x9411 <= 0 e9221: - 94 b4611 + x9411 >= 0 e9222: - 299 b4612 + x9412 <= 0 e9223: - 94 b4612 + x9412 >= 0 e9224: - 299 b4613 + x9413 <= 0 e9225: - 94 b4613 + x9413 >= 0 e9226: - 299 b4614 + x9414 <= 0 e9227: - 94 b4614 + x9414 >= 0 e9228: - 299 b4615 + x9415 <= 0 e9229: - 94 b4615 + x9415 >= 0 e9230: - 299 b4616 + x9416 <= 0 e9231: - 94 b4616 + x9416 >= 0 e9232: - 299 b4617 + x9417 <= 0 e9233: - 94 b4617 + x9417 >= 0 e9234: - 299 b4618 + x9418 <= 0 e9235: - 94 b4618 + x9418 >= 0 e9236: - 299 b4619 + x9419 <= 0 e9237: - 94 b4619 + x9419 >= 0 e9238: - 299 b4620 + x9420 <= 0 e9239: - 94 b4620 + x9420 >= 0 e9240: - 299 b4621 + x9421 <= 0 e9241: - 94 b4621 + x9421 >= 0 e9242: - 299 b4622 + x9422 <= 0 e9243: - 94 b4622 + x9422 >= 0 e9244: - 299 b4623 + x9423 <= 0 e9245: - 94 b4623 + x9423 >= 0 e9246: - 299 b4624 + x9424 <= 0 e9247: - 94 b4624 + x9424 >= 0 e9248: - 299 b4625 + x9425 <= 0 e9249: - 94 b4625 + x9425 >= 0 e9250: - 299 b4626 + x9426 <= 0 e9251: - 94 b4626 + x9426 >= 0 e9252: - 299 b4627 + x9427 <= 0 e9253: - 94 b4627 + x9427 >= 0 e9254: - 299 b4628 + x9428 <= 0 e9255: - 94 b4628 + x9428 >= 0 e9256: - 299 b4629 + x9429 <= 0 e9257: - 94 b4629 + x9429 >= 0 e9258: - 299 b4630 + x9430 <= 0 e9259: - 94 b4630 + x9430 >= 0 e9260: - 299 b4631 + x9431 <= 0 e9261: - 94 b4631 + x9431 >= 0 e9262: - 299 b4632 + x9432 <= 0 e9263: - 94 b4632 + x9432 >= 0 e9264: - 299 b4633 + x9433 <= 0 e9265: - 94 b4633 + x9433 >= 0 e9266: - 298 b4634 + x9434 <= 0 e9267: - 85 b4634 + x9434 >= 0 e9268: - 298 b4635 + x9435 <= 0 e9269: - 85 b4635 + x9435 >= 0 e9270: - 298 b4636 + x9436 <= 0 e9271: - 85 b4636 + x9436 >= 0 e9272: - 298 b4637 + x9437 <= 0 e9273: - 85 b4637 + x9437 >= 0 e9274: - 298 b4638 + x9438 <= 0 e9275: - 85 b4638 + x9438 >= 0 e9276: - 298 b4639 + x9439 <= 0 e9277: - 85 b4639 + x9439 >= 0 e9278: - 298 b4640 + x9440 <= 0 e9279: - 85 b4640 + x9440 >= 0 e9280: - 298 b4641 + x9441 <= 0 e9281: - 85 b4641 + x9441 >= 0 e9282: - 298 b4642 + x9442 <= 0 e9283: - 85 b4642 + x9442 >= 0 e9284: - 298 b4643 + x9443 <= 0 e9285: - 85 b4643 + x9443 >= 0 e9286: - 298 b4644 + x9444 <= 0 e9287: - 85 b4644 + x9444 >= 0 e9288: - 298 b4645 + x9445 <= 0 e9289: - 85 b4645 + x9445 >= 0 e9290: - 298 b4646 + x9446 <= 0 e9291: - 85 b4646 + x9446 >= 0 e9292: - 298 b4647 + x9447 <= 0 e9293: - 85 b4647 + x9447 >= 0 e9294: - 298 b4648 + x9448 <= 0 e9295: - 85 b4648 + x9448 >= 0 e9296: - 298 b4649 + x9449 <= 0 e9297: - 85 b4649 + x9449 >= 0 e9298: - 298 b4650 + x9450 <= 0 e9299: - 85 b4650 + x9450 >= 0 e9300: - 298 b4651 + x9451 <= 0 e9301: - 85 b4651 + x9451 >= 0 e9302: - 298 b4652 + x9452 <= 0 e9303: - 85 b4652 + x9452 >= 0 e9304: - 298 b4653 + x9453 <= 0 e9305: - 85 b4653 + x9453 >= 0 e9306: - 298 b4654 + x9454 <= 0 e9307: - 85 b4654 + x9454 >= 0 e9308: - 298 b4655 + x9455 <= 0 e9309: - 85 b4655 + x9455 >= 0 e9310: - 298 b4656 + x9456 <= 0 e9311: - 85 b4656 + x9456 >= 0 e9312: - 298 b4657 + x9457 <= 0 e9313: - 85 b4657 + x9457 >= 0 e9314: - 291 b4658 + x9458 <= 0 e9315: - 83 b4658 + x9458 >= 0 e9316: - 291 b4659 + x9459 <= 0 e9317: - 83 b4659 + x9459 >= 0 e9318: - 291 b4660 + x9460 <= 0 e9319: - 83 b4660 + x9460 >= 0 e9320: - 291 b4661 + x9461 <= 0 e9321: - 83 b4661 + x9461 >= 0 e9322: - 291 b4662 + x9462 <= 0 e9323: - 83 b4662 + x9462 >= 0 e9324: - 291 b4663 + x9463 <= 0 e9325: - 83 b4663 + x9463 >= 0 e9326: - 291 b4664 + x9464 <= 0 e9327: - 83 b4664 + x9464 >= 0 e9328: - 291 b4665 + x9465 <= 0 e9329: - 83 b4665 + x9465 >= 0 e9330: - 291 b4666 + x9466 <= 0 e9331: - 83 b4666 + x9466 >= 0 e9332: - 291 b4667 + x9467 <= 0 e9333: - 83 b4667 + x9467 >= 0 e9334: - 291 b4668 + x9468 <= 0 e9335: - 83 b4668 + x9468 >= 0 e9336: - 291 b4669 + x9469 <= 0 e9337: - 83 b4669 + x9469 >= 0 e9338: - 291 b4670 + x9470 <= 0 e9339: - 83 b4670 + x9470 >= 0 e9340: - 291 b4671 + x9471 <= 0 e9341: - 83 b4671 + x9471 >= 0 e9342: - 291 b4672 + x9472 <= 0 e9343: - 83 b4672 + x9472 >= 0 e9344: - 291 b4673 + x9473 <= 0 e9345: - 83 b4673 + x9473 >= 0 e9346: - 291 b4674 + x9474 <= 0 e9347: - 83 b4674 + x9474 >= 0 e9348: - 291 b4675 + x9475 <= 0 e9349: - 83 b4675 + x9475 >= 0 e9350: - 291 b4676 + x9476 <= 0 e9351: - 83 b4676 + x9476 >= 0 e9352: - 291 b4677 + x9477 <= 0 e9353: - 83 b4677 + x9477 >= 0 e9354: - 291 b4678 + x9478 <= 0 e9355: - 83 b4678 + x9478 >= 0 e9356: - 291 b4679 + x9479 <= 0 e9357: - 83 b4679 + x9479 >= 0 e9358: - 291 b4680 + x9480 <= 0 e9359: - 83 b4680 + x9480 >= 0 e9360: - 291 b4681 + x9481 <= 0 e9361: - 83 b4681 + x9481 >= 0 e9362: - 283 b4682 + x9482 <= 0 e9363: - 75 b4682 + x9482 >= 0 e9364: - 283 b4683 + x9483 <= 0 e9365: - 75 b4683 + x9483 >= 0 e9366: - 283 b4684 + x9484 <= 0 e9367: - 75 b4684 + x9484 >= 0 e9368: - 283 b4685 + x9485 <= 0 e9369: - 75 b4685 + x9485 >= 0 e9370: - 283 b4686 + x9486 <= 0 e9371: - 75 b4686 + x9486 >= 0 e9372: - 283 b4687 + x9487 <= 0 e9373: - 75 b4687 + x9487 >= 0 e9374: - 283 b4688 + x9488 <= 0 e9375: - 75 b4688 + x9488 >= 0 e9376: - 283 b4689 + x9489 <= 0 e9377: - 75 b4689 + x9489 >= 0 e9378: - 283 b4690 + x9490 <= 0 e9379: - 75 b4690 + x9490 >= 0 e9380: - 283 b4691 + x9491 <= 0 e9381: - 75 b4691 + x9491 >= 0 e9382: - 283 b4692 + x9492 <= 0 e9383: - 75 b4692 + x9492 >= 0 e9384: - 283 b4693 + x9493 <= 0 e9385: - 75 b4693 + x9493 >= 0 e9386: - 283 b4694 + x9494 <= 0 e9387: - 75 b4694 + x9494 >= 0 e9388: - 283 b4695 + x9495 <= 0 e9389: - 75 b4695 + x9495 >= 0 e9390: - 283 b4696 + x9496 <= 0 e9391: - 75 b4696 + x9496 >= 0 e9392: - 283 b4697 + x9497 <= 0 e9393: - 75 b4697 + x9497 >= 0 e9394: - 283 b4698 + x9498 <= 0 e9395: - 75 b4698 + x9498 >= 0 e9396: - 283 b4699 + x9499 <= 0 e9397: - 75 b4699 + x9499 >= 0 e9398: - 283 b4700 + x9500 <= 0 e9399: - 75 b4700 + x9500 >= 0 e9400: - 283 b4701 + x9501 <= 0 e9401: - 75 b4701 + x9501 >= 0 e9402: - 283 b4702 + x9502 <= 0 e9403: - 75 b4702 + x9502 >= 0 e9404: - 283 b4703 + x9503 <= 0 e9405: - 75 b4703 + x9503 >= 0 e9406: - 283 b4704 + x9504 <= 0 e9407: - 75 b4704 + x9504 >= 0 e9408: - 283 b4705 + x9505 <= 0 e9409: - 75 b4705 + x9505 >= 0 e9410: - 329 b4706 + x9506 <= 0 e9411: - 94 b4706 + x9506 >= 0 e9412: - 329 b4707 + x9507 <= 0 e9413: - 94 b4707 + x9507 >= 0 e9414: - 329 b4708 + x9508 <= 0 e9415: - 94 b4708 + x9508 >= 0 e9416: - 329 b4709 + x9509 <= 0 e9417: - 94 b4709 + x9509 >= 0 e9418: - 329 b4710 + x9510 <= 0 e9419: - 94 b4710 + x9510 >= 0 e9420: - 329 b4711 + x9511 <= 0 e9421: - 94 b4711 + x9511 >= 0 e9422: - 329 b4712 + x9512 <= 0 e9423: - 94 b4712 + x9512 >= 0 e9424: - 329 b4713 + x9513 <= 0 e9425: - 94 b4713 + x9513 >= 0 e9426: - 329 b4714 + x9514 <= 0 e9427: - 94 b4714 + x9514 >= 0 e9428: - 329 b4715 + x9515 <= 0 e9429: - 94 b4715 + x9515 >= 0 e9430: - 329 b4716 + x9516 <= 0 e9431: - 94 b4716 + x9516 >= 0 e9432: - 329 b4717 + x9517 <= 0 e9433: - 94 b4717 + x9517 >= 0 e9434: - 329 b4718 + x9518 <= 0 e9435: - 94 b4718 + x9518 >= 0 e9436: - 329 b4719 + x9519 <= 0 e9437: - 94 b4719 + x9519 >= 0 e9438: - 329 b4720 + x9520 <= 0 e9439: - 94 b4720 + x9520 >= 0 e9440: - 329 b4721 + x9521 <= 0 e9441: - 94 b4721 + x9521 >= 0 e9442: - 329 b4722 + x9522 <= 0 e9443: - 94 b4722 + x9522 >= 0 e9444: - 329 b4723 + x9523 <= 0 e9445: - 94 b4723 + x9523 >= 0 e9446: - 329 b4724 + x9524 <= 0 e9447: - 94 b4724 + x9524 >= 0 e9448: - 329 b4725 + x9525 <= 0 e9449: - 94 b4725 + x9525 >= 0 e9450: - 329 b4726 + x9526 <= 0 e9451: - 94 b4726 + x9526 >= 0 e9452: - 329 b4727 + x9527 <= 0 e9453: - 94 b4727 + x9527 >= 0 e9454: - 329 b4728 + x9528 <= 0 e9455: - 94 b4728 + x9528 >= 0 e9456: - 329 b4729 + x9529 <= 0 e9457: - 94 b4729 + x9529 >= 0 e9458: - 283 b4730 + x9530 <= 0 e9459: - 73 b4730 + x9530 >= 0 e9460: - 283 b4731 + x9531 <= 0 e9461: - 73 b4731 + x9531 >= 0 e9462: - 283 b4732 + x9532 <= 0 e9463: - 73 b4732 + x9532 >= 0 e9464: - 283 b4733 + x9533 <= 0 e9465: - 73 b4733 + x9533 >= 0 e9466: - 283 b4734 + x9534 <= 0 e9467: - 73 b4734 + x9534 >= 0 e9468: - 283 b4735 + x9535 <= 0 e9469: - 73 b4735 + x9535 >= 0 e9470: - 283 b4736 + x9536 <= 0 e9471: - 73 b4736 + x9536 >= 0 e9472: - 283 b4737 + x9537 <= 0 e9473: - 73 b4737 + x9537 >= 0 e9474: - 283 b4738 + x9538 <= 0 e9475: - 73 b4738 + x9538 >= 0 e9476: - 283 b4739 + x9539 <= 0 e9477: - 73 b4739 + x9539 >= 0 e9478: - 283 b4740 + x9540 <= 0 e9479: - 73 b4740 + x9540 >= 0 e9480: - 283 b4741 + x9541 <= 0 e9481: - 73 b4741 + x9541 >= 0 e9482: - 283 b4742 + x9542 <= 0 e9483: - 73 b4742 + x9542 >= 0 e9484: - 283 b4743 + x9543 <= 0 e9485: - 73 b4743 + x9543 >= 0 e9486: - 283 b4744 + x9544 <= 0 e9487: - 73 b4744 + x9544 >= 0 e9488: - 283 b4745 + x9545 <= 0 e9489: - 73 b4745 + x9545 >= 0 e9490: - 283 b4746 + x9546 <= 0 e9491: - 73 b4746 + x9546 >= 0 e9492: - 283 b4747 + x9547 <= 0 e9493: - 73 b4747 + x9547 >= 0 e9494: - 283 b4748 + x9548 <= 0 e9495: - 73 b4748 + x9548 >= 0 e9496: - 283 b4749 + x9549 <= 0 e9497: - 73 b4749 + x9549 >= 0 e9498: - 283 b4750 + x9550 <= 0 e9499: - 73 b4750 + x9550 >= 0 e9500: - 283 b4751 + x9551 <= 0 e9501: - 73 b4751 + x9551 >= 0 e9502: - 283 b4752 + x9552 <= 0 e9503: - 73 b4752 + x9552 >= 0 e9504: - 283 b4753 + x9553 <= 0 e9505: - 73 b4753 + x9553 >= 0 e9506: - 286 b4754 + x9554 <= 0 e9507: - 96 b4754 + x9554 >= 0 e9508: - 286 b4755 + x9555 <= 0 e9509: - 96 b4755 + x9555 >= 0 e9510: - 286 b4756 + x9556 <= 0 e9511: - 96 b4756 + x9556 >= 0 e9512: - 286 b4757 + x9557 <= 0 e9513: - 96 b4757 + x9557 >= 0 e9514: - 286 b4758 + x9558 <= 0 e9515: - 96 b4758 + x9558 >= 0 e9516: - 286 b4759 + x9559 <= 0 e9517: - 96 b4759 + x9559 >= 0 e9518: - 286 b4760 + x9560 <= 0 e9519: - 96 b4760 + x9560 >= 0 e9520: - 286 b4761 + x9561 <= 0 e9521: - 96 b4761 + x9561 >= 0 e9522: - 286 b4762 + x9562 <= 0 e9523: - 96 b4762 + x9562 >= 0 e9524: - 286 b4763 + x9563 <= 0 e9525: - 96 b4763 + x9563 >= 0 e9526: - 286 b4764 + x9564 <= 0 e9527: - 96 b4764 + x9564 >= 0 e9528: - 286 b4765 + x9565 <= 0 e9529: - 96 b4765 + x9565 >= 0 e9530: - 286 b4766 + x9566 <= 0 e9531: - 96 b4766 + x9566 >= 0 e9532: - 286 b4767 + x9567 <= 0 e9533: - 96 b4767 + x9567 >= 0 e9534: - 286 b4768 + x9568 <= 0 e9535: - 96 b4768 + x9568 >= 0 e9536: - 286 b4769 + x9569 <= 0 e9537: - 96 b4769 + x9569 >= 0 e9538: - 286 b4770 + x9570 <= 0 e9539: - 96 b4770 + x9570 >= 0 e9540: - 286 b4771 + x9571 <= 0 e9541: - 96 b4771 + x9571 >= 0 e9542: - 286 b4772 + x9572 <= 0 e9543: - 96 b4772 + x9572 >= 0 e9544: - 286 b4773 + x9573 <= 0 e9545: - 96 b4773 + x9573 >= 0 e9546: - 286 b4774 + x9574 <= 0 e9547: - 96 b4774 + x9574 >= 0 e9548: - 286 b4775 + x9575 <= 0 e9549: - 96 b4775 + x9575 >= 0 e9550: - 286 b4776 + x9576 <= 0 e9551: - 96 b4776 + x9576 >= 0 e9552: - 286 b4777 + x9577 <= 0 e9553: - 96 b4777 + x9577 >= 0 e9554: - 313 b4778 + x9578 <= 0 e9555: - 75 b4778 + x9578 >= 0 e9556: - 313 b4779 + x9579 <= 0 e9557: - 75 b4779 + x9579 >= 0 e9558: - 313 b4780 + x9580 <= 0 e9559: - 75 b4780 + x9580 >= 0 e9560: - 313 b4781 + x9581 <= 0 e9561: - 75 b4781 + x9581 >= 0 e9562: - 313 b4782 + x9582 <= 0 e9563: - 75 b4782 + x9582 >= 0 e9564: - 313 b4783 + x9583 <= 0 e9565: - 75 b4783 + x9583 >= 0 e9566: - 313 b4784 + x9584 <= 0 e9567: - 75 b4784 + x9584 >= 0 e9568: - 313 b4785 + x9585 <= 0 e9569: - 75 b4785 + x9585 >= 0 e9570: - 313 b4786 + x9586 <= 0 e9571: - 75 b4786 + x9586 >= 0 e9572: - 313 b4787 + x9587 <= 0 e9573: - 75 b4787 + x9587 >= 0 e9574: - 313 b4788 + x9588 <= 0 e9575: - 75 b4788 + x9588 >= 0 e9576: - 313 b4789 + x9589 <= 0 e9577: - 75 b4789 + x9589 >= 0 e9578: - 313 b4790 + x9590 <= 0 e9579: - 75 b4790 + x9590 >= 0 e9580: - 313 b4791 + x9591 <= 0 e9581: - 75 b4791 + x9591 >= 0 e9582: - 313 b4792 + x9592 <= 0 e9583: - 75 b4792 + x9592 >= 0 e9584: - 313 b4793 + x9593 <= 0 e9585: - 75 b4793 + x9593 >= 0 e9586: - 313 b4794 + x9594 <= 0 e9587: - 75 b4794 + x9594 >= 0 e9588: - 313 b4795 + x9595 <= 0 e9589: - 75 b4795 + x9595 >= 0 e9590: - 313 b4796 + x9596 <= 0 e9591: - 75 b4796 + x9596 >= 0 e9592: - 313 b4797 + x9597 <= 0 e9593: - 75 b4797 + x9597 >= 0 e9594: - 313 b4798 + x9598 <= 0 e9595: - 75 b4798 + x9598 >= 0 e9596: - 313 b4799 + x9599 <= 0 e9597: - 75 b4799 + x9599 >= 0 e9598: - 313 b4800 + x9600 <= 0 e9599: - 75 b4800 + x9600 >= 0 e9600: - 313 b4801 + x9601 <= 0 e9601: - 75 b4801 + x9601 >= 0 e9602: x4802 + x4826 + x4850 + x4874 + x4898 + x4922 + x4946 + x4970 + x4994 + x5018 + x5042 + x5066 + x5090 + x5114 + x5138 + x5162 + x5186 + x5210 + x5234 + x5258 + x5282 + x5306 + x5330 + x5354 + x5378 + x5402 + x5426 + x5450 + x5474 + x5498 + x5522 + x5546 + x5570 + x5594 + x5618 + x5642 + x5666 + x5690 + x5714 + x5738 + x5762 + x5786 + x5810 + x5834 + x5858 + x5882 + x5906 + x5930 + x5954 + x5978 + x6002 + x6026 + x6050 + x6074 + x6098 + x6122 + x6146 + x6170 + x6194 + x6218 + x6242 + x6266 + x6290 + x6314 + x6338 + x6362 + x6386 + x6410 + x6434 + x6458 + x6482 + x6506 + x6530 + x6554 + x6578 + x6602 + x6626 + x6650 + x6674 + x6698 + x6722 + x6746 + x6770 + x6794 + x6818 + x6842 + x6866 + x6890 + x6914 + x6938 + x6962 + x6986 + x7010 + x7034 + x7058 + x7082 + x7106 + x7130 + x7154 + x7178 + x7202 + x7226 + x7250 + x7274 + x7298 + x7322 + x7346 + x7370 + x7394 + x7418 + x7442 + x7466 + x7490 + x7514 + x7538 + x7562 + x7586 + x7610 + x7634 + x7658 + x7682 + x7706 + x7730 + x7754 + x7778 + x7802 + x7826 + x7850 + x7874 + x7898 + x7922 + x7946 + x7970 + x7994 + x8018 + x8042 + x8066 + x8090 + x8114 + x8138 + x8162 + x8186 + x8210 + x8234 + x8258 + x8282 + x8306 + x8330 + x8354 + x8378 + x8402 + x8426 + x8450 + x8474 + x8498 + x8522 + x8546 + x8570 + x8594 + x8618 + x8642 + x8666 + x8690 + x8714 + x8738 + x8762 + x8786 + x8810 + x8834 + x8858 + x8882 + x8906 + x8930 + x8954 + x8978 + x9002 + x9026 + x9050 + x9074 + x9098 + x9122 + x9146 + x9170 + x9194 + x9218 + x9242 + x9266 + x9290 + x9314 + x9338 + x9362 + x9386 + x9410 + x9434 + x9458 + x9482 + x9506 + x9530 + x9554 + x9578 >= 16200.326803 e9603: x4803 + x4827 + x4851 + x4875 + x4899 + x4923 + x4947 + x4971 + x4995 + x5019 + x5043 + x5067 + x5091 + x5115 + x5139 + x5163 + x5187 + x5211 + x5235 + x5259 + x5283 + x5307 + x5331 + x5355 + x5379 + x5403 + x5427 + x5451 + x5475 + x5499 + x5523 + x5547 + x5571 + x5595 + x5619 + x5643 + x5667 + x5691 + x5715 + x5739 + x5763 + x5787 + x5811 + x5835 + x5859 + x5883 + x5907 + x5931 + x5955 + x5979 + x6003 + x6027 + x6051 + x6075 + x6099 + x6123 + x6147 + x6171 + x6195 + x6219 + x6243 + x6267 + x6291 + x6315 + x6339 + x6363 + x6387 + x6411 + x6435 + x6459 + x6483 + x6507 + x6531 + x6555 + x6579 + x6603 + x6627 + x6651 + x6675 + x6699 + x6723 + x6747 + x6771 + x6795 + x6819 + x6843 + x6867 + x6891 + x6915 + x6939 + x6963 + x6987 + x7011 + x7035 + x7059 + x7083 + x7107 + x7131 + x7155 + x7179 + x7203 + x7227 + x7251 + x7275 + x7299 + x7323 + x7347 + x7371 + x7395 + x7419 + x7443 + x7467 + x7491 + x7515 + x7539 + x7563 + x7587 + x7611 + x7635 + x7659 + x7683 + x7707 + x7731 + x7755 + x7779 + x7803 + x7827 + x7851 + x7875 + x7899 + x7923 + x7947 + x7971 + x7995 + x8019 + x8043 + x8067 + x8091 + x8115 + x8139 + x8163 + x8187 + x8211 + x8235 + x8259 + x8283 + x8307 + x8331 + x8355 + x8379 + x8403 + x8427 + x8451 + x8475 + x8499 + x8523 + x8547 + x8571 + x8595 + x8619 + x8643 + x8667 + x8691 + x8715 + x8739 + x8763 + x8787 + x8811 + x8835 + x8859 + x8883 + x8907 + x8931 + x8955 + x8979 + x9003 + x9027 + x9051 + x9075 + x9099 + x9123 + x9147 + x9171 + x9195 + x9219 + x9243 + x9267 + x9291 + x9315 + x9339 + x9363 + x9387 + x9411 + x9435 + x9459 + x9483 + x9507 + x9531 + x9555 + x9579 >= 15334.58602 e9604: x4804 + x4828 + x4852 + x4876 + x4900 + x4924 + x4948 + x4972 + x4996 + x5020 + x5044 + x5068 + x5092 + x5116 + x5140 + x5164 + x5188 + x5212 + x5236 + x5260 + x5284 + x5308 + x5332 + x5356 + x5380 + x5404 + x5428 + x5452 + x5476 + x5500 + x5524 + x5548 + x5572 + x5596 + x5620 + x5644 + x5668 + x5692 + x5716 + x5740 + x5764 + x5788 + x5812 + x5836 + x5860 + x5884 + x5908 + x5932 + x5956 + x5980 + x6004 + x6028 + x6052 + x6076 + x6100 + x6124 + x6148 + x6172 + x6196 + x6220 + x6244 + x6268 + x6292 + x6316 + x6340 + x6364 + x6388 + x6412 + x6436 + x6460 + x6484 + x6508 + x6532 + x6556 + x6580 + x6604 + x6628 + x6652 + x6676 + x6700 + x6724 + x6748 + x6772 + x6796 + x6820 + x6844 + x6868 + x6892 + x6916 + x6940 + x6964 + x6988 + x7012 + x7036 + x7060 + x7084 + x7108 + x7132 + x7156 + x7180 + x7204 + x7228 + x7252 + x7276 + x7300 + x7324 + x7348 + x7372 + x7396 + x7420 + x7444 + x7468 + x7492 + x7516 + x7540 + x7564 + x7588 + x7612 + x7636 + x7660 + x7684 + x7708 + x7732 + x7756 + x7780 + x7804 + x7828 + x7852 + x7876 + x7900 + x7924 + x7948 + x7972 + x7996 + x8020 + x8044 + x8068 + x8092 + x8116 + x8140 + x8164 + x8188 + x8212 + x8236 + x8260 + x8284 + x8308 + x8332 + x8356 + x8380 + x8404 + x8428 + x8452 + x8476 + x8500 + x8524 + x8548 + x8572 + x8596 + x8620 + x8644 + x8668 + x8692 + x8716 + x8740 + x8764 + x8788 + x8812 + x8836 + x8860 + x8884 + x8908 + x8932 + x8956 + x8980 + x9004 + x9028 + x9052 + x9076 + x9100 + x9124 + x9148 + x9172 + x9196 + x9220 + x9244 + x9268 + x9292 + x9316 + x9340 + x9364 + x9388 + x9412 + x9436 + x9460 + x9484 + x9508 + x9532 + x9556 + x9580 >= 12513.00269 e9605: x4805 + x4829 + x4853 + x4877 + x4901 + x4925 + x4949 + x4973 + x4997 + x5021 + x5045 + x5069 + x5093 + x5117 + x5141 + x5165 + x5189 + x5213 + x5237 + x5261 + x5285 + x5309 + x5333 + x5357 + x5381 + x5405 + x5429 + x5453 + x5477 + x5501 + x5525 + x5549 + x5573 + x5597 + x5621 + x5645 + x5669 + x5693 + x5717 + x5741 + x5765 + x5789 + x5813 + x5837 + x5861 + x5885 + x5909 + x5933 + x5957 + x5981 + x6005 + x6029 + x6053 + x6077 + x6101 + x6125 + x6149 + x6173 + x6197 + x6221 + x6245 + x6269 + x6293 + x6317 + x6341 + x6365 + x6389 + x6413 + x6437 + x6461 + x6485 + x6509 + x6533 + x6557 + x6581 + x6605 + x6629 + x6653 + x6677 + x6701 + x6725 + x6749 + x6773 + x6797 + x6821 + x6845 + x6869 + x6893 + x6917 + x6941 + x6965 + x6989 + x7013 + x7037 + x7061 + x7085 + x7109 + x7133 + x7157 + x7181 + x7205 + x7229 + x7253 + x7277 + x7301 + x7325 + x7349 + x7373 + x7397 + x7421 + x7445 + x7469 + x7493 + x7517 + x7541 + x7565 + x7589 + x7613 + x7637 + x7661 + x7685 + x7709 + x7733 + x7757 + x7781 + x7805 + x7829 + x7853 + x7877 + x7901 + x7925 + x7949 + x7973 + x7997 + x8021 + x8045 + x8069 + x8093 + x8117 + x8141 + x8165 + x8189 + x8213 + x8237 + x8261 + x8285 + x8309 + x8333 + x8357 + x8381 + x8405 + x8429 + x8453 + x8477 + x8501 + x8525 + x8549 + x8573 + x8597 + x8621 + x8645 + x8669 + x8693 + x8717 + x8741 + x8765 + x8789 + x8813 + x8837 + x8861 + x8885 + x8909 + x8933 + x8957 + x8981 + x9005 + x9029 + x9053 + x9077 + x9101 + x9125 + x9149 + x9173 + x9197 + x9221 + x9245 + x9269 + x9293 + x9317 + x9341 + x9365 + x9389 + x9413 + x9437 + x9461 + x9485 + x9509 + x9533 + x9557 + x9581 >= 12422.770548 e9606: x4806 + x4830 + x4854 + x4878 + x4902 + x4926 + x4950 + x4974 + x4998 + x5022 + x5046 + x5070 + x5094 + x5118 + x5142 + x5166 + x5190 + x5214 + x5238 + x5262 + x5286 + x5310 + x5334 + x5358 + x5382 + x5406 + x5430 + x5454 + x5478 + x5502 + x5526 + x5550 + x5574 + x5598 + x5622 + x5646 + x5670 + x5694 + x5718 + x5742 + x5766 + x5790 + x5814 + x5838 + x5862 + x5886 + x5910 + x5934 + x5958 + x5982 + x6006 + x6030 + x6054 + x6078 + x6102 + x6126 + x6150 + x6174 + x6198 + x6222 + x6246 + x6270 + x6294 + x6318 + x6342 + x6366 + x6390 + x6414 + x6438 + x6462 + x6486 + x6510 + x6534 + x6558 + x6582 + x6606 + x6630 + x6654 + x6678 + x6702 + x6726 + x6750 + x6774 + x6798 + x6822 + x6846 + x6870 + x6894 + x6918 + x6942 + x6966 + x6990 + x7014 + x7038 + x7062 + x7086 + x7110 + x7134 + x7158 + x7182 + x7206 + x7230 + x7254 + x7278 + x7302 + x7326 + x7350 + x7374 + x7398 + x7422 + x7446 + x7470 + x7494 + x7518 + x7542 + x7566 + x7590 + x7614 + x7638 + x7662 + x7686 + x7710 + x7734 + x7758 + x7782 + x7806 + x7830 + x7854 + x7878 + x7902 + x7926 + x7950 + x7974 + x7998 + x8022 + x8046 + x8070 + x8094 + x8118 + x8142 + x8166 + x8190 + x8214 + x8238 + x8262 + x8286 + x8310 + x8334 + x8358 + x8382 + x8406 + x8430 + x8454 + x8478 + x8502 + x8526 + x8550 + x8574 + x8598 + x8622 + x8646 + x8670 + x8694 + x8718 + x8742 + x8766 + x8790 + x8814 + x8838 + x8862 + x8886 + x8910 + x8934 + x8958 + x8982 + x9006 + x9030 + x9054 + x9078 + x9102 + x9126 + x9150 + x9174 + x9198 + x9222 + x9246 + x9270 + x9294 + x9318 + x9342 + x9366 + x9390 + x9414 + x9438 + x9462 + x9486 + x9510 + x9534 + x9558 + x9582 >= 12644.69283 e9607: x4807 + x4831 + x4855 + x4879 + x4903 + x4927 + x4951 + x4975 + x4999 + x5023 + x5047 + x5071 + x5095 + x5119 + x5143 + x5167 + x5191 + x5215 + x5239 + x5263 + x5287 + x5311 + x5335 + x5359 + x5383 + x5407 + x5431 + x5455 + x5479 + x5503 + x5527 + x5551 + x5575 + x5599 + x5623 + x5647 + x5671 + x5695 + x5719 + x5743 + x5767 + x5791 + x5815 + x5839 + x5863 + x5887 + x5911 + x5935 + x5959 + x5983 + x6007 + x6031 + x6055 + x6079 + x6103 + x6127 + x6151 + x6175 + x6199 + x6223 + x6247 + x6271 + x6295 + x6319 + x6343 + x6367 + x6391 + x6415 + x6439 + x6463 + x6487 + x6511 + x6535 + x6559 + x6583 + x6607 + x6631 + x6655 + x6679 + x6703 + x6727 + x6751 + x6775 + x6799 + x6823 + x6847 + x6871 + x6895 + x6919 + x6943 + x6967 + x6991 + x7015 + x7039 + x7063 + x7087 + x7111 + x7135 + x7159 + x7183 + x7207 + x7231 + x7255 + x7279 + x7303 + x7327 + x7351 + x7375 + x7399 + x7423 + x7447 + x7471 + x7495 + x7519 + x7543 + x7567 + x7591 + x7615 + x7639 + x7663 + x7687 + x7711 + x7735 + x7759 + x7783 + x7807 + x7831 + x7855 + x7879 + x7903 + x7927 + x7951 + x7975 + x7999 + x8023 + x8047 + x8071 + x8095 + x8119 + x8143 + x8167 + x8191 + x8215 + x8239 + x8263 + x8287 + x8311 + x8335 + x8359 + x8383 + x8407 + x8431 + x8455 + x8479 + x8503 + x8527 + x8551 + x8575 + x8599 + x8623 + x8647 + x8671 + x8695 + x8719 + x8743 + x8767 + x8791 + x8815 + x8839 + x8863 + x8887 + x8911 + x8935 + x8959 + x8983 + x9007 + x9031 + x9055 + x9079 + x9103 + x9127 + x9151 + x9175 + x9199 + x9223 + x9247 + x9271 + x9295 + x9319 + x9343 + x9367 + x9391 + x9415 + x9439 + x9463 + x9487 + x9511 + x9535 + x9559 + x9583 >= 13622.614104 e9608: x4808 + x4832 + x4856 + x4880 + x4904 + x4928 + x4952 + x4976 + x5000 + x5024 + x5048 + x5072 + x5096 + x5120 + x5144 + x5168 + x5192 + x5216 + x5240 + x5264 + x5288 + x5312 + x5336 + x5360 + x5384 + x5408 + x5432 + x5456 + x5480 + x5504 + x5528 + x5552 + x5576 + x5600 + x5624 + x5648 + x5672 + x5696 + x5720 + x5744 + x5768 + x5792 + x5816 + x5840 + x5864 + x5888 + x5912 + x5936 + x5960 + x5984 + x6008 + x6032 + x6056 + x6080 + x6104 + x6128 + x6152 + x6176 + x6200 + x6224 + x6248 + x6272 + x6296 + x6320 + x6344 + x6368 + x6392 + x6416 + x6440 + x6464 + x6488 + x6512 + x6536 + x6560 + x6584 + x6608 + x6632 + x6656 + x6680 + x6704 + x6728 + x6752 + x6776 + x6800 + x6824 + x6848 + x6872 + x6896 + x6920 + x6944 + x6968 + x6992 + x7016 + x7040 + x7064 + x7088 + x7112 + x7136 + x7160 + x7184 + x7208 + x7232 + x7256 + x7280 + x7304 + x7328 + x7352 + x7376 + x7400 + x7424 + x7448 + x7472 + x7496 + x7520 + x7544 + x7568 + x7592 + x7616 + x7640 + x7664 + x7688 + x7712 + x7736 + x7760 + x7784 + x7808 + x7832 + x7856 + x7880 + x7904 + x7928 + x7952 + x7976 + x8000 + x8024 + x8048 + x8072 + x8096 + x8120 + x8144 + x8168 + x8192 + x8216 + x8240 + x8264 + x8288 + x8312 + x8336 + x8360 + x8384 + x8408 + x8432 + x8456 + x8480 + x8504 + x8528 + x8552 + x8576 + x8600 + x8624 + x8648 + x8672 + x8696 + x8720 + x8744 + x8768 + x8792 + x8816 + x8840 + x8864 + x8888 + x8912 + x8936 + x8960 + x8984 + x9008 + x9032 + x9056 + x9080 + x9104 + x9128 + x9152 + x9176 + x9200 + x9224 + x9248 + x9272 + x9296 + x9320 + x9344 + x9368 + x9392 + x9416 + x9440 + x9464 + x9488 + x9512 + x9536 + x9560 + x9584 >= 16290.558946 e9609: x4809 + x4833 + x4857 + x4881 + x4905 + x4929 + x4953 + x4977 + x5001 + x5025 + x5049 + x5073 + x5097 + x5121 + x5145 + x5169 + x5193 + x5217 + x5241 + x5265 + x5289 + x5313 + x5337 + x5361 + x5385 + x5409 + x5433 + x5457 + x5481 + x5505 + x5529 + x5553 + x5577 + x5601 + x5625 + x5649 + x5673 + x5697 + x5721 + x5745 + x5769 + x5793 + x5817 + x5841 + x5865 + x5889 + x5913 + x5937 + x5961 + x5985 + x6009 + x6033 + x6057 + x6081 + x6105 + x6129 + x6153 + x6177 + x6201 + x6225 + x6249 + x6273 + x6297 + x6321 + x6345 + x6369 + x6393 + x6417 + x6441 + x6465 + x6489 + x6513 + x6537 + x6561 + x6585 + x6609 + x6633 + x6657 + x6681 + x6705 + x6729 + x6753 + x6777 + x6801 + x6825 + x6849 + x6873 + x6897 + x6921 + x6945 + x6969 + x6993 + x7017 + x7041 + x7065 + x7089 + x7113 + x7137 + x7161 + x7185 + x7209 + x7233 + x7257 + x7281 + x7305 + x7329 + x7353 + x7377 + x7401 + x7425 + x7449 + x7473 + x7497 + x7521 + x7545 + x7569 + x7593 + x7617 + x7641 + x7665 + x7689 + x7713 + x7737 + x7761 + x7785 + x7809 + x7833 + x7857 + x7881 + x7905 + x7929 + x7953 + x7977 + x8001 + x8025 + x8049 + x8073 + x8097 + x8121 + x8145 + x8169 + x8193 + x8217 + x8241 + x8265 + x8289 + x8313 + x8337 + x8361 + x8385 + x8409 + x8433 + x8457 + x8481 + x8505 + x8529 + x8553 + x8577 + x8601 + x8625 + x8649 + x8673 + x8697 + x8721 + x8745 + x8769 + x8793 + x8817 + x8841 + x8865 + x8889 + x8913 + x8937 + x8961 + x8985 + x9009 + x9033 + x9057 + x9081 + x9105 + x9129 + x9153 + x9177 + x9201 + x9225 + x9249 + x9273 + x9297 + x9321 + x9345 + x9369 + x9393 + x9417 + x9441 + x9465 + x9489 + x9513 + x9537 + x9561 + x9585 >= 19887.650916 e9610: x4810 + x4834 + x4858 + x4882 + x4906 + x4930 + x4954 + x4978 + x5002 + x5026 + x5050 + x5074 + x5098 + x5122 + x5146 + x5170 + x5194 + x5218 + x5242 + x5266 + x5290 + x5314 + x5338 + x5362 + x5386 + x5410 + x5434 + x5458 + x5482 + x5506 + x5530 + x5554 + x5578 + x5602 + x5626 + x5650 + x5674 + x5698 + x5722 + x5746 + x5770 + x5794 + x5818 + x5842 + x5866 + x5890 + x5914 + x5938 + x5962 + x5986 + x6010 + x6034 + x6058 + x6082 + x6106 + x6130 + x6154 + x6178 + x6202 + x6226 + x6250 + x6274 + x6298 + x6322 + x6346 + x6370 + x6394 + x6418 + x6442 + x6466 + x6490 + x6514 + x6538 + x6562 + x6586 + x6610 + x6634 + x6658 + x6682 + x6706 + x6730 + x6754 + x6778 + x6802 + x6826 + x6850 + x6874 + x6898 + x6922 + x6946 + x6970 + x6994 + x7018 + x7042 + x7066 + x7090 + x7114 + x7138 + x7162 + x7186 + x7210 + x7234 + x7258 + x7282 + x7306 + x7330 + x7354 + x7378 + x7402 + x7426 + x7450 + x7474 + x7498 + x7522 + x7546 + x7570 + x7594 + x7618 + x7642 + x7666 + x7690 + x7714 + x7738 + x7762 + x7786 + x7810 + x7834 + x7858 + x7882 + x7906 + x7930 + x7954 + x7978 + x8002 + x8026 + x8050 + x8074 + x8098 + x8122 + x8146 + x8170 + x8194 + x8218 + x8242 + x8266 + x8290 + x8314 + x8338 + x8362 + x8386 + x8410 + x8434 + x8458 + x8482 + x8506 + x8530 + x8554 + x8578 + x8602 + x8626 + x8650 + x8674 + x8698 + x8722 + x8746 + x8770 + x8794 + x8818 + x8842 + x8866 + x8890 + x8914 + x8938 + x8962 + x8986 + x9010 + x9034 + x9058 + x9082 + x9106 + x9130 + x9154 + x9178 + x9202 + x9226 + x9250 + x9274 + x9298 + x9322 + x9346 + x9370 + x9394 + x9418 + x9442 + x9466 + x9490 + x9514 + x9538 + x9562 + x9586 >= 23226.240022 e9611: x4811 + x4835 + x4859 + x4883 + x4907 + x4931 + x4955 + x4979 + x5003 + x5027 + x5051 + x5075 + x5099 + x5123 + x5147 + x5171 + x5195 + x5219 + x5243 + x5267 + x5291 + x5315 + x5339 + x5363 + x5387 + x5411 + x5435 + x5459 + x5483 + x5507 + x5531 + x5555 + x5579 + x5603 + x5627 + x5651 + x5675 + x5699 + x5723 + x5747 + x5771 + x5795 + x5819 + x5843 + x5867 + x5891 + x5915 + x5939 + x5963 + x5987 + x6011 + x6035 + x6059 + x6083 + x6107 + x6131 + x6155 + x6179 + x6203 + x6227 + x6251 + x6275 + x6299 + x6323 + x6347 + x6371 + x6395 + x6419 + x6443 + x6467 + x6491 + x6515 + x6539 + x6563 + x6587 + x6611 + x6635 + x6659 + x6683 + x6707 + x6731 + x6755 + x6779 + x6803 + x6827 + x6851 + x6875 + x6899 + x6923 + x6947 + x6971 + x6995 + x7019 + x7043 + x7067 + x7091 + x7115 + x7139 + x7163 + x7187 + x7211 + x7235 + x7259 + x7283 + x7307 + x7331 + x7355 + x7379 + x7403 + x7427 + x7451 + x7475 + x7499 + x7523 + x7547 + x7571 + x7595 + x7619 + x7643 + x7667 + x7691 + x7715 + x7739 + x7763 + x7787 + x7811 + x7835 + x7859 + x7883 + x7907 + x7931 + x7955 + x7979 + x8003 + x8027 + x8051 + x8075 + x8099 + x8123 + x8147 + x8171 + x8195 + x8219 + x8243 + x8267 + x8291 + x8315 + x8339 + x8363 + x8387 + x8411 + x8435 + x8459 + x8483 + x8507 + x8531 + x8555 + x8579 + x8603 + x8627 + x8651 + x8675 + x8699 + x8723 + x8747 + x8771 + x8795 + x8819 + x8843 + x8867 + x8891 + x8915 + x8939 + x8963 + x8987 + x9011 + x9035 + x9059 + x9083 + x9107 + x9131 + x9155 + x9179 + x9203 + x9227 + x9251 + x9275 + x9299 + x9323 + x9347 + x9371 + x9395 + x9419 + x9443 + x9467 + x9491 + x9515 + x9539 + x9563 + x9587 >= 24265.128957 e9612: x4812 + x4836 + x4860 + x4884 + x4908 + x4932 + x4956 + x4980 + x5004 + x5028 + x5052 + x5076 + x5100 + x5124 + x5148 + x5172 + x5196 + x5220 + x5244 + x5268 + x5292 + x5316 + x5340 + x5364 + x5388 + x5412 + x5436 + x5460 + x5484 + x5508 + x5532 + x5556 + x5580 + x5604 + x5628 + x5652 + x5676 + x5700 + x5724 + x5748 + x5772 + x5796 + x5820 + x5844 + x5868 + x5892 + x5916 + x5940 + x5964 + x5988 + x6012 + x6036 + x6060 + x6084 + x6108 + x6132 + x6156 + x6180 + x6204 + x6228 + x6252 + x6276 + x6300 + x6324 + x6348 + x6372 + x6396 + x6420 + x6444 + x6468 + x6492 + x6516 + x6540 + x6564 + x6588 + x6612 + x6636 + x6660 + x6684 + x6708 + x6732 + x6756 + x6780 + x6804 + x6828 + x6852 + x6876 + x6900 + x6924 + x6948 + x6972 + x6996 + x7020 + x7044 + x7068 + x7092 + x7116 + x7140 + x7164 + x7188 + x7212 + x7236 + x7260 + x7284 + x7308 + x7332 + x7356 + x7380 + x7404 + x7428 + x7452 + x7476 + x7500 + x7524 + x7548 + x7572 + x7596 + x7620 + x7644 + x7668 + x7692 + x7716 + x7740 + x7764 + x7788 + x7812 + x7836 + x7860 + x7884 + x7908 + x7932 + x7956 + x7980 + x8004 + x8028 + x8052 + x8076 + x8100 + x8124 + x8148 + x8172 + x8196 + x8220 + x8244 + x8268 + x8292 + x8316 + x8340 + x8364 + x8388 + x8412 + x8436 + x8460 + x8484 + x8508 + x8532 + x8556 + x8580 + x8604 + x8628 + x8652 + x8676 + x8700 + x8724 + x8748 + x8772 + x8796 + x8820 + x8844 + x8868 + x8892 + x8916 + x8940 + x8964 + x8988 + x9012 + x9036 + x9060 + x9084 + x9108 + x9132 + x9156 + x9180 + x9204 + x9228 + x9252 + x9276 + x9300 + x9324 + x9348 + x9372 + x9396 + x9420 + x9444 + x9468 + x9492 + x9516 + x9540 + x9564 + x9588 >= 24387.064281 e9613: x4813 + x4837 + x4861 + x4885 + x4909 + x4933 + x4957 + x4981 + x5005 + x5029 + x5053 + x5077 + x5101 + x5125 + x5149 + x5173 + x5197 + x5221 + x5245 + x5269 + x5293 + x5317 + x5341 + x5365 + x5389 + x5413 + x5437 + x5461 + x5485 + x5509 + x5533 + x5557 + x5581 + x5605 + x5629 + x5653 + x5677 + x5701 + x5725 + x5749 + x5773 + x5797 + x5821 + x5845 + x5869 + x5893 + x5917 + x5941 + x5965 + x5989 + x6013 + x6037 + x6061 + x6085 + x6109 + x6133 + x6157 + x6181 + x6205 + x6229 + x6253 + x6277 + x6301 + x6325 + x6349 + x6373 + x6397 + x6421 + x6445 + x6469 + x6493 + x6517 + x6541 + x6565 + x6589 + x6613 + x6637 + x6661 + x6685 + x6709 + x6733 + x6757 + x6781 + x6805 + x6829 + x6853 + x6877 + x6901 + x6925 + x6949 + x6973 + x6997 + x7021 + x7045 + x7069 + x7093 + x7117 + x7141 + x7165 + x7189 + x7213 + x7237 + x7261 + x7285 + x7309 + x7333 + x7357 + x7381 + x7405 + x7429 + x7453 + x7477 + x7501 + x7525 + x7549 + x7573 + x7597 + x7621 + x7645 + x7669 + x7693 + x7717 + x7741 + x7765 + x7789 + x7813 + x7837 + x7861 + x7885 + x7909 + x7933 + x7957 + x7981 + x8005 + x8029 + x8053 + x8077 + x8101 + x8125 + x8149 + x8173 + x8197 + x8221 + x8245 + x8269 + x8293 + x8317 + x8341 + x8365 + x8389 + x8413 + x8437 + x8461 + x8485 + x8509 + x8533 + x8557 + x8581 + x8605 + x8629 + x8653 + x8677 + x8701 + x8725 + x8749 + x8773 + x8797 + x8821 + x8845 + x8869 + x8893 + x8917 + x8941 + x8965 + x8989 + x9013 + x9037 + x9061 + x9085 + x9109 + x9133 + x9157 + x9181 + x9205 + x9229 + x9253 + x9277 + x9301 + x9325 + x9349 + x9373 + x9397 + x9421 + x9445 + x9469 + x9493 + x9517 + x9541 + x9565 + x9589 >= 24711.070817 e9614: x4814 + x4838 + x4862 + x4886 + x4910 + x4934 + x4958 + x4982 + x5006 + x5030 + x5054 + x5078 + x5102 + x5126 + x5150 + x5174 + x5198 + x5222 + x5246 + x5270 + x5294 + x5318 + x5342 + x5366 + x5390 + x5414 + x5438 + x5462 + x5486 + x5510 + x5534 + x5558 + x5582 + x5606 + x5630 + x5654 + x5678 + x5702 + x5726 + x5750 + x5774 + x5798 + x5822 + x5846 + x5870 + x5894 + x5918 + x5942 + x5966 + x5990 + x6014 + x6038 + x6062 + x6086 + x6110 + x6134 + x6158 + x6182 + x6206 + x6230 + x6254 + x6278 + x6302 + x6326 + x6350 + x6374 + x6398 + x6422 + x6446 + x6470 + x6494 + x6518 + x6542 + x6566 + x6590 + x6614 + x6638 + x6662 + x6686 + x6710 + x6734 + x6758 + x6782 + x6806 + x6830 + x6854 + x6878 + x6902 + x6926 + x6950 + x6974 + x6998 + x7022 + x7046 + x7070 + x7094 + x7118 + x7142 + x7166 + x7190 + x7214 + x7238 + x7262 + x7286 + x7310 + x7334 + x7358 + x7382 + x7406 + x7430 + x7454 + x7478 + x7502 + x7526 + x7550 + x7574 + x7598 + x7622 + x7646 + x7670 + x7694 + x7718 + x7742 + x7766 + x7790 + x7814 + x7838 + x7862 + x7886 + x7910 + x7934 + x7958 + x7982 + x8006 + x8030 + x8054 + x8078 + x8102 + x8126 + x8150 + x8174 + x8198 + x8222 + x8246 + x8270 + x8294 + x8318 + x8342 + x8366 + x8390 + x8414 + x8438 + x8462 + x8486 + x8510 + x8534 + x8558 + x8582 + x8606 + x8630 + x8654 + x8678 + x8702 + x8726 + x8750 + x8774 + x8798 + x8822 + x8846 + x8870 + x8894 + x8918 + x8942 + x8966 + x8990 + x9014 + x9038 + x9062 + x9086 + x9110 + x9134 + x9158 + x9182 + x9206 + x9230 + x9254 + x9278 + x9302 + x9326 + x9350 + x9374 + x9398 + x9422 + x9446 + x9470 + x9494 + x9518 + x9542 + x9566 + x9590 >= 24279.761206 e9615: x4815 + x4839 + x4863 + x4887 + x4911 + x4935 + x4959 + x4983 + x5007 + x5031 + x5055 + x5079 + x5103 + x5127 + x5151 + x5175 + x5199 + x5223 + x5247 + x5271 + x5295 + x5319 + x5343 + x5367 + x5391 + x5415 + x5439 + x5463 + x5487 + x5511 + x5535 + x5559 + x5583 + x5607 + x5631 + x5655 + x5679 + x5703 + x5727 + x5751 + x5775 + x5799 + x5823 + x5847 + x5871 + x5895 + x5919 + x5943 + x5967 + x5991 + x6015 + x6039 + x6063 + x6087 + x6111 + x6135 + x6159 + x6183 + x6207 + x6231 + x6255 + x6279 + x6303 + x6327 + x6351 + x6375 + x6399 + x6423 + x6447 + x6471 + x6495 + x6519 + x6543 + x6567 + x6591 + x6615 + x6639 + x6663 + x6687 + x6711 + x6735 + x6759 + x6783 + x6807 + x6831 + x6855 + x6879 + x6903 + x6927 + x6951 + x6975 + x6999 + x7023 + x7047 + x7071 + x7095 + x7119 + x7143 + x7167 + x7191 + x7215 + x7239 + x7263 + x7287 + x7311 + x7335 + x7359 + x7383 + x7407 + x7431 + x7455 + x7479 + x7503 + x7527 + x7551 + x7575 + x7599 + x7623 + x7647 + x7671 + x7695 + x7719 + x7743 + x7767 + x7791 + x7815 + x7839 + x7863 + x7887 + x7911 + x7935 + x7959 + x7983 + x8007 + x8031 + x8055 + x8079 + x8103 + x8127 + x8151 + x8175 + x8199 + x8223 + x8247 + x8271 + x8295 + x8319 + x8343 + x8367 + x8391 + x8415 + x8439 + x8463 + x8487 + x8511 + x8535 + x8559 + x8583 + x8607 + x8631 + x8655 + x8679 + x8703 + x8727 + x8751 + x8775 + x8799 + x8823 + x8847 + x8871 + x8895 + x8919 + x8943 + x8967 + x8991 + x9015 + x9039 + x9063 + x9087 + x9111 + x9135 + x9159 + x9183 + x9207 + x9231 + x9255 + x9279 + x9303 + x9327 + x9351 + x9375 + x9399 + x9423 + x9447 + x9471 + x9495 + x9519 + x9543 + x9567 + x9591 >= 22331.234758 e9616: x4816 + x4840 + x4864 + x4888 + x4912 + x4936 + x4960 + x4984 + x5008 + x5032 + x5056 + x5080 + x5104 + x5128 + x5152 + x5176 + x5200 + x5224 + x5248 + x5272 + x5296 + x5320 + x5344 + x5368 + x5392 + x5416 + x5440 + x5464 + x5488 + x5512 + x5536 + x5560 + x5584 + x5608 + x5632 + x5656 + x5680 + x5704 + x5728 + x5752 + x5776 + x5800 + x5824 + x5848 + x5872 + x5896 + x5920 + x5944 + x5968 + x5992 + x6016 + x6040 + x6064 + x6088 + x6112 + x6136 + x6160 + x6184 + x6208 + x6232 + x6256 + x6280 + x6304 + x6328 + x6352 + x6376 + x6400 + x6424 + x6448 + x6472 + x6496 + x6520 + x6544 + x6568 + x6592 + x6616 + x6640 + x6664 + x6688 + x6712 + x6736 + x6760 + x6784 + x6808 + x6832 + x6856 + x6880 + x6904 + x6928 + x6952 + x6976 + x7000 + x7024 + x7048 + x7072 + x7096 + x7120 + x7144 + x7168 + x7192 + x7216 + x7240 + x7264 + x7288 + x7312 + x7336 + x7360 + x7384 + x7408 + x7432 + x7456 + x7480 + x7504 + x7528 + x7552 + x7576 + x7600 + x7624 + x7648 + x7672 + x7696 + x7720 + x7744 + x7768 + x7792 + x7816 + x7840 + x7864 + x7888 + x7912 + x7936 + x7960 + x7984 + x8008 + x8032 + x8056 + x8080 + x8104 + x8128 + x8152 + x8176 + x8200 + x8224 + x8248 + x8272 + x8296 + x8320 + x8344 + x8368 + x8392 + x8416 + x8440 + x8464 + x8488 + x8512 + x8536 + x8560 + x8584 + x8608 + x8632 + x8656 + x8680 + x8704 + x8728 + x8752 + x8776 + x8800 + x8824 + x8848 + x8872 + x8896 + x8920 + x8944 + x8968 + x8992 + x9016 + x9040 + x9064 + x9088 + x9112 + x9136 + x9160 + x9184 + x9208 + x9232 + x9256 + x9280 + x9304 + x9328 + x9352 + x9376 + x9400 + x9424 + x9448 + x9472 + x9496 + x9520 + x9544 + x9568 + x9592 >= 23023.827387 e9617: x4817 + x4841 + x4865 + x4889 + x4913 + x4937 + x4961 + x4985 + x5009 + x5033 + x5057 + x5081 + x5105 + x5129 + x5153 + x5177 + x5201 + x5225 + x5249 + x5273 + x5297 + x5321 + x5345 + x5369 + x5393 + x5417 + x5441 + x5465 + x5489 + x5513 + x5537 + x5561 + x5585 + x5609 + x5633 + x5657 + x5681 + x5705 + x5729 + x5753 + x5777 + x5801 + x5825 + x5849 + x5873 + x5897 + x5921 + x5945 + x5969 + x5993 + x6017 + x6041 + x6065 + x6089 + x6113 + x6137 + x6161 + x6185 + x6209 + x6233 + x6257 + x6281 + x6305 + x6329 + x6353 + x6377 + x6401 + x6425 + x6449 + x6473 + x6497 + x6521 + x6545 + x6569 + x6593 + x6617 + x6641 + x6665 + x6689 + x6713 + x6737 + x6761 + x6785 + x6809 + x6833 + x6857 + x6881 + x6905 + x6929 + x6953 + x6977 + x7001 + x7025 + x7049 + x7073 + x7097 + x7121 + x7145 + x7169 + x7193 + x7217 + x7241 + x7265 + x7289 + x7313 + x7337 + x7361 + x7385 + x7409 + x7433 + x7457 + x7481 + x7505 + x7529 + x7553 + x7577 + x7601 + x7625 + x7649 + x7673 + x7697 + x7721 + x7745 + x7769 + x7793 + x7817 + x7841 + x7865 + x7889 + x7913 + x7937 + x7961 + x7985 + x8009 + x8033 + x8057 + x8081 + x8105 + x8129 + x8153 + x8177 + x8201 + x8225 + x8249 + x8273 + x8297 + x8321 + x8345 + x8369 + x8393 + x8417 + x8441 + x8465 + x8489 + x8513 + x8537 + x8561 + x8585 + x8609 + x8633 + x8657 + x8681 + x8705 + x8729 + x8753 + x8777 + x8801 + x8825 + x8849 + x8873 + x8897 + x8921 + x8945 + x8969 + x8993 + x9017 + x9041 + x9065 + x9089 + x9113 + x9137 + x9161 + x9185 + x9209 + x9233 + x9257 + x9281 + x9305 + x9329 + x9353 + x9377 + x9401 + x9425 + x9449 + x9473 + x9497 + x9521 + x9545 + x9569 + x9593 >= 23218.923905 e9618: x4818 + x4842 + x4866 + x4890 + x4914 + x4938 + x4962 + x4986 + x5010 + x5034 + x5058 + x5082 + x5106 + x5130 + x5154 + x5178 + x5202 + x5226 + x5250 + x5274 + x5298 + x5322 + x5346 + x5370 + x5394 + x5418 + x5442 + x5466 + x5490 + x5514 + x5538 + x5562 + x5586 + x5610 + x5634 + x5658 + x5682 + x5706 + x5730 + x5754 + x5778 + x5802 + x5826 + x5850 + x5874 + x5898 + x5922 + x5946 + x5970 + x5994 + x6018 + x6042 + x6066 + x6090 + x6114 + x6138 + x6162 + x6186 + x6210 + x6234 + x6258 + x6282 + x6306 + x6330 + x6354 + x6378 + x6402 + x6426 + x6450 + x6474 + x6498 + x6522 + x6546 + x6570 + x6594 + x6618 + x6642 + x6666 + x6690 + x6714 + x6738 + x6762 + x6786 + x6810 + x6834 + x6858 + x6882 + x6906 + x6930 + x6954 + x6978 + x7002 + x7026 + x7050 + x7074 + x7098 + x7122 + x7146 + x7170 + x7194 + x7218 + x7242 + x7266 + x7290 + x7314 + x7338 + x7362 + x7386 + x7410 + x7434 + x7458 + x7482 + x7506 + x7530 + x7554 + x7578 + x7602 + x7626 + x7650 + x7674 + x7698 + x7722 + x7746 + x7770 + x7794 + x7818 + x7842 + x7866 + x7890 + x7914 + x7938 + x7962 + x7986 + x8010 + x8034 + x8058 + x8082 + x8106 + x8130 + x8154 + x8178 + x8202 + x8226 + x8250 + x8274 + x8298 + x8322 + x8346 + x8370 + x8394 + x8418 + x8442 + x8466 + x8490 + x8514 + x8538 + x8562 + x8586 + x8610 + x8634 + x8658 + x8682 + x8706 + x8730 + x8754 + x8778 + x8802 + x8826 + x8850 + x8874 + x8898 + x8922 + x8946 + x8970 + x8994 + x9018 + x9042 + x9066 + x9090 + x9114 + x9138 + x9162 + x9186 + x9210 + x9234 + x9258 + x9282 + x9306 + x9330 + x9354 + x9378 + x9402 + x9426 + x9450 + x9474 + x9498 + x9522 + x9546 + x9570 + x9594 >= 23118.936946 e9619: x4819 + x4843 + x4867 + x4891 + x4915 + x4939 + x4963 + x4987 + x5011 + x5035 + x5059 + x5083 + x5107 + x5131 + x5155 + x5179 + x5203 + x5227 + x5251 + x5275 + x5299 + x5323 + x5347 + x5371 + x5395 + x5419 + x5443 + x5467 + x5491 + x5515 + x5539 + x5563 + x5587 + x5611 + x5635 + x5659 + x5683 + x5707 + x5731 + x5755 + x5779 + x5803 + x5827 + x5851 + x5875 + x5899 + x5923 + x5947 + x5971 + x5995 + x6019 + x6043 + x6067 + x6091 + x6115 + x6139 + x6163 + x6187 + x6211 + x6235 + x6259 + x6283 + x6307 + x6331 + x6355 + x6379 + x6403 + x6427 + x6451 + x6475 + x6499 + x6523 + x6547 + x6571 + x6595 + x6619 + x6643 + x6667 + x6691 + x6715 + x6739 + x6763 + x6787 + x6811 + x6835 + x6859 + x6883 + x6907 + x6931 + x6955 + x6979 + x7003 + x7027 + x7051 + x7075 + x7099 + x7123 + x7147 + x7171 + x7195 + x7219 + x7243 + x7267 + x7291 + x7315 + x7339 + x7363 + x7387 + x7411 + x7435 + x7459 + x7483 + x7507 + x7531 + x7555 + x7579 + x7603 + x7627 + x7651 + x7675 + x7699 + x7723 + x7747 + x7771 + x7795 + x7819 + x7843 + x7867 + x7891 + x7915 + x7939 + x7963 + x7987 + x8011 + x8035 + x8059 + x8083 + x8107 + x8131 + x8155 + x8179 + x8203 + x8227 + x8251 + x8275 + x8299 + x8323 + x8347 + x8371 + x8395 + x8419 + x8443 + x8467 + x8491 + x8515 + x8539 + x8563 + x8587 + x8611 + x8635 + x8659 + x8683 + x8707 + x8731 + x8755 + x8779 + x8803 + x8827 + x8851 + x8875 + x8899 + x8923 + x8947 + x8971 + x8995 + x9019 + x9043 + x9067 + x9091 + x9115 + x9139 + x9163 + x9187 + x9211 + x9235 + x9259 + x9283 + x9307 + x9331 + x9355 + x9379 + x9403 + x9427 + x9451 + x9475 + x9499 + x9523 + x9547 + x9571 + x9595 >= 22479.995863 e9620: x4820 + x4844 + x4868 + x4892 + x4916 + x4940 + x4964 + x4988 + x5012 + x5036 + x5060 + x5084 + x5108 + x5132 + x5156 + x5180 + x5204 + x5228 + x5252 + x5276 + x5300 + x5324 + x5348 + x5372 + x5396 + x5420 + x5444 + x5468 + x5492 + x5516 + x5540 + x5564 + x5588 + x5612 + x5636 + x5660 + x5684 + x5708 + x5732 + x5756 + x5780 + x5804 + x5828 + x5852 + x5876 + x5900 + x5924 + x5948 + x5972 + x5996 + x6020 + x6044 + x6068 + x6092 + x6116 + x6140 + x6164 + x6188 + x6212 + x6236 + x6260 + x6284 + x6308 + x6332 + x6356 + x6380 + x6404 + x6428 + x6452 + x6476 + x6500 + x6524 + x6548 + x6572 + x6596 + x6620 + x6644 + x6668 + x6692 + x6716 + x6740 + x6764 + x6788 + x6812 + x6836 + x6860 + x6884 + x6908 + x6932 + x6956 + x6980 + x7004 + x7028 + x7052 + x7076 + x7100 + x7124 + x7148 + x7172 + x7196 + x7220 + x7244 + x7268 + x7292 + x7316 + x7340 + x7364 + x7388 + x7412 + x7436 + x7460 + x7484 + x7508 + x7532 + x7556 + x7580 + x7604 + x7628 + x7652 + x7676 + x7700 + x7724 + x7748 + x7772 + x7796 + x7820 + x7844 + x7868 + x7892 + x7916 + x7940 + x7964 + x7988 + x8012 + x8036 + x8060 + x8084 + x8108 + x8132 + x8156 + x8180 + x8204 + x8228 + x8252 + x8276 + x8300 + x8324 + x8348 + x8372 + x8396 + x8420 + x8444 + x8468 + x8492 + x8516 + x8540 + x8564 + x8588 + x8612 + x8636 + x8660 + x8684 + x8708 + x8732 + x8756 + x8780 + x8804 + x8828 + x8852 + x8876 + x8900 + x8924 + x8948 + x8972 + x8996 + x9020 + x9044 + x9068 + x9092 + x9116 + x9140 + x9164 + x9188 + x9212 + x9236 + x9260 + x9284 + x9308 + x9332 + x9356 + x9380 + x9404 + x9428 + x9452 + x9476 + x9500 + x9524 + x9548 + x9572 + x9596 >= 21597.184132 e9621: x4821 + x4845 + x4869 + x4893 + x4917 + x4941 + x4965 + x4989 + x5013 + x5037 + x5061 + x5085 + x5109 + x5133 + x5157 + x5181 + x5205 + x5229 + x5253 + x5277 + x5301 + x5325 + x5349 + x5373 + x5397 + x5421 + x5445 + x5469 + x5493 + x5517 + x5541 + x5565 + x5589 + x5613 + x5637 + x5661 + x5685 + x5709 + x5733 + x5757 + x5781 + x5805 + x5829 + x5853 + x5877 + x5901 + x5925 + x5949 + x5973 + x5997 + x6021 + x6045 + x6069 + x6093 + x6117 + x6141 + x6165 + x6189 + x6213 + x6237 + x6261 + x6285 + x6309 + x6333 + x6357 + x6381 + x6405 + x6429 + x6453 + x6477 + x6501 + x6525 + x6549 + x6573 + x6597 + x6621 + x6645 + x6669 + x6693 + x6717 + x6741 + x6765 + x6789 + x6813 + x6837 + x6861 + x6885 + x6909 + x6933 + x6957 + x6981 + x7005 + x7029 + x7053 + x7077 + x7101 + x7125 + x7149 + x7173 + x7197 + x7221 + x7245 + x7269 + x7293 + x7317 + x7341 + x7365 + x7389 + x7413 + x7437 + x7461 + x7485 + x7509 + x7533 + x7557 + x7581 + x7605 + x7629 + x7653 + x7677 + x7701 + x7725 + x7749 + x7773 + x7797 + x7821 + x7845 + x7869 + x7893 + x7917 + x7941 + x7965 + x7989 + x8013 + x8037 + x8061 + x8085 + x8109 + x8133 + x8157 + x8181 + x8205 + x8229 + x8253 + x8277 + x8301 + x8325 + x8349 + x8373 + x8397 + x8421 + x8445 + x8469 + x8493 + x8517 + x8541 + x8565 + x8589 + x8613 + x8637 + x8661 + x8685 + x8709 + x8733 + x8757 + x8781 + x8805 + x8829 + x8853 + x8877 + x8901 + x8925 + x8949 + x8973 + x8997 + x9021 + x9045 + x9069 + x9093 + x9117 + x9141 + x9165 + x9189 + x9213 + x9237 + x9261 + x9285 + x9309 + x9333 + x9357 + x9381 + x9405 + x9429 + x9453 + x9477 + x9501 + x9525 + x9549 + x9573 + x9597 >= 21889.828893 e9622: x4822 + x4846 + x4870 + x4894 + x4918 + x4942 + x4966 + x4990 + x5014 + x5038 + x5062 + x5086 + x5110 + x5134 + x5158 + x5182 + x5206 + x5230 + x5254 + x5278 + x5302 + x5326 + x5350 + x5374 + x5398 + x5422 + x5446 + x5470 + x5494 + x5518 + x5542 + x5566 + x5590 + x5614 + x5638 + x5662 + x5686 + x5710 + x5734 + x5758 + x5782 + x5806 + x5830 + x5854 + x5878 + x5902 + x5926 + x5950 + x5974 + x5998 + x6022 + x6046 + x6070 + x6094 + x6118 + x6142 + x6166 + x6190 + x6214 + x6238 + x6262 + x6286 + x6310 + x6334 + x6358 + x6382 + x6406 + x6430 + x6454 + x6478 + x6502 + x6526 + x6550 + x6574 + x6598 + x6622 + x6646 + x6670 + x6694 + x6718 + x6742 + x6766 + x6790 + x6814 + x6838 + x6862 + x6886 + x6910 + x6934 + x6958 + x6982 + x7006 + x7030 + x7054 + x7078 + x7102 + x7126 + x7150 + x7174 + x7198 + x7222 + x7246 + x7270 + x7294 + x7318 + x7342 + x7366 + x7390 + x7414 + x7438 + x7462 + x7486 + x7510 + x7534 + x7558 + x7582 + x7606 + x7630 + x7654 + x7678 + x7702 + x7726 + x7750 + x7774 + x7798 + x7822 + x7846 + x7870 + x7894 + x7918 + x7942 + x7966 + x7990 + x8014 + x8038 + x8062 + x8086 + x8110 + x8134 + x8158 + x8182 + x8206 + x8230 + x8254 + x8278 + x8302 + x8326 + x8350 + x8374 + x8398 + x8422 + x8446 + x8470 + x8494 + x8518 + x8542 + x8566 + x8590 + x8614 + x8638 + x8662 + x8686 + x8710 + x8734 + x8758 + x8782 + x8806 + x8830 + x8854 + x8878 + x8902 + x8926 + x8950 + x8974 + x8998 + x9022 + x9046 + x9070 + x9094 + x9118 + x9142 + x9166 + x9190 + x9214 + x9238 + x9262 + x9286 + x9310 + x9334 + x9358 + x9382 + x9406 + x9430 + x9454 + x9478 + x9502 + x9526 + x9550 + x9574 + x9598 >= 22497.066795 e9623: x4823 + x4847 + x4871 + x4895 + x4919 + x4943 + x4967 + x4991 + x5015 + x5039 + x5063 + x5087 + x5111 + x5135 + x5159 + x5183 + x5207 + x5231 + x5255 + x5279 + x5303 + x5327 + x5351 + x5375 + x5399 + x5423 + x5447 + x5471 + x5495 + x5519 + x5543 + x5567 + x5591 + x5615 + x5639 + x5663 + x5687 + x5711 + x5735 + x5759 + x5783 + x5807 + x5831 + x5855 + x5879 + x5903 + x5927 + x5951 + x5975 + x5999 + x6023 + x6047 + x6071 + x6095 + x6119 + x6143 + x6167 + x6191 + x6215 + x6239 + x6263 + x6287 + x6311 + x6335 + x6359 + x6383 + x6407 + x6431 + x6455 + x6479 + x6503 + x6527 + x6551 + x6575 + x6599 + x6623 + x6647 + x6671 + x6695 + x6719 + x6743 + x6767 + x6791 + x6815 + x6839 + x6863 + x6887 + x6911 + x6935 + x6959 + x6983 + x7007 + x7031 + x7055 + x7079 + x7103 + x7127 + x7151 + x7175 + x7199 + x7223 + x7247 + x7271 + x7295 + x7319 + x7343 + x7367 + x7391 + x7415 + x7439 + x7463 + x7487 + x7511 + x7535 + x7559 + x7583 + x7607 + x7631 + x7655 + x7679 + x7703 + x7727 + x7751 + x7775 + x7799 + x7823 + x7847 + x7871 + x7895 + x7919 + x7943 + x7967 + x7991 + x8015 + x8039 + x8063 + x8087 + x8111 + x8135 + x8159 + x8183 + x8207 + x8231 + x8255 + x8279 + x8303 + x8327 + x8351 + x8375 + x8399 + x8423 + x8447 + x8471 + x8495 + x8519 + x8543 + x8567 + x8591 + x8615 + x8639 + x8663 + x8687 + x8711 + x8735 + x8759 + x8783 + x8807 + x8831 + x8855 + x8879 + x8903 + x8927 + x8951 + x8975 + x8999 + x9023 + x9047 + x9071 + x9095 + x9119 + x9143 + x9167 + x9191 + x9215 + x9239 + x9263 + x9287 + x9311 + x9335 + x9359 + x9383 + x9407 + x9431 + x9455 + x9479 + x9503 + x9527 + x9551 + x9575 + x9599 >= 21341.119952 e9624: x4824 + x4848 + x4872 + x4896 + x4920 + x4944 + x4968 + x4992 + x5016 + x5040 + x5064 + x5088 + x5112 + x5136 + x5160 + x5184 + x5208 + x5232 + x5256 + x5280 + x5304 + x5328 + x5352 + x5376 + x5400 + x5424 + x5448 + x5472 + x5496 + x5520 + x5544 + x5568 + x5592 + x5616 + x5640 + x5664 + x5688 + x5712 + x5736 + x5760 + x5784 + x5808 + x5832 + x5856 + x5880 + x5904 + x5928 + x5952 + x5976 + x6000 + x6024 + x6048 + x6072 + x6096 + x6120 + x6144 + x6168 + x6192 + x6216 + x6240 + x6264 + x6288 + x6312 + x6336 + x6360 + x6384 + x6408 + x6432 + x6456 + x6480 + x6504 + x6528 + x6552 + x6576 + x6600 + x6624 + x6648 + x6672 + x6696 + x6720 + x6744 + x6768 + x6792 + x6816 + x6840 + x6864 + x6888 + x6912 + x6936 + x6960 + x6984 + x7008 + x7032 + x7056 + x7080 + x7104 + x7128 + x7152 + x7176 + x7200 + x7224 + x7248 + x7272 + x7296 + x7320 + x7344 + x7368 + x7392 + x7416 + x7440 + x7464 + x7488 + x7512 + x7536 + x7560 + x7584 + x7608 + x7632 + x7656 + x7680 + x7704 + x7728 + x7752 + x7776 + x7800 + x7824 + x7848 + x7872 + x7896 + x7920 + x7944 + x7968 + x7992 + x8016 + x8040 + x8064 + x8088 + x8112 + x8136 + x8160 + x8184 + x8208 + x8232 + x8256 + x8280 + x8304 + x8328 + x8352 + x8376 + x8400 + x8424 + x8448 + x8472 + x8496 + x8520 + x8544 + x8568 + x8592 + x8616 + x8640 + x8664 + x8688 + x8712 + x8736 + x8760 + x8784 + x8808 + x8832 + x8856 + x8880 + x8904 + x8928 + x8952 + x8976 + x9000 + x9024 + x9048 + x9072 + x9096 + x9120 + x9144 + x9168 + x9192 + x9216 + x9240 + x9264 + x9288 + x9312 + x9336 + x9360 + x9384 + x9408 + x9432 + x9456 + x9480 + x9504 + x9528 + x9552 + x9576 + x9600 >= 19402.348337 e9625: x4825 + x4849 + x4873 + x4897 + x4921 + x4945 + x4969 + x4993 + x5017 + x5041 + x5065 + x5089 + x5113 + x5137 + x5161 + x5185 + x5209 + x5233 + x5257 + x5281 + x5305 + x5329 + x5353 + x5377 + x5401 + x5425 + x5449 + x5473 + x5497 + x5521 + x5545 + x5569 + x5593 + x5617 + x5641 + x5665 + x5689 + x5713 + x5737 + x5761 + x5785 + x5809 + x5833 + x5857 + x5881 + x5905 + x5929 + x5953 + x5977 + x6001 + x6025 + x6049 + x6073 + x6097 + x6121 + x6145 + x6169 + x6193 + x6217 + x6241 + x6265 + x6289 + x6313 + x6337 + x6361 + x6385 + x6409 + x6433 + x6457 + x6481 + x6505 + x6529 + x6553 + x6577 + x6601 + x6625 + x6649 + x6673 + x6697 + x6721 + x6745 + x6769 + x6793 + x6817 + x6841 + x6865 + x6889 + x6913 + x6937 + x6961 + x6985 + x7009 + x7033 + x7057 + x7081 + x7105 + x7129 + x7153 + x7177 + x7201 + x7225 + x7249 + x7273 + x7297 + x7321 + x7345 + x7369 + x7393 + x7417 + x7441 + x7465 + x7489 + x7513 + x7537 + x7561 + x7585 + x7609 + x7633 + x7657 + x7681 + x7705 + x7729 + x7753 + x7777 + x7801 + x7825 + x7849 + x7873 + x7897 + x7921 + x7945 + x7969 + x7993 + x8017 + x8041 + x8065 + x8089 + x8113 + x8137 + x8161 + x8185 + x8209 + x8233 + x8257 + x8281 + x8305 + x8329 + x8353 + x8377 + x8401 + x8425 + x8449 + x8473 + x8497 + x8521 + x8545 + x8569 + x8593 + x8617 + x8641 + x8665 + x8689 + x8713 + x8737 + x8761 + x8785 + x8809 + x8833 + x8857 + x8881 + x8905 + x8929 + x8953 + x8977 + x9001 + x9025 + x9049 + x9073 + x9097 + x9121 + x9145 + x9169 + x9193 + x9217 + x9241 + x9265 + x9289 + x9313 + x9337 + x9361 + x9385 + x9409 + x9433 + x9457 + x9481 + x9505 + x9529 + x9553 + x9577 + x9601 >= 17590.389461 e9626: - b2 + b3 + x19202 <= 1 e9627: - b2 + b4 + x19202 <= 1 e9628: b2 - b3 + b4 <= 1 e9629: b2 - b3 + b5 <= 1 e9630: b3 - b4 + b5 <= 1 e9631: b3 - b4 + b6 <= 1 e9632: b4 - b5 + b6 <= 1 e9633: b4 - b5 + b7 <= 1 e9634: b5 - b6 + b7 <= 1 e9635: b5 - b6 + b8 <= 1 e9636: b6 - b7 + b8 <= 1 e9637: b6 - b7 + b9 <= 1 e9638: b7 - b8 + b9 <= 1 e9639: b7 - b8 + b10 <= 1 e9640: b8 - b9 + b10 <= 1 e9641: b8 - b9 + b11 <= 1 e9642: b9 - b10 + b11 <= 1 e9643: b9 - b10 + b12 <= 1 e9644: b10 - b11 + b12 <= 1 e9645: b10 - b11 + b13 <= 1 e9646: b11 - b12 + b13 <= 1 e9647: b11 - b12 + b14 <= 1 e9648: b12 - b13 + b14 <= 1 e9649: b12 - b13 + b15 <= 1 e9650: b13 - b14 + b15 <= 1 e9651: b13 - b14 + b16 <= 1 e9652: b14 - b15 + b16 <= 1 e9653: b14 - b15 + b17 <= 1 e9654: b15 - b16 + b17 <= 1 e9655: b15 - b16 + b18 <= 1 e9656: b16 - b17 + b18 <= 1 e9657: b16 - b17 + b19 <= 1 e9658: b17 - b18 + b19 <= 1 e9659: b17 - b18 + b20 <= 1 e9660: b18 - b19 + b20 <= 1 e9661: b18 - b19 + b21 <= 1 e9662: b19 - b20 + b21 <= 1 e9663: b19 - b20 + b22 <= 1 e9664: b20 - b21 + b22 <= 1 e9665: b20 - b21 + b23 <= 1 e9666: b21 - b22 + b23 <= 1 e9667: b21 - b22 + b24 <= 1 e9668: b22 - b23 + b24 <= 1 e9669: b22 - b23 + b25 <= 1 e9670: b23 - b24 + b25 <= 1 e9671: - b2 + b3 + x19202 >= 0 e9672: - b2 + b4 + x19202 >= 0 e9673: b2 - b3 + b4 >= 0 e9674: b2 - b3 + b5 >= 0 e9675: b3 - b4 + b5 >= 0 e9676: b3 - b4 + b6 >= 0 e9677: b4 - b5 + b6 >= 0 e9678: b4 - b5 + b7 >= 0 e9679: b5 - b6 + b7 >= 0 e9680: b5 - b6 + b8 >= 0 e9681: b6 - b7 + b8 >= 0 e9682: b6 - b7 + b9 >= 0 e9683: b7 - b8 + b9 >= 0 e9684: b7 - b8 + b10 >= 0 e9685: b8 - b9 + b10 >= 0 e9686: b8 - b9 + b11 >= 0 e9687: b9 - b10 + b11 >= 0 e9688: b9 - b10 + b12 >= 0 e9689: b10 - b11 + b12 >= 0 e9690: b10 - b11 + b13 >= 0 e9691: b11 - b12 + b13 >= 0 e9692: b11 - b12 + b14 >= 0 e9693: b12 - b13 + b14 >= 0 e9694: b12 - b13 + b15 >= 0 e9695: b13 - b14 + b15 >= 0 e9696: b13 - b14 + b16 >= 0 e9697: b14 - b15 + b16 >= 0 e9698: b14 - b15 + b17 >= 0 e9699: b15 - b16 + b17 >= 0 e9700: b15 - b16 + b18 >= 0 e9701: b16 - b17 + b18 >= 0 e9702: b16 - b17 + b19 >= 0 e9703: b17 - b18 + b19 >= 0 e9704: b17 - b18 + b20 >= 0 e9705: b18 - b19 + b20 >= 0 e9706: b18 - b19 + b21 >= 0 e9707: b19 - b20 + b21 >= 0 e9708: b19 - b20 + b22 >= 0 e9709: b20 - b21 + b22 >= 0 e9710: b20 - b21 + b23 >= 0 e9711: b21 - b22 + b23 >= 0 e9712: b21 - b22 + b24 >= 0 e9713: b22 - b23 + b24 >= 0 e9714: b22 - b23 + b25 >= 0 e9715: b23 - b24 + b25 >= 0 e9716: b26 = 0 e9717: b27 = 0 e9718: b27 - b28 + b29 <= 1 e9719: b27 - b28 + b30 <= 1 e9720: b28 - b29 + b30 <= 1 e9721: b28 - b29 + b31 <= 1 e9722: b29 - b30 + b31 <= 1 e9723: b29 - b30 + b32 <= 1 e9724: b30 - b31 + b32 <= 1 e9725: b30 - b31 + b33 <= 1 e9726: b31 - b32 + b33 <= 1 e9727: b31 - b32 + b34 <= 1 e9728: b32 - b33 + b34 <= 1 e9729: b32 - b33 + b35 <= 1 e9730: b33 - b34 + b35 <= 1 e9731: b33 - b34 + b36 <= 1 e9732: b34 - b35 + b36 <= 1 e9733: b34 - b35 + b37 <= 1 e9734: b35 - b36 + b37 <= 1 e9735: b35 - b36 + b38 <= 1 e9736: b36 - b37 + b38 <= 1 e9737: b36 - b37 + b39 <= 1 e9738: b37 - b38 + b39 <= 1 e9739: b37 - b38 + b40 <= 1 e9740: b38 - b39 + b40 <= 1 e9741: b38 - b39 + b41 <= 1 e9742: b39 - b40 + b41 <= 1 e9743: b39 - b40 + b42 <= 1 e9744: b40 - b41 + b42 <= 1 e9745: b40 - b41 + b43 <= 1 e9746: b41 - b42 + b43 <= 1 e9747: b41 - b42 + b44 <= 1 e9748: b42 - b43 + b44 <= 1 e9749: b42 - b43 + b45 <= 1 e9750: b43 - b44 + b45 <= 1 e9751: b43 - b44 + b46 <= 1 e9752: b44 - b45 + b46 <= 1 e9753: b44 - b45 + b47 <= 1 e9754: b45 - b46 + b47 <= 1 e9755: b45 - b46 + b48 <= 1 e9756: b46 - b47 + b48 <= 1 e9757: b46 - b47 + b49 <= 1 e9758: b47 - b48 + b49 <= 1 e9759: b27 - b28 + b29 >= 0 e9760: b27 - b28 + b30 >= 0 e9761: b28 - b29 + b30 >= 0 e9762: b28 - b29 + b31 >= 0 e9763: b29 - b30 + b31 >= 0 e9764: b29 - b30 + b32 >= 0 e9765: b30 - b31 + b32 >= 0 e9766: b30 - b31 + b33 >= 0 e9767: b31 - b32 + b33 >= 0 e9768: b31 - b32 + b34 >= 0 e9769: b32 - b33 + b34 >= 0 e9770: b32 - b33 + b35 >= 0 e9771: b33 - b34 + b35 >= 0 e9772: b33 - b34 + b36 >= 0 e9773: b34 - b35 + b36 >= 0 e9774: b34 - b35 + b37 >= 0 e9775: b35 - b36 + b37 >= 0 e9776: b35 - b36 + b38 >= 0 e9777: b36 - b37 + b38 >= 0 e9778: b36 - b37 + b39 >= 0 e9779: b37 - b38 + b39 >= 0 e9780: b37 - b38 + b40 >= 0 e9781: b38 - b39 + b40 >= 0 e9782: b38 - b39 + b41 >= 0 e9783: b39 - b40 + b41 >= 0 e9784: b39 - b40 + b42 >= 0 e9785: b40 - b41 + b42 >= 0 e9786: b40 - b41 + b43 >= 0 e9787: b41 - b42 + b43 >= 0 e9788: b41 - b42 + b44 >= 0 e9789: b42 - b43 + b44 >= 0 e9790: b42 - b43 + b45 >= 0 e9791: b43 - b44 + b45 >= 0 e9792: b43 - b44 + b46 >= 0 e9793: b44 - b45 + b46 >= 0 e9794: b44 - b45 + b47 >= 0 e9795: b45 - b46 + b47 >= 0 e9796: b45 - b46 + b48 >= 0 e9797: b46 - b47 + b48 >= 0 e9798: b46 - b47 + b49 >= 0 e9799: b47 - b48 + b49 >= 0 e9800: - b50 + b51 + x19203 <= 1 e9801: - b50 + b52 + x19203 <= 1 e9802: - b50 + b53 + x19203 <= 1 e9803: b50 - b51 + b52 <= 1 e9804: b50 - b51 + b53 <= 1 e9805: b50 - b51 + b54 <= 1 e9806: b51 - b52 + b53 <= 1 e9807: b51 - b52 + b54 <= 1 e9808: b51 - b52 + b55 <= 1 e9809: b52 - b53 + b54 <= 1 e9810: b52 - b53 + b55 <= 1 e9811: b52 - b53 + b56 <= 1 e9812: b53 - b54 + b55 <= 1 e9813: b53 - b54 + b56 <= 1 e9814: b53 - b54 + b57 <= 1 e9815: b54 - b55 + b56 <= 1 e9816: b54 - b55 + b57 <= 1 e9817: b54 - b55 + b58 <= 1 e9818: b55 - b56 + b57 <= 1 e9819: b55 - b56 + b58 <= 1 e9820: b55 - b56 + b59 <= 1 e9821: b56 - b57 + b58 <= 1 e9822: b56 - b57 + b59 <= 1 e9823: b56 - b57 + b60 <= 1 e9824: b57 - b58 + b59 <= 1 e9825: b57 - b58 + b60 <= 1 e9826: b57 - b58 + b61 <= 1 e9827: b58 - b59 + b60 <= 1 e9828: b58 - b59 + b61 <= 1 e9829: b58 - b59 + b62 <= 1 e9830: b59 - b60 + b61 <= 1 e9831: b59 - b60 + b62 <= 1 e9832: b59 - b60 + b63 <= 1 e9833: b60 - b61 + b62 <= 1 e9834: b60 - b61 + b63 <= 1 e9835: b60 - b61 + b64 <= 1 e9836: b61 - b62 + b63 <= 1 e9837: b61 - b62 + b64 <= 1 e9838: b61 - b62 + b65 <= 1 e9839: b62 - b63 + b64 <= 1 e9840: b62 - b63 + b65 <= 1 e9841: b62 - b63 + b66 <= 1 e9842: b63 - b64 + b65 <= 1 e9843: b63 - b64 + b66 <= 1 e9844: b63 - b64 + b67 <= 1 e9845: b64 - b65 + b66 <= 1 e9846: b64 - b65 + b67 <= 1 e9847: b64 - b65 + b68 <= 1 e9848: b65 - b66 + b67 <= 1 e9849: b65 - b66 + b68 <= 1 e9850: b65 - b66 + b69 <= 1 e9851: b66 - b67 + b68 <= 1 e9852: b66 - b67 + b69 <= 1 e9853: b66 - b67 + b70 <= 1 e9854: b67 - b68 + b69 <= 1 e9855: b67 - b68 + b70 <= 1 e9856: b67 - b68 + b71 <= 1 e9857: b68 - b69 + b70 <= 1 e9858: b68 - b69 + b71 <= 1 e9859: b68 - b69 + b72 <= 1 e9860: b69 - b70 + b71 <= 1 e9861: b69 - b70 + b72 <= 1 e9862: b69 - b70 + b73 <= 1 e9863: b70 - b71 + b72 <= 1 e9864: b70 - b71 + b73 <= 1 e9865: b71 - b72 + b73 <= 1 e9866: - b50 + b51 + x19203 >= 0 e9867: - b50 + b52 + x19203 >= 0 e9868: - b50 + b53 + x19203 >= 0 e9869: b50 - b51 + b52 >= 0 e9870: b50 - b51 + b53 >= 0 e9871: b50 - b51 + b54 >= 0 e9872: b51 - b52 + b53 >= 0 e9873: b51 - b52 + b54 >= 0 e9874: b51 - b52 + b55 >= 0 e9875: b52 - b53 + b54 >= 0 e9876: b52 - b53 + b55 >= 0 e9877: b52 - b53 + b56 >= 0 e9878: b53 - b54 + b55 >= 0 e9879: b53 - b54 + b56 >= 0 e9880: b53 - b54 + b57 >= 0 e9881: b54 - b55 + b56 >= 0 e9882: b54 - b55 + b57 >= 0 e9883: b54 - b55 + b58 >= 0 e9884: b55 - b56 + b57 >= 0 e9885: b55 - b56 + b58 >= 0 e9886: b55 - b56 + b59 >= 0 e9887: b56 - b57 + b58 >= 0 e9888: b56 - b57 + b59 >= 0 e9889: b56 - b57 + b60 >= 0 e9890: b57 - b58 + b59 >= 0 e9891: b57 - b58 + b60 >= 0 e9892: b57 - b58 + b61 >= 0 e9893: b58 - b59 + b60 >= 0 e9894: b58 - b59 + b61 >= 0 e9895: b58 - b59 + b62 >= 0 e9896: b59 - b60 + b61 >= 0 e9897: b59 - b60 + b62 >= 0 e9898: b59 - b60 + b63 >= 0 e9899: b60 - b61 + b62 >= 0 e9900: b60 - b61 + b63 >= 0 e9901: b60 - b61 + b64 >= 0 e9902: b61 - b62 + b63 >= 0 e9903: b61 - b62 + b64 >= 0 e9904: b61 - b62 + b65 >= 0 e9905: b62 - b63 + b64 >= 0 e9906: b62 - b63 + b65 >= 0 e9907: b62 - b63 + b66 >= 0 e9908: b63 - b64 + b65 >= 0 e9909: b63 - b64 + b66 >= 0 e9910: b63 - b64 + b67 >= 0 e9911: b64 - b65 + b66 >= 0 e9912: b64 - b65 + b67 >= 0 e9913: b64 - b65 + b68 >= 0 e9914: b65 - b66 + b67 >= 0 e9915: b65 - b66 + b68 >= 0 e9916: b65 - b66 + b69 >= 0 e9917: b66 - b67 + b68 >= 0 e9918: b66 - b67 + b69 >= 0 e9919: b66 - b67 + b70 >= 0 e9920: b67 - b68 + b69 >= 0 e9921: b67 - b68 + b70 >= 0 e9922: b67 - b68 + b71 >= 0 e9923: b68 - b69 + b70 >= 0 e9924: b68 - b69 + b71 >= 0 e9925: b68 - b69 + b72 >= 0 e9926: b69 - b70 + b71 >= 0 e9927: b69 - b70 + b72 >= 0 e9928: b69 - b70 + b73 >= 0 e9929: b70 - b71 + b72 >= 0 e9930: b70 - b71 + b73 >= 0 e9931: b71 - b72 + b73 >= 0 e9932: - b74 + b75 + x19204 <= 1 e9933: - b74 + b76 + x19204 <= 1 e9934: b74 - b75 + b76 <= 1 e9935: b74 - b75 + b77 <= 1 e9936: b75 - b76 + b77 <= 1 e9937: b75 - b76 + b78 <= 1 e9938: b76 - b77 + b78 <= 1 e9939: b76 - b77 + b79 <= 1 e9940: b77 - b78 + b79 <= 1 e9941: b77 - b78 + b80 <= 1 e9942: b78 - b79 + b80 <= 1 e9943: b78 - b79 + b81 <= 1 e9944: b79 - b80 + b81 <= 1 e9945: b79 - b80 + b82 <= 1 e9946: b80 - b81 + b82 <= 1 e9947: b80 - b81 + b83 <= 1 e9948: b81 - b82 + b83 <= 1 e9949: b81 - b82 + b84 <= 1 e9950: b82 - b83 + b84 <= 1 e9951: b82 - b83 + b85 <= 1 e9952: b83 - b84 + b85 <= 1 e9953: b83 - b84 + b86 <= 1 e9954: b84 - b85 + b86 <= 1 e9955: b84 - b85 + b87 <= 1 e9956: b85 - b86 + b87 <= 1 e9957: b85 - b86 + b88 <= 1 e9958: b86 - b87 + b88 <= 1 e9959: b86 - b87 + b89 <= 1 e9960: b87 - b88 + b89 <= 1 e9961: b87 - b88 + b90 <= 1 e9962: b88 - b89 + b90 <= 1 e9963: b88 - b89 + b91 <= 1 e9964: b89 - b90 + b91 <= 1 e9965: b89 - b90 + b92 <= 1 e9966: b90 - b91 + b92 <= 1 e9967: b90 - b91 + b93 <= 1 e9968: b91 - b92 + b93 <= 1 e9969: b91 - b92 + b94 <= 1 e9970: b92 - b93 + b94 <= 1 e9971: b92 - b93 + b95 <= 1 e9972: b93 - b94 + b95 <= 1 e9973: b93 - b94 + b96 <= 1 e9974: b94 - b95 + b96 <= 1 e9975: b94 - b95 + b97 <= 1 e9976: b95 - b96 + b97 <= 1 e9977: - b74 + b75 + x19204 >= 0 e9978: - b74 + b76 + x19204 >= 0 e9979: b74 - b75 + b76 >= 0 e9980: b74 - b75 + b77 >= 0 e9981: b75 - b76 + b77 >= 0 e9982: b75 - b76 + b78 >= 0 e9983: b76 - b77 + b78 >= 0 e9984: b76 - b77 + b79 >= 0 e9985: b77 - b78 + b79 >= 0 e9986: b77 - b78 + b80 >= 0 e9987: b78 - b79 + b80 >= 0 e9988: b78 - b79 + b81 >= 0 e9989: b79 - b80 + b81 >= 0 e9990: b79 - b80 + b82 >= 0 e9991: b80 - b81 + b82 >= 0 e9992: b80 - b81 + b83 >= 0 e9993: b81 - b82 + b83 >= 0 e9994: b81 - b82 + b84 >= 0 e9995: b82 - b83 + b84 >= 0 e9996: b82 - b83 + b85 >= 0 e9997: b83 - b84 + b85 >= 0 e9998: b83 - b84 + b86 >= 0 e9999: b84 - b85 + b86 >= 0 e10000: b84 - b85 + b87 >= 0 e10001: b85 - b86 + b87 >= 0 e10002: b85 - b86 + b88 >= 0 e10003: b86 - b87 + b88 >= 0 e10004: b86 - b87 + b89 >= 0 e10005: b87 - b88 + b89 >= 0 e10006: b87 - b88 + b90 >= 0 e10007: b88 - b89 + b90 >= 0 e10008: b88 - b89 + b91 >= 0 e10009: b89 - b90 + b91 >= 0 e10010: b89 - b90 + b92 >= 0 e10011: b90 - b91 + b92 >= 0 e10012: b90 - b91 + b93 >= 0 e10013: b91 - b92 + b93 >= 0 e10014: b91 - b92 + b94 >= 0 e10015: b92 - b93 + b94 >= 0 e10016: b92 - b93 + b95 >= 0 e10017: b93 - b94 + b95 >= 0 e10018: b93 - b94 + b96 >= 0 e10019: b94 - b95 + b96 >= 0 e10020: b94 - b95 + b97 >= 0 e10021: b95 - b96 + b97 >= 0 e10022: - b98 + b99 + x19205 <= 1 e10023: - b98 + b100 + x19205 <= 1 e10024: - b98 + b101 + x19205 <= 1 e10025: b98 - b99 + b100 <= 1 e10026: b98 - b99 + b101 <= 1 e10027: b98 - b99 + b102 <= 1 e10028: b99 - b100 + b101 <= 1 e10029: b99 - b100 + b102 <= 1 e10030: b99 - b100 + b103 <= 1 e10031: b100 - b101 + b102 <= 1 e10032: b100 - b101 + b103 <= 1 e10033: b100 - b101 + b104 <= 1 e10034: b101 - b102 + b103 <= 1 e10035: b101 - b102 + b104 <= 1 e10036: b101 - b102 + b105 <= 1 e10037: b102 - b103 + b104 <= 1 e10038: b102 - b103 + b105 <= 1 e10039: b102 - b103 + b106 <= 1 e10040: b103 - b104 + b105 <= 1 e10041: b103 - b104 + b106 <= 1 e10042: b103 - b104 + b107 <= 1 e10043: b104 - b105 + b106 <= 1 e10044: b104 - b105 + b107 <= 1 e10045: b104 - b105 + b108 <= 1 e10046: b105 - b106 + b107 <= 1 e10047: b105 - b106 + b108 <= 1 e10048: b105 - b106 + b109 <= 1 e10049: b106 - b107 + b108 <= 1 e10050: b106 - b107 + b109 <= 1 e10051: b106 - b107 + b110 <= 1 e10052: b107 - b108 + b109 <= 1 e10053: b107 - b108 + b110 <= 1 e10054: b107 - b108 + b111 <= 1 e10055: b108 - b109 + b110 <= 1 e10056: b108 - b109 + b111 <= 1 e10057: b108 - b109 + b112 <= 1 e10058: b109 - b110 + b111 <= 1 e10059: b109 - b110 + b112 <= 1 e10060: b109 - b110 + b113 <= 1 e10061: b110 - b111 + b112 <= 1 e10062: b110 - b111 + b113 <= 1 e10063: b110 - b111 + b114 <= 1 e10064: b111 - b112 + b113 <= 1 e10065: b111 - b112 + b114 <= 1 e10066: b111 - b112 + b115 <= 1 e10067: b112 - b113 + b114 <= 1 e10068: b112 - b113 + b115 <= 1 e10069: b112 - b113 + b116 <= 1 e10070: b113 - b114 + b115 <= 1 e10071: b113 - b114 + b116 <= 1 e10072: b113 - b114 + b117 <= 1 e10073: b114 - b115 + b116 <= 1 e10074: b114 - b115 + b117 <= 1 e10075: b114 - b115 + b118 <= 1 e10076: b115 - b116 + b117 <= 1 e10077: b115 - b116 + b118 <= 1 e10078: b115 - b116 + b119 <= 1 e10079: b116 - b117 + b118 <= 1 e10080: b116 - b117 + b119 <= 1 e10081: b116 - b117 + b120 <= 1 e10082: b117 - b118 + b119 <= 1 e10083: b117 - b118 + b120 <= 1 e10084: b117 - b118 + b121 <= 1 e10085: b118 - b119 + b120 <= 1 e10086: b118 - b119 + b121 <= 1 e10087: b119 - b120 + b121 <= 1 e10088: - b98 + b99 + x19205 >= 0 e10089: - b98 + b100 + x19205 >= 0 e10090: - b98 + b101 + x19205 >= 0 e10091: b98 - b99 + b100 >= 0 e10092: b98 - b99 + b101 >= 0 e10093: b98 - b99 + b102 >= 0 e10094: b99 - b100 + b101 >= 0 e10095: b99 - b100 + b102 >= 0 e10096: b99 - b100 + b103 >= 0 e10097: b100 - b101 + b102 >= 0 e10098: b100 - b101 + b103 >= 0 e10099: b100 - b101 + b104 >= 0 e10100: b101 - b102 + b103 >= 0 e10101: b101 - b102 + b104 >= 0 e10102: b101 - b102 + b105 >= 0 e10103: b102 - b103 + b104 >= 0 e10104: b102 - b103 + b105 >= 0 e10105: b102 - b103 + b106 >= 0 e10106: b103 - b104 + b105 >= 0 e10107: b103 - b104 + b106 >= 0 e10108: b103 - b104 + b107 >= 0 e10109: b104 - b105 + b106 >= 0 e10110: b104 - b105 + b107 >= 0 e10111: b104 - b105 + b108 >= 0 e10112: b105 - b106 + b107 >= 0 e10113: b105 - b106 + b108 >= 0 e10114: b105 - b106 + b109 >= 0 e10115: b106 - b107 + b108 >= 0 e10116: b106 - b107 + b109 >= 0 e10117: b106 - b107 + b110 >= 0 e10118: b107 - b108 + b109 >= 0 e10119: b107 - b108 + b110 >= 0 e10120: b107 - b108 + b111 >= 0 e10121: b108 - b109 + b110 >= 0 e10122: b108 - b109 + b111 >= 0 e10123: b108 - b109 + b112 >= 0 e10124: b109 - b110 + b111 >= 0 e10125: b109 - b110 + b112 >= 0 e10126: b109 - b110 + b113 >= 0 e10127: b110 - b111 + b112 >= 0 e10128: b110 - b111 + b113 >= 0 e10129: b110 - b111 + b114 >= 0 e10130: b111 - b112 + b113 >= 0 e10131: b111 - b112 + b114 >= 0 e10132: b111 - b112 + b115 >= 0 e10133: b112 - b113 + b114 >= 0 e10134: b112 - b113 + b115 >= 0 e10135: b112 - b113 + b116 >= 0 e10136: b113 - b114 + b115 >= 0 e10137: b113 - b114 + b116 >= 0 e10138: b113 - b114 + b117 >= 0 e10139: b114 - b115 + b116 >= 0 e10140: b114 - b115 + b117 >= 0 e10141: b114 - b115 + b118 >= 0 e10142: b115 - b116 + b117 >= 0 e10143: b115 - b116 + b118 >= 0 e10144: b115 - b116 + b119 >= 0 e10145: b116 - b117 + b118 >= 0 e10146: b116 - b117 + b119 >= 0 e10147: b116 - b117 + b120 >= 0 e10148: b117 - b118 + b119 >= 0 e10149: b117 - b118 + b120 >= 0 e10150: b117 - b118 + b121 >= 0 e10151: b118 - b119 + b120 >= 0 e10152: b118 - b119 + b121 >= 0 e10153: b119 - b120 + b121 >= 0 e10154: - b122 + b123 + x19206 <= 1 e10155: - b122 + b124 + x19206 <= 1 e10156: b122 - b123 + b124 <= 1 e10157: b122 - b123 + b125 <= 1 e10158: b123 - b124 + b125 <= 1 e10159: b123 - b124 + b126 <= 1 e10160: b124 - b125 + b126 <= 1 e10161: b124 - b125 + b127 <= 1 e10162: b125 - b126 + b127 <= 1 e10163: b125 - b126 + b128 <= 1 e10164: b126 - b127 + b128 <= 1 e10165: b126 - b127 + b129 <= 1 e10166: b127 - b128 + b129 <= 1 e10167: b127 - b128 + b130 <= 1 e10168: b128 - b129 + b130 <= 1 e10169: b128 - b129 + b131 <= 1 e10170: b129 - b130 + b131 <= 1 e10171: b129 - b130 + b132 <= 1 e10172: b130 - b131 + b132 <= 1 e10173: b130 - b131 + b133 <= 1 e10174: b131 - b132 + b133 <= 1 e10175: b131 - b132 + b134 <= 1 e10176: b132 - b133 + b134 <= 1 e10177: b132 - b133 + b135 <= 1 e10178: b133 - b134 + b135 <= 1 e10179: b133 - b134 + b136 <= 1 e10180: b134 - b135 + b136 <= 1 e10181: b134 - b135 + b137 <= 1 e10182: b135 - b136 + b137 <= 1 e10183: b135 - b136 + b138 <= 1 e10184: b136 - b137 + b138 <= 1 e10185: b136 - b137 + b139 <= 1 e10186: b137 - b138 + b139 <= 1 e10187: b137 - b138 + b140 <= 1 e10188: b138 - b139 + b140 <= 1 e10189: b138 - b139 + b141 <= 1 e10190: b139 - b140 + b141 <= 1 e10191: b139 - b140 + b142 <= 1 e10192: b140 - b141 + b142 <= 1 e10193: b140 - b141 + b143 <= 1 e10194: b141 - b142 + b143 <= 1 e10195: b141 - b142 + b144 <= 1 e10196: b142 - b143 + b144 <= 1 e10197: b142 - b143 + b145 <= 1 e10198: b143 - b144 + b145 <= 1 e10199: - b122 + b123 + x19206 >= 0 e10200: - b122 + b124 + x19206 >= 0 e10201: b122 - b123 + b124 >= 0 e10202: b122 - b123 + b125 >= 0 e10203: b123 - b124 + b125 >= 0 e10204: b123 - b124 + b126 >= 0 e10205: b124 - b125 + b126 >= 0 e10206: b124 - b125 + b127 >= 0 e10207: b125 - b126 + b127 >= 0 e10208: b125 - b126 + b128 >= 0 e10209: b126 - b127 + b128 >= 0 e10210: b126 - b127 + b129 >= 0 e10211: b127 - b128 + b129 >= 0 e10212: b127 - b128 + b130 >= 0 e10213: b128 - b129 + b130 >= 0 e10214: b128 - b129 + b131 >= 0 e10215: b129 - b130 + b131 >= 0 e10216: b129 - b130 + b132 >= 0 e10217: b130 - b131 + b132 >= 0 e10218: b130 - b131 + b133 >= 0 e10219: b131 - b132 + b133 >= 0 e10220: b131 - b132 + b134 >= 0 e10221: b132 - b133 + b134 >= 0 e10222: b132 - b133 + b135 >= 0 e10223: b133 - b134 + b135 >= 0 e10224: b133 - b134 + b136 >= 0 e10225: b134 - b135 + b136 >= 0 e10226: b134 - b135 + b137 >= 0 e10227: b135 - b136 + b137 >= 0 e10228: b135 - b136 + b138 >= 0 e10229: b136 - b137 + b138 >= 0 e10230: b136 - b137 + b139 >= 0 e10231: b137 - b138 + b139 >= 0 e10232: b137 - b138 + b140 >= 0 e10233: b138 - b139 + b140 >= 0 e10234: b138 - b139 + b141 >= 0 e10235: b139 - b140 + b141 >= 0 e10236: b139 - b140 + b142 >= 0 e10237: b140 - b141 + b142 >= 0 e10238: b140 - b141 + b143 >= 0 e10239: b141 - b142 + b143 >= 0 e10240: b141 - b142 + b144 >= 0 e10241: b142 - b143 + b144 >= 0 e10242: b142 - b143 + b145 >= 0 e10243: b143 - b144 + b145 >= 0 e10244: - b146 + b147 + x19207 <= 1 e10245: - b146 + b148 + x19207 <= 1 e10246: b146 - b147 + b148 <= 1 e10247: b146 - b147 + b149 <= 1 e10248: b147 - b148 + b149 <= 1 e10249: b147 - b148 + b150 <= 1 e10250: b148 - b149 + b150 <= 1 e10251: b148 - b149 + b151 <= 1 e10252: b149 - b150 + b151 <= 1 e10253: b149 - b150 + b152 <= 1 e10254: b150 - b151 + b152 <= 1 e10255: b150 - b151 + b153 <= 1 e10256: b151 - b152 + b153 <= 1 e10257: b151 - b152 + b154 <= 1 e10258: b152 - b153 + b154 <= 1 e10259: b152 - b153 + b155 <= 1 e10260: b153 - b154 + b155 <= 1 e10261: b153 - b154 + b156 <= 1 e10262: b154 - b155 + b156 <= 1 e10263: b154 - b155 + b157 <= 1 e10264: b155 - b156 + b157 <= 1 e10265: b155 - b156 + b158 <= 1 e10266: b156 - b157 + b158 <= 1 e10267: b156 - b157 + b159 <= 1 e10268: b157 - b158 + b159 <= 1 e10269: b157 - b158 + b160 <= 1 e10270: b158 - b159 + b160 <= 1 e10271: b158 - b159 + b161 <= 1 e10272: b159 - b160 + b161 <= 1 e10273: b159 - b160 + b162 <= 1 e10274: b160 - b161 + b162 <= 1 e10275: b160 - b161 + b163 <= 1 e10276: b161 - b162 + b163 <= 1 e10277: b161 - b162 + b164 <= 1 e10278: b162 - b163 + b164 <= 1 e10279: b162 - b163 + b165 <= 1 e10280: b163 - b164 + b165 <= 1 e10281: b163 - b164 + b166 <= 1 e10282: b164 - b165 + b166 <= 1 e10283: b164 - b165 + b167 <= 1 e10284: b165 - b166 + b167 <= 1 e10285: b165 - b166 + b168 <= 1 e10286: b166 - b167 + b168 <= 1 e10287: b166 - b167 + b169 <= 1 e10288: b167 - b168 + b169 <= 1 e10289: - b146 + b147 + x19207 >= 0 e10290: - b146 + b148 + x19207 >= 0 e10291: b146 - b147 + b148 >= 0 e10292: b146 - b147 + b149 >= 0 e10293: b147 - b148 + b149 >= 0 e10294: b147 - b148 + b150 >= 0 e10295: b148 - b149 + b150 >= 0 e10296: b148 - b149 + b151 >= 0 e10297: b149 - b150 + b151 >= 0 e10298: b149 - b150 + b152 >= 0 e10299: b150 - b151 + b152 >= 0 e10300: b150 - b151 + b153 >= 0 e10301: b151 - b152 + b153 >= 0 e10302: b151 - b152 + b154 >= 0 e10303: b152 - b153 + b154 >= 0 e10304: b152 - b153 + b155 >= 0 e10305: b153 - b154 + b155 >= 0 e10306: b153 - b154 + b156 >= 0 e10307: b154 - b155 + b156 >= 0 e10308: b154 - b155 + b157 >= 0 e10309: b155 - b156 + b157 >= 0 e10310: b155 - b156 + b158 >= 0 e10311: b156 - b157 + b158 >= 0 e10312: b156 - b157 + b159 >= 0 e10313: b157 - b158 + b159 >= 0 e10314: b157 - b158 + b160 >= 0 e10315: b158 - b159 + b160 >= 0 e10316: b158 - b159 + b161 >= 0 e10317: b159 - b160 + b161 >= 0 e10318: b159 - b160 + b162 >= 0 e10319: b160 - b161 + b162 >= 0 e10320: b160 - b161 + b163 >= 0 e10321: b161 - b162 + b163 >= 0 e10322: b161 - b162 + b164 >= 0 e10323: b162 - b163 + b164 >= 0 e10324: b162 - b163 + b165 >= 0 e10325: b163 - b164 + b165 >= 0 e10326: b163 - b164 + b166 >= 0 e10327: b164 - b165 + b166 >= 0 e10328: b164 - b165 + b167 >= 0 e10329: b165 - b166 + b167 >= 0 e10330: b165 - b166 + b168 >= 0 e10331: b166 - b167 + b168 >= 0 e10332: b166 - b167 + b169 >= 0 e10333: b167 - b168 + b169 >= 0 e10334: - b170 + b171 + x19208 <= 1 e10335: - b170 + b172 + x19208 <= 1 e10336: b170 - b171 + b172 <= 1 e10337: b170 - b171 + b173 <= 1 e10338: b171 - b172 + b173 <= 1 e10339: b171 - b172 + b174 <= 1 e10340: b172 - b173 + b174 <= 1 e10341: b172 - b173 + b175 <= 1 e10342: b173 - b174 + b175 <= 1 e10343: b173 - b174 + b176 <= 1 e10344: b174 - b175 + b176 <= 1 e10345: b174 - b175 + b177 <= 1 e10346: b175 - b176 + b177 <= 1 e10347: b175 - b176 + b178 <= 1 e10348: b176 - b177 + b178 <= 1 e10349: b176 - b177 + b179 <= 1 e10350: b177 - b178 + b179 <= 1 e10351: b177 - b178 + b180 <= 1 e10352: b178 - b179 + b180 <= 1 e10353: b178 - b179 + b181 <= 1 e10354: b179 - b180 + b181 <= 1 e10355: b179 - b180 + b182 <= 1 e10356: b180 - b181 + b182 <= 1 e10357: b180 - b181 + b183 <= 1 e10358: b181 - b182 + b183 <= 1 e10359: b181 - b182 + b184 <= 1 e10360: b182 - b183 + b184 <= 1 e10361: b182 - b183 + b185 <= 1 e10362: b183 - b184 + b185 <= 1 e10363: b183 - b184 + b186 <= 1 e10364: b184 - b185 + b186 <= 1 e10365: b184 - b185 + b187 <= 1 e10366: b185 - b186 + b187 <= 1 e10367: b185 - b186 + b188 <= 1 e10368: b186 - b187 + b188 <= 1 e10369: b186 - b187 + b189 <= 1 e10370: b187 - b188 + b189 <= 1 e10371: b187 - b188 + b190 <= 1 e10372: b188 - b189 + b190 <= 1 e10373: b188 - b189 + b191 <= 1 e10374: b189 - b190 + b191 <= 1 e10375: b189 - b190 + b192 <= 1 e10376: b190 - b191 + b192 <= 1 e10377: b190 - b191 + b193 <= 1 e10378: b191 - b192 + b193 <= 1 e10379: - b170 + b171 + x19208 >= 0 e10380: - b170 + b172 + x19208 >= 0 e10381: b170 - b171 + b172 >= 0 e10382: b170 - b171 + b173 >= 0 e10383: b171 - b172 + b173 >= 0 e10384: b171 - b172 + b174 >= 0 e10385: b172 - b173 + b174 >= 0 e10386: b172 - b173 + b175 >= 0 e10387: b173 - b174 + b175 >= 0 e10388: b173 - b174 + b176 >= 0 e10389: b174 - b175 + b176 >= 0 e10390: b174 - b175 + b177 >= 0 e10391: b175 - b176 + b177 >= 0 e10392: b175 - b176 + b178 >= 0 e10393: b176 - b177 + b178 >= 0 e10394: b176 - b177 + b179 >= 0 e10395: b177 - b178 + b179 >= 0 e10396: b177 - b178 + b180 >= 0 e10397: b178 - b179 + b180 >= 0 e10398: b178 - b179 + b181 >= 0 e10399: b179 - b180 + b181 >= 0 e10400: b179 - b180 + b182 >= 0 e10401: b180 - b181 + b182 >= 0 e10402: b180 - b181 + b183 >= 0 e10403: b181 - b182 + b183 >= 0 e10404: b181 - b182 + b184 >= 0 e10405: b182 - b183 + b184 >= 0 e10406: b182 - b183 + b185 >= 0 e10407: b183 - b184 + b185 >= 0 e10408: b183 - b184 + b186 >= 0 e10409: b184 - b185 + b186 >= 0 e10410: b184 - b185 + b187 >= 0 e10411: b185 - b186 + b187 >= 0 e10412: b185 - b186 + b188 >= 0 e10413: b186 - b187 + b188 >= 0 e10414: b186 - b187 + b189 >= 0 e10415: b187 - b188 + b189 >= 0 e10416: b187 - b188 + b190 >= 0 e10417: b188 - b189 + b190 >= 0 e10418: b188 - b189 + b191 >= 0 e10419: b189 - b190 + b191 >= 0 e10420: b189 - b190 + b192 >= 0 e10421: b190 - b191 + b192 >= 0 e10422: b190 - b191 + b193 >= 0 e10423: b191 - b192 + b193 >= 0 e10424: - b194 + b195 + x19209 <= 1 e10425: - b194 + b196 + x19209 <= 1 e10426: b194 - b195 + b196 <= 1 e10427: b194 - b195 + b197 <= 1 e10428: b195 - b196 + b197 <= 1 e10429: b195 - b196 + b198 <= 1 e10430: b196 - b197 + b198 <= 1 e10431: b196 - b197 + b199 <= 1 e10432: b197 - b198 + b199 <= 1 e10433: b197 - b198 + b200 <= 1 e10434: b198 - b199 + b200 <= 1 e10435: b198 - b199 + b201 <= 1 e10436: b199 - b200 + b201 <= 1 e10437: b199 - b200 + b202 <= 1 e10438: b200 - b201 + b202 <= 1 e10439: b200 - b201 + b203 <= 1 e10440: b201 - b202 + b203 <= 1 e10441: b201 - b202 + b204 <= 1 e10442: b202 - b203 + b204 <= 1 e10443: b202 - b203 + b205 <= 1 e10444: b203 - b204 + b205 <= 1 e10445: b203 - b204 + b206 <= 1 e10446: b204 - b205 + b206 <= 1 e10447: b204 - b205 + b207 <= 1 e10448: b205 - b206 + b207 <= 1 e10449: b205 - b206 + b208 <= 1 e10450: b206 - b207 + b208 <= 1 e10451: b206 - b207 + b209 <= 1 e10452: b207 - b208 + b209 <= 1 e10453: b207 - b208 + b210 <= 1 e10454: b208 - b209 + b210 <= 1 e10455: b208 - b209 + b211 <= 1 e10456: b209 - b210 + b211 <= 1 e10457: b209 - b210 + b212 <= 1 e10458: b210 - b211 + b212 <= 1 e10459: b210 - b211 + b213 <= 1 e10460: b211 - b212 + b213 <= 1 e10461: b211 - b212 + b214 <= 1 e10462: b212 - b213 + b214 <= 1 e10463: b212 - b213 + b215 <= 1 e10464: b213 - b214 + b215 <= 1 e10465: b213 - b214 + b216 <= 1 e10466: b214 - b215 + b216 <= 1 e10467: b214 - b215 + b217 <= 1 e10468: b215 - b216 + b217 <= 1 e10469: - b194 + b195 + x19209 >= 0 e10470: - b194 + b196 + x19209 >= 0 e10471: - b194 + b197 + x19209 >= 0 e10472: b194 - b195 + b196 >= 0 e10473: b194 - b195 + b197 >= 0 e10474: b194 - b195 + b198 >= 0 e10475: b195 - b196 + b197 >= 0 e10476: b195 - b196 + b198 >= 0 e10477: b195 - b196 + b199 >= 0 e10478: b196 - b197 + b198 >= 0 e10479: b196 - b197 + b199 >= 0 e10480: b196 - b197 + b200 >= 0 e10481: b197 - b198 + b199 >= 0 e10482: b197 - b198 + b200 >= 0 e10483: b197 - b198 + b201 >= 0 e10484: b198 - b199 + b200 >= 0 e10485: b198 - b199 + b201 >= 0 e10486: b198 - b199 + b202 >= 0 e10487: b199 - b200 + b201 >= 0 e10488: b199 - b200 + b202 >= 0 e10489: b199 - b200 + b203 >= 0 e10490: b200 - b201 + b202 >= 0 e10491: b200 - b201 + b203 >= 0 e10492: b200 - b201 + b204 >= 0 e10493: b201 - b202 + b203 >= 0 e10494: b201 - b202 + b204 >= 0 e10495: b201 - b202 + b205 >= 0 e10496: b202 - b203 + b204 >= 0 e10497: b202 - b203 + b205 >= 0 e10498: b202 - b203 + b206 >= 0 e10499: b203 - b204 + b205 >= 0 e10500: b203 - b204 + b206 >= 0 e10501: b203 - b204 + b207 >= 0 e10502: b204 - b205 + b206 >= 0 e10503: b204 - b205 + b207 >= 0 e10504: b204 - b205 + b208 >= 0 e10505: b205 - b206 + b207 >= 0 e10506: b205 - b206 + b208 >= 0 e10507: b205 - b206 + b209 >= 0 e10508: b206 - b207 + b208 >= 0 e10509: b206 - b207 + b209 >= 0 e10510: b206 - b207 + b210 >= 0 e10511: b207 - b208 + b209 >= 0 e10512: b207 - b208 + b210 >= 0 e10513: b207 - b208 + b211 >= 0 e10514: b208 - b209 + b210 >= 0 e10515: b208 - b209 + b211 >= 0 e10516: b208 - b209 + b212 >= 0 e10517: b209 - b210 + b211 >= 0 e10518: b209 - b210 + b212 >= 0 e10519: b209 - b210 + b213 >= 0 e10520: b210 - b211 + b212 >= 0 e10521: b210 - b211 + b213 >= 0 e10522: b210 - b211 + b214 >= 0 e10523: b211 - b212 + b213 >= 0 e10524: b211 - b212 + b214 >= 0 e10525: b211 - b212 + b215 >= 0 e10526: b212 - b213 + b214 >= 0 e10527: b212 - b213 + b215 >= 0 e10528: b212 - b213 + b216 >= 0 e10529: b213 - b214 + b215 >= 0 e10530: b213 - b214 + b216 >= 0 e10531: b213 - b214 + b217 >= 0 e10532: b214 - b215 + b216 >= 0 e10533: b214 - b215 + b217 >= 0 e10534: b215 - b216 + b217 >= 0 e10535: - b218 + b219 + x19210 <= 1 e10536: - b218 + b220 + x19210 <= 1 e10537: b218 - b219 + b220 <= 1 e10538: b218 - b219 + b221 <= 1 e10539: b219 - b220 + b221 <= 1 e10540: b219 - b220 + b222 <= 1 e10541: b220 - b221 + b222 <= 1 e10542: b220 - b221 + b223 <= 1 e10543: b221 - b222 + b223 <= 1 e10544: b221 - b222 + b224 <= 1 e10545: b222 - b223 + b224 <= 1 e10546: b222 - b223 + b225 <= 1 e10547: b223 - b224 + b225 <= 1 e10548: b223 - b224 + b226 <= 1 e10549: b224 - b225 + b226 <= 1 e10550: b224 - b225 + b227 <= 1 e10551: b225 - b226 + b227 <= 1 e10552: b225 - b226 + b228 <= 1 e10553: b226 - b227 + b228 <= 1 e10554: b226 - b227 + b229 <= 1 e10555: b227 - b228 + b229 <= 1 e10556: b227 - b228 + b230 <= 1 e10557: b228 - b229 + b230 <= 1 e10558: b228 - b229 + b231 <= 1 e10559: b229 - b230 + b231 <= 1 e10560: b229 - b230 + b232 <= 1 e10561: b230 - b231 + b232 <= 1 e10562: b230 - b231 + b233 <= 1 e10563: b231 - b232 + b233 <= 1 e10564: b231 - b232 + b234 <= 1 e10565: b232 - b233 + b234 <= 1 e10566: b232 - b233 + b235 <= 1 e10567: b233 - b234 + b235 <= 1 e10568: b233 - b234 + b236 <= 1 e10569: b234 - b235 + b236 <= 1 e10570: b234 - b235 + b237 <= 1 e10571: b235 - b236 + b237 <= 1 e10572: b235 - b236 + b238 <= 1 e10573: b236 - b237 + b238 <= 1 e10574: b236 - b237 + b239 <= 1 e10575: b237 - b238 + b239 <= 1 e10576: b237 - b238 + b240 <= 1 e10577: b238 - b239 + b240 <= 1 e10578: b238 - b239 + b241 <= 1 e10579: b239 - b240 + b241 <= 1 e10580: - b218 + b219 + x19210 >= 0 e10581: - b218 + b220 + x19210 >= 0 e10582: b218 - b219 + b220 >= 0 e10583: b218 - b219 + b221 >= 0 e10584: b219 - b220 + b221 >= 0 e10585: b219 - b220 + b222 >= 0 e10586: b220 - b221 + b222 >= 0 e10587: b220 - b221 + b223 >= 0 e10588: b221 - b222 + b223 >= 0 e10589: b221 - b222 + b224 >= 0 e10590: b222 - b223 + b224 >= 0 e10591: b222 - b223 + b225 >= 0 e10592: b223 - b224 + b225 >= 0 e10593: b223 - b224 + b226 >= 0 e10594: b224 - b225 + b226 >= 0 e10595: b224 - b225 + b227 >= 0 e10596: b225 - b226 + b227 >= 0 e10597: b225 - b226 + b228 >= 0 e10598: b226 - b227 + b228 >= 0 e10599: b226 - b227 + b229 >= 0 e10600: b227 - b228 + b229 >= 0 e10601: b227 - b228 + b230 >= 0 e10602: b228 - b229 + b230 >= 0 e10603: b228 - b229 + b231 >= 0 e10604: b229 - b230 + b231 >= 0 e10605: b229 - b230 + b232 >= 0 e10606: b230 - b231 + b232 >= 0 e10607: b230 - b231 + b233 >= 0 e10608: b231 - b232 + b233 >= 0 e10609: b231 - b232 + b234 >= 0 e10610: b232 - b233 + b234 >= 0 e10611: b232 - b233 + b235 >= 0 e10612: b233 - b234 + b235 >= 0 e10613: b233 - b234 + b236 >= 0 e10614: b234 - b235 + b236 >= 0 e10615: b234 - b235 + b237 >= 0 e10616: b235 - b236 + b237 >= 0 e10617: b235 - b236 + b238 >= 0 e10618: b236 - b237 + b238 >= 0 e10619: b236 - b237 + b239 >= 0 e10620: b237 - b238 + b239 >= 0 e10621: b237 - b238 + b240 >= 0 e10622: b238 - b239 + b240 >= 0 e10623: b238 - b239 + b241 >= 0 e10624: b239 - b240 + b241 >= 0 e10625: b242 = 1 e10626: b242 - b243 + b244 <= 1 e10627: b242 - b243 + b245 <= 1 e10628: b242 - b243 + b246 <= 1 e10629: b243 - b244 + b245 <= 1 e10630: b243 - b244 + b246 <= 1 e10631: b243 - b244 + b247 <= 1 e10632: b244 - b245 + b246 <= 1 e10633: b244 - b245 + b247 <= 1 e10634: b244 - b245 + b248 <= 1 e10635: b245 - b246 + b247 <= 1 e10636: b245 - b246 + b248 <= 1 e10637: b245 - b246 + b249 <= 1 e10638: b246 - b247 + b248 <= 1 e10639: b246 - b247 + b249 <= 1 e10640: b246 - b247 + b250 <= 1 e10641: b247 - b248 + b249 <= 1 e10642: b247 - b248 + b250 <= 1 e10643: b247 - b248 + b251 <= 1 e10644: b248 - b249 + b250 <= 1 e10645: b248 - b249 + b251 <= 1 e10646: b248 - b249 + b252 <= 1 e10647: b249 - b250 + b251 <= 1 e10648: b249 - b250 + b252 <= 1 e10649: b249 - b250 + b253 <= 1 e10650: b250 - b251 + b252 <= 1 e10651: b250 - b251 + b253 <= 1 e10652: b250 - b251 + b254 <= 1 e10653: b251 - b252 + b253 <= 1 e10654: b251 - b252 + b254 <= 1 e10655: b251 - b252 + b255 <= 1 e10656: b252 - b253 + b254 <= 1 e10657: b252 - b253 + b255 <= 1 e10658: b252 - b253 + b256 <= 1 e10659: b253 - b254 + b255 <= 1 e10660: b253 - b254 + b256 <= 1 e10661: b253 - b254 + b257 <= 1 e10662: b254 - b255 + b256 <= 1 e10663: b254 - b255 + b257 <= 1 e10664: b254 - b255 + b258 <= 1 e10665: b255 - b256 + b257 <= 1 e10666: b255 - b256 + b258 <= 1 e10667: b255 - b256 + b259 <= 1 e10668: b256 - b257 + b258 <= 1 e10669: b256 - b257 + b259 <= 1 e10670: b256 - b257 + b260 <= 1 e10671: b257 - b258 + b259 <= 1 e10672: b257 - b258 + b260 <= 1 e10673: b257 - b258 + b261 <= 1 e10674: b258 - b259 + b260 <= 1 e10675: b258 - b259 + b261 <= 1 e10676: b258 - b259 + b262 <= 1 e10677: b259 - b260 + b261 <= 1 e10678: b259 - b260 + b262 <= 1 e10679: b259 - b260 + b263 <= 1 e10680: b260 - b261 + b262 <= 1 e10681: b260 - b261 + b263 <= 1 e10682: b260 - b261 + b264 <= 1 e10683: b261 - b262 + b263 <= 1 e10684: b261 - b262 + b264 <= 1 e10685: b261 - b262 + b265 <= 1 e10686: b262 - b263 + b264 <= 1 e10687: b262 - b263 + b265 <= 1 e10688: b263 - b264 + b265 <= 1 e10689: b242 - b243 + b244 >= 0 e10690: b242 - b243 + b245 >= 0 e10691: b242 - b243 + b246 >= 0 e10692: b243 - b244 + b245 >= 0 e10693: b243 - b244 + b246 >= 0 e10694: b243 - b244 + b247 >= 0 e10695: b244 - b245 + b246 >= 0 e10696: b244 - b245 + b247 >= 0 e10697: b244 - b245 + b248 >= 0 e10698: b245 - b246 + b247 >= 0 e10699: b245 - b246 + b248 >= 0 e10700: b245 - b246 + b249 >= 0 e10701: b246 - b247 + b248 >= 0 e10702: b246 - b247 + b249 >= 0 e10703: b246 - b247 + b250 >= 0 e10704: b247 - b248 + b249 >= 0 e10705: b247 - b248 + b250 >= 0 e10706: b247 - b248 + b251 >= 0 e10707: b248 - b249 + b250 >= 0 e10708: b248 - b249 + b251 >= 0 e10709: b248 - b249 + b252 >= 0 e10710: b249 - b250 + b251 >= 0 e10711: b249 - b250 + b252 >= 0 e10712: b249 - b250 + b253 >= 0 e10713: b250 - b251 + b252 >= 0 e10714: b250 - b251 + b253 >= 0 e10715: b250 - b251 + b254 >= 0 e10716: b251 - b252 + b253 >= 0 e10717: b251 - b252 + b254 >= 0 e10718: b251 - b252 + b255 >= 0 e10719: b252 - b253 + b254 >= 0 e10720: b252 - b253 + b255 >= 0 e10721: b252 - b253 + b256 >= 0 e10722: b253 - b254 + b255 >= 0 e10723: b253 - b254 + b256 >= 0 e10724: b253 - b254 + b257 >= 0 e10725: b254 - b255 + b256 >= 0 e10726: b254 - b255 + b257 >= 0 e10727: b254 - b255 + b258 >= 0 e10728: b255 - b256 + b257 >= 0 e10729: b255 - b256 + b258 >= 0 e10730: b255 - b256 + b259 >= 0 e10731: b256 - b257 + b258 >= 0 e10732: b256 - b257 + b259 >= 0 e10733: b256 - b257 + b260 >= 0 e10734: b257 - b258 + b259 >= 0 e10735: b257 - b258 + b260 >= 0 e10736: b257 - b258 + b261 >= 0 e10737: b258 - b259 + b260 >= 0 e10738: b258 - b259 + b261 >= 0 e10739: b258 - b259 + b262 >= 0 e10740: b259 - b260 + b261 >= 0 e10741: b259 - b260 + b262 >= 0 e10742: b259 - b260 + b263 >= 0 e10743: b260 - b261 + b262 >= 0 e10744: b260 - b261 + b263 >= 0 e10745: b260 - b261 + b264 >= 0 e10746: b261 - b262 + b263 >= 0 e10747: b261 - b262 + b264 >= 0 e10748: b261 - b262 + b265 >= 0 e10749: b262 - b263 + b264 >= 0 e10750: b262 - b263 + b265 >= 0 e10751: b263 - b264 + b265 >= 0 e10752: - b266 + b267 + x19211 <= 1 e10753: - b266 + b268 + x19211 <= 1 e10754: b266 - b267 + b268 <= 1 e10755: b266 - b267 + b269 <= 1 e10756: b267 - b268 + b269 <= 1 e10757: b267 - b268 + b270 <= 1 e10758: b268 - b269 + b270 <= 1 e10759: b268 - b269 + b271 <= 1 e10760: b269 - b270 + b271 <= 1 e10761: b269 - b270 + b272 <= 1 e10762: b270 - b271 + b272 <= 1 e10763: b270 - b271 + b273 <= 1 e10764: b271 - b272 + b273 <= 1 e10765: b271 - b272 + b274 <= 1 e10766: b272 - b273 + b274 <= 1 e10767: b272 - b273 + b275 <= 1 e10768: b273 - b274 + b275 <= 1 e10769: b273 - b274 + b276 <= 1 e10770: b274 - b275 + b276 <= 1 e10771: b274 - b275 + b277 <= 1 e10772: b275 - b276 + b277 <= 1 e10773: b275 - b276 + b278 <= 1 e10774: b276 - b277 + b278 <= 1 e10775: b276 - b277 + b279 <= 1 e10776: b277 - b278 + b279 <= 1 e10777: b277 - b278 + b280 <= 1 e10778: b278 - b279 + b280 <= 1 e10779: b278 - b279 + b281 <= 1 e10780: b279 - b280 + b281 <= 1 e10781: b279 - b280 + b282 <= 1 e10782: b280 - b281 + b282 <= 1 e10783: b280 - b281 + b283 <= 1 e10784: b281 - b282 + b283 <= 1 e10785: b281 - b282 + b284 <= 1 e10786: b282 - b283 + b284 <= 1 e10787: b282 - b283 + b285 <= 1 e10788: b283 - b284 + b285 <= 1 e10789: b283 - b284 + b286 <= 1 e10790: b284 - b285 + b286 <= 1 e10791: b284 - b285 + b287 <= 1 e10792: b285 - b286 + b287 <= 1 e10793: b285 - b286 + b288 <= 1 e10794: b286 - b287 + b288 <= 1 e10795: b286 - b287 + b289 <= 1 e10796: b287 - b288 + b289 <= 1 e10797: - b266 + b267 + x19211 >= 0 e10798: - b266 + b268 + x19211 >= 0 e10799: - b266 + b269 + x19211 >= 0 e10800: b266 - b267 + b268 >= 0 e10801: b266 - b267 + b269 >= 0 e10802: b266 - b267 + b270 >= 0 e10803: b267 - b268 + b269 >= 0 e10804: b267 - b268 + b270 >= 0 e10805: b267 - b268 + b271 >= 0 e10806: b268 - b269 + b270 >= 0 e10807: b268 - b269 + b271 >= 0 e10808: b268 - b269 + b272 >= 0 e10809: b269 - b270 + b271 >= 0 e10810: b269 - b270 + b272 >= 0 e10811: b269 - b270 + b273 >= 0 e10812: b270 - b271 + b272 >= 0 e10813: b270 - b271 + b273 >= 0 e10814: b270 - b271 + b274 >= 0 e10815: b271 - b272 + b273 >= 0 e10816: b271 - b272 + b274 >= 0 e10817: b271 - b272 + b275 >= 0 e10818: b272 - b273 + b274 >= 0 e10819: b272 - b273 + b275 >= 0 e10820: b272 - b273 + b276 >= 0 e10821: b273 - b274 + b275 >= 0 e10822: b273 - b274 + b276 >= 0 e10823: b273 - b274 + b277 >= 0 e10824: b274 - b275 + b276 >= 0 e10825: b274 - b275 + b277 >= 0 e10826: b274 - b275 + b278 >= 0 e10827: b275 - b276 + b277 >= 0 e10828: b275 - b276 + b278 >= 0 e10829: b275 - b276 + b279 >= 0 e10830: b276 - b277 + b278 >= 0 e10831: b276 - b277 + b279 >= 0 e10832: b276 - b277 + b280 >= 0 e10833: b277 - b278 + b279 >= 0 e10834: b277 - b278 + b280 >= 0 e10835: b277 - b278 + b281 >= 0 e10836: b278 - b279 + b280 >= 0 e10837: b278 - b279 + b281 >= 0 e10838: b278 - b279 + b282 >= 0 e10839: b279 - b280 + b281 >= 0 e10840: b279 - b280 + b282 >= 0 e10841: b279 - b280 + b283 >= 0 e10842: b280 - b281 + b282 >= 0 e10843: b280 - b281 + b283 >= 0 e10844: b280 - b281 + b284 >= 0 e10845: b281 - b282 + b283 >= 0 e10846: b281 - b282 + b284 >= 0 e10847: b281 - b282 + b285 >= 0 e10848: b282 - b283 + b284 >= 0 e10849: b282 - b283 + b285 >= 0 e10850: b282 - b283 + b286 >= 0 e10851: b283 - b284 + b285 >= 0 e10852: b283 - b284 + b286 >= 0 e10853: b283 - b284 + b287 >= 0 e10854: b284 - b285 + b286 >= 0 e10855: b284 - b285 + b287 >= 0 e10856: b284 - b285 + b288 >= 0 e10857: b285 - b286 + b287 >= 0 e10858: b285 - b286 + b288 >= 0 e10859: b285 - b286 + b289 >= 0 e10860: b286 - b287 + b288 >= 0 e10861: b286 - b287 + b289 >= 0 e10862: b287 - b288 + b289 >= 0 e10863: b290 = 1 e10864: b290 - b291 + b292 <= 1 e10865: b290 - b291 + b293 <= 1 e10866: b291 - b292 + b293 <= 1 e10867: b291 - b292 + b294 <= 1 e10868: b292 - b293 + b294 <= 1 e10869: b292 - b293 + b295 <= 1 e10870: b293 - b294 + b295 <= 1 e10871: b293 - b294 + b296 <= 1 e10872: b294 - b295 + b296 <= 1 e10873: b294 - b295 + b297 <= 1 e10874: b295 - b296 + b297 <= 1 e10875: b295 - b296 + b298 <= 1 e10876: b296 - b297 + b298 <= 1 e10877: b296 - b297 + b299 <= 1 e10878: b297 - b298 + b299 <= 1 e10879: b297 - b298 + b300 <= 1 e10880: b298 - b299 + b300 <= 1 e10881: b298 - b299 + b301 <= 1 e10882: b299 - b300 + b301 <= 1 e10883: b299 - b300 + b302 <= 1 e10884: b300 - b301 + b302 <= 1 e10885: b300 - b301 + b303 <= 1 e10886: b301 - b302 + b303 <= 1 e10887: b301 - b302 + b304 <= 1 e10888: b302 - b303 + b304 <= 1 e10889: b302 - b303 + b305 <= 1 e10890: b303 - b304 + b305 <= 1 e10891: b303 - b304 + b306 <= 1 e10892: b304 - b305 + b306 <= 1 e10893: b304 - b305 + b307 <= 1 e10894: b305 - b306 + b307 <= 1 e10895: b305 - b306 + b308 <= 1 e10896: b306 - b307 + b308 <= 1 e10897: b306 - b307 + b309 <= 1 e10898: b307 - b308 + b309 <= 1 e10899: b307 - b308 + b310 <= 1 e10900: b308 - b309 + b310 <= 1 e10901: b308 - b309 + b311 <= 1 e10902: b309 - b310 + b311 <= 1 e10903: b309 - b310 + b312 <= 1 e10904: b310 - b311 + b312 <= 1 e10905: b310 - b311 + b313 <= 1 e10906: b311 - b312 + b313 <= 1 e10907: b290 - b291 + b292 >= 0 e10908: b290 - b291 + b293 >= 0 e10909: b291 - b292 + b293 >= 0 e10910: b291 - b292 + b294 >= 0 e10911: b292 - b293 + b294 >= 0 e10912: b292 - b293 + b295 >= 0 e10913: b293 - b294 + b295 >= 0 e10914: b293 - b294 + b296 >= 0 e10915: b294 - b295 + b296 >= 0 e10916: b294 - b295 + b297 >= 0 e10917: b295 - b296 + b297 >= 0 e10918: b295 - b296 + b298 >= 0 e10919: b296 - b297 + b298 >= 0 e10920: b296 - b297 + b299 >= 0 e10921: b297 - b298 + b299 >= 0 e10922: b297 - b298 + b300 >= 0 e10923: b298 - b299 + b300 >= 0 e10924: b298 - b299 + b301 >= 0 e10925: b299 - b300 + b301 >= 0 e10926: b299 - b300 + b302 >= 0 e10927: b300 - b301 + b302 >= 0 e10928: b300 - b301 + b303 >= 0 e10929: b301 - b302 + b303 >= 0 e10930: b301 - b302 + b304 >= 0 e10931: b302 - b303 + b304 >= 0 e10932: b302 - b303 + b305 >= 0 e10933: b303 - b304 + b305 >= 0 e10934: b303 - b304 + b306 >= 0 e10935: b304 - b305 + b306 >= 0 e10936: b304 - b305 + b307 >= 0 e10937: b305 - b306 + b307 >= 0 e10938: b305 - b306 + b308 >= 0 e10939: b306 - b307 + b308 >= 0 e10940: b306 - b307 + b309 >= 0 e10941: b307 - b308 + b309 >= 0 e10942: b307 - b308 + b310 >= 0 e10943: b308 - b309 + b310 >= 0 e10944: b308 - b309 + b311 >= 0 e10945: b309 - b310 + b311 >= 0 e10946: b309 - b310 + b312 >= 0 e10947: b310 - b311 + b312 >= 0 e10948: b310 - b311 + b313 >= 0 e10949: b311 - b312 + b313 >= 0 e10950: b314 = 0 e10951: b314 - b315 + b316 <= 1 e10952: b314 - b315 + b317 <= 1 e10953: b314 - b315 + b318 <= 1 e10954: b315 - b316 + b317 <= 1 e10955: b315 - b316 + b318 <= 1 e10956: b315 - b316 + b319 <= 1 e10957: b316 - b317 + b318 <= 1 e10958: b316 - b317 + b319 <= 1 e10959: b316 - b317 + b320 <= 1 e10960: b317 - b318 + b319 <= 1 e10961: b317 - b318 + b320 <= 1 e10962: b317 - b318 + b321 <= 1 e10963: b318 - b319 + b320 <= 1 e10964: b318 - b319 + b321 <= 1 e10965: b318 - b319 + b322 <= 1 e10966: b319 - b320 + b321 <= 1 e10967: b319 - b320 + b322 <= 1 e10968: b319 - b320 + b323 <= 1 e10969: b320 - b321 + b322 <= 1 e10970: b320 - b321 + b323 <= 1 e10971: b320 - b321 + b324 <= 1 e10972: b321 - b322 + b323 <= 1 e10973: b321 - b322 + b324 <= 1 e10974: b321 - b322 + b325 <= 1 e10975: b322 - b323 + b324 <= 1 e10976: b322 - b323 + b325 <= 1 e10977: b322 - b323 + b326 <= 1 e10978: b323 - b324 + b325 <= 1 e10979: b323 - b324 + b326 <= 1 e10980: b323 - b324 + b327 <= 1 e10981: b324 - b325 + b326 <= 1 e10982: b324 - b325 + b327 <= 1 e10983: b324 - b325 + b328 <= 1 e10984: b325 - b326 + b327 <= 1 e10985: b325 - b326 + b328 <= 1 e10986: b325 - b326 + b329 <= 1 e10987: b326 - b327 + b328 <= 1 e10988: b326 - b327 + b329 <= 1 e10989: b326 - b327 + b330 <= 1 e10990: b327 - b328 + b329 <= 1 e10991: b327 - b328 + b330 <= 1 e10992: b327 - b328 + b331 <= 1 e10993: b328 - b329 + b330 <= 1 e10994: b328 - b329 + b331 <= 1 e10995: b328 - b329 + b332 <= 1 e10996: b329 - b330 + b331 <= 1 e10997: b329 - b330 + b332 <= 1 e10998: b329 - b330 + b333 <= 1 e10999: b330 - b331 + b332 <= 1 e11000: b330 - b331 + b333 <= 1 e11001: b330 - b331 + b334 <= 1 e11002: b331 - b332 + b333 <= 1 e11003: b331 - b332 + b334 <= 1 e11004: b331 - b332 + b335 <= 1 e11005: b332 - b333 + b334 <= 1 e11006: b332 - b333 + b335 <= 1 e11007: b332 - b333 + b336 <= 1 e11008: b333 - b334 + b335 <= 1 e11009: b333 - b334 + b336 <= 1 e11010: b333 - b334 + b337 <= 1 e11011: b334 - b335 + b336 <= 1 e11012: b334 - b335 + b337 <= 1 e11013: b335 - b336 + b337 <= 1 e11014: b314 - b315 + b316 >= 0 e11015: b314 - b315 + b317 >= 0 e11016: b315 - b316 + b317 >= 0 e11017: b315 - b316 + b318 >= 0 e11018: b316 - b317 + b318 >= 0 e11019: b316 - b317 + b319 >= 0 e11020: b317 - b318 + b319 >= 0 e11021: b317 - b318 + b320 >= 0 e11022: b318 - b319 + b320 >= 0 e11023: b318 - b319 + b321 >= 0 e11024: b319 - b320 + b321 >= 0 e11025: b319 - b320 + b322 >= 0 e11026: b320 - b321 + b322 >= 0 e11027: b320 - b321 + b323 >= 0 e11028: b321 - b322 + b323 >= 0 e11029: b321 - b322 + b324 >= 0 e11030: b322 - b323 + b324 >= 0 e11031: b322 - b323 + b325 >= 0 e11032: b323 - b324 + b325 >= 0 e11033: b323 - b324 + b326 >= 0 e11034: b324 - b325 + b326 >= 0 e11035: b324 - b325 + b327 >= 0 e11036: b325 - b326 + b327 >= 0 e11037: b325 - b326 + b328 >= 0 e11038: b326 - b327 + b328 >= 0 e11039: b326 - b327 + b329 >= 0 e11040: b327 - b328 + b329 >= 0 e11041: b327 - b328 + b330 >= 0 e11042: b328 - b329 + b330 >= 0 e11043: b328 - b329 + b331 >= 0 e11044: b329 - b330 + b331 >= 0 e11045: b329 - b330 + b332 >= 0 e11046: b330 - b331 + b332 >= 0 e11047: b330 - b331 + b333 >= 0 e11048: b331 - b332 + b333 >= 0 e11049: b331 - b332 + b334 >= 0 e11050: b332 - b333 + b334 >= 0 e11051: b332 - b333 + b335 >= 0 e11052: b333 - b334 + b335 >= 0 e11053: b333 - b334 + b336 >= 0 e11054: b334 - b335 + b336 >= 0 e11055: b334 - b335 + b337 >= 0 e11056: b335 - b336 + b337 >= 0 e11057: - b338 + b339 + x19212 <= 1 e11058: - b338 + b340 + x19212 <= 1 e11059: b338 - b339 + b340 <= 1 e11060: b338 - b339 + b341 <= 1 e11061: b339 - b340 + b341 <= 1 e11062: b339 - b340 + b342 <= 1 e11063: b340 - b341 + b342 <= 1 e11064: b340 - b341 + b343 <= 1 e11065: b341 - b342 + b343 <= 1 e11066: b341 - b342 + b344 <= 1 e11067: b342 - b343 + b344 <= 1 e11068: b342 - b343 + b345 <= 1 e11069: b343 - b344 + b345 <= 1 e11070: b343 - b344 + b346 <= 1 e11071: b344 - b345 + b346 <= 1 e11072: b344 - b345 + b347 <= 1 e11073: b345 - b346 + b347 <= 1 e11074: b345 - b346 + b348 <= 1 e11075: b346 - b347 + b348 <= 1 e11076: b346 - b347 + b349 <= 1 e11077: b347 - b348 + b349 <= 1 e11078: b347 - b348 + b350 <= 1 e11079: b348 - b349 + b350 <= 1 e11080: b348 - b349 + b351 <= 1 e11081: b349 - b350 + b351 <= 1 e11082: b349 - b350 + b352 <= 1 e11083: b350 - b351 + b352 <= 1 e11084: b350 - b351 + b353 <= 1 e11085: b351 - b352 + b353 <= 1 e11086: b351 - b352 + b354 <= 1 e11087: b352 - b353 + b354 <= 1 e11088: b352 - b353 + b355 <= 1 e11089: b353 - b354 + b355 <= 1 e11090: b353 - b354 + b356 <= 1 e11091: b354 - b355 + b356 <= 1 e11092: b354 - b355 + b357 <= 1 e11093: b355 - b356 + b357 <= 1 e11094: b355 - b356 + b358 <= 1 e11095: b356 - b357 + b358 <= 1 e11096: b356 - b357 + b359 <= 1 e11097: b357 - b358 + b359 <= 1 e11098: b357 - b358 + b360 <= 1 e11099: b358 - b359 + b360 <= 1 e11100: b358 - b359 + b361 <= 1 e11101: b359 - b360 + b361 <= 1 e11102: - b338 + b339 + x19212 >= 0 e11103: - b338 + b340 + x19212 >= 0 e11104: - b338 + b341 + x19212 >= 0 e11105: b338 - b339 + b340 >= 0 e11106: b338 - b339 + b341 >= 0 e11107: b338 - b339 + b342 >= 0 e11108: b339 - b340 + b341 >= 0 e11109: b339 - b340 + b342 >= 0 e11110: b339 - b340 + b343 >= 0 e11111: b340 - b341 + b342 >= 0 e11112: b340 - b341 + b343 >= 0 e11113: b340 - b341 + b344 >= 0 e11114: b341 - b342 + b343 >= 0 e11115: b341 - b342 + b344 >= 0 e11116: b341 - b342 + b345 >= 0 e11117: b342 - b343 + b344 >= 0 e11118: b342 - b343 + b345 >= 0 e11119: b342 - b343 + b346 >= 0 e11120: b343 - b344 + b345 >= 0 e11121: b343 - b344 + b346 >= 0 e11122: b343 - b344 + b347 >= 0 e11123: b344 - b345 + b346 >= 0 e11124: b344 - b345 + b347 >= 0 e11125: b344 - b345 + b348 >= 0 e11126: b345 - b346 + b347 >= 0 e11127: b345 - b346 + b348 >= 0 e11128: b345 - b346 + b349 >= 0 e11129: b346 - b347 + b348 >= 0 e11130: b346 - b347 + b349 >= 0 e11131: b346 - b347 + b350 >= 0 e11132: b347 - b348 + b349 >= 0 e11133: b347 - b348 + b350 >= 0 e11134: b347 - b348 + b351 >= 0 e11135: b348 - b349 + b350 >= 0 e11136: b348 - b349 + b351 >= 0 e11137: b348 - b349 + b352 >= 0 e11138: b349 - b350 + b351 >= 0 e11139: b349 - b350 + b352 >= 0 e11140: b349 - b350 + b353 >= 0 e11141: b350 - b351 + b352 >= 0 e11142: b350 - b351 + b353 >= 0 e11143: b350 - b351 + b354 >= 0 e11144: b351 - b352 + b353 >= 0 e11145: b351 - b352 + b354 >= 0 e11146: b351 - b352 + b355 >= 0 e11147: b352 - b353 + b354 >= 0 e11148: b352 - b353 + b355 >= 0 e11149: b352 - b353 + b356 >= 0 e11150: b353 - b354 + b355 >= 0 e11151: b353 - b354 + b356 >= 0 e11152: b353 - b354 + b357 >= 0 e11153: b354 - b355 + b356 >= 0 e11154: b354 - b355 + b357 >= 0 e11155: b354 - b355 + b358 >= 0 e11156: b355 - b356 + b357 >= 0 e11157: b355 - b356 + b358 >= 0 e11158: b355 - b356 + b359 >= 0 e11159: b356 - b357 + b358 >= 0 e11160: b356 - b357 + b359 >= 0 e11161: b356 - b357 + b360 >= 0 e11162: b357 - b358 + b359 >= 0 e11163: b357 - b358 + b360 >= 0 e11164: b357 - b358 + b361 >= 0 e11165: b358 - b359 + b360 >= 0 e11166: b358 - b359 + b361 >= 0 e11167: b359 - b360 + b361 >= 0 e11168: - b362 + b363 + x19213 <= 1 e11169: - b362 + b364 + x19213 <= 1 e11170: - b362 + b365 + x19213 <= 1 e11171: b362 - b363 + b364 <= 1 e11172: b362 - b363 + b365 <= 1 e11173: b362 - b363 + b366 <= 1 e11174: b363 - b364 + b365 <= 1 e11175: b363 - b364 + b366 <= 1 e11176: b363 - b364 + b367 <= 1 e11177: b364 - b365 + b366 <= 1 e11178: b364 - b365 + b367 <= 1 e11179: b364 - b365 + b368 <= 1 e11180: b365 - b366 + b367 <= 1 e11181: b365 - b366 + b368 <= 1 e11182: b365 - b366 + b369 <= 1 e11183: b366 - b367 + b368 <= 1 e11184: b366 - b367 + b369 <= 1 e11185: b366 - b367 + b370 <= 1 e11186: b367 - b368 + b369 <= 1 e11187: b367 - b368 + b370 <= 1 e11188: b367 - b368 + b371 <= 1 e11189: b368 - b369 + b370 <= 1 e11190: b368 - b369 + b371 <= 1 e11191: b368 - b369 + b372 <= 1 e11192: b369 - b370 + b371 <= 1 e11193: b369 - b370 + b372 <= 1 e11194: b369 - b370 + b373 <= 1 e11195: b370 - b371 + b372 <= 1 e11196: b370 - b371 + b373 <= 1 e11197: b370 - b371 + b374 <= 1 e11198: b371 - b372 + b373 <= 1 e11199: b371 - b372 + b374 <= 1 e11200: b371 - b372 + b375 <= 1 e11201: b372 - b373 + b374 <= 1 e11202: b372 - b373 + b375 <= 1 e11203: b372 - b373 + b376 <= 1 e11204: b373 - b374 + b375 <= 1 e11205: b373 - b374 + b376 <= 1 e11206: b373 - b374 + b377 <= 1 e11207: b374 - b375 + b376 <= 1 e11208: b374 - b375 + b377 <= 1 e11209: b374 - b375 + b378 <= 1 e11210: b375 - b376 + b377 <= 1 e11211: b375 - b376 + b378 <= 1 e11212: b375 - b376 + b379 <= 1 e11213: b376 - b377 + b378 <= 1 e11214: b376 - b377 + b379 <= 1 e11215: b376 - b377 + b380 <= 1 e11216: b377 - b378 + b379 <= 1 e11217: b377 - b378 + b380 <= 1 e11218: b377 - b378 + b381 <= 1 e11219: b378 - b379 + b380 <= 1 e11220: b378 - b379 + b381 <= 1 e11221: b378 - b379 + b382 <= 1 e11222: b379 - b380 + b381 <= 1 e11223: b379 - b380 + b382 <= 1 e11224: b379 - b380 + b383 <= 1 e11225: b380 - b381 + b382 <= 1 e11226: b380 - b381 + b383 <= 1 e11227: b380 - b381 + b384 <= 1 e11228: b381 - b382 + b383 <= 1 e11229: b381 - b382 + b384 <= 1 e11230: b381 - b382 + b385 <= 1 e11231: b382 - b383 + b384 <= 1 e11232: b382 - b383 + b385 <= 1 e11233: b383 - b384 + b385 <= 1 e11234: - b362 + b363 + x19213 >= 0 e11235: - b362 + b364 + x19213 >= 0 e11236: - b362 + b365 + x19213 >= 0 e11237: b362 - b363 + b364 >= 0 e11238: b362 - b363 + b365 >= 0 e11239: b362 - b363 + b366 >= 0 e11240: b363 - b364 + b365 >= 0 e11241: b363 - b364 + b366 >= 0 e11242: b363 - b364 + b367 >= 0 e11243: b364 - b365 + b366 >= 0 e11244: b364 - b365 + b367 >= 0 e11245: b364 - b365 + b368 >= 0 e11246: b365 - b366 + b367 >= 0 e11247: b365 - b366 + b368 >= 0 e11248: b365 - b366 + b369 >= 0 e11249: b366 - b367 + b368 >= 0 e11250: b366 - b367 + b369 >= 0 e11251: b366 - b367 + b370 >= 0 e11252: b367 - b368 + b369 >= 0 e11253: b367 - b368 + b370 >= 0 e11254: b367 - b368 + b371 >= 0 e11255: b368 - b369 + b370 >= 0 e11256: b368 - b369 + b371 >= 0 e11257: b368 - b369 + b372 >= 0 e11258: b369 - b370 + b371 >= 0 e11259: b369 - b370 + b372 >= 0 e11260: b369 - b370 + b373 >= 0 e11261: b370 - b371 + b372 >= 0 e11262: b370 - b371 + b373 >= 0 e11263: b370 - b371 + b374 >= 0 e11264: b371 - b372 + b373 >= 0 e11265: b371 - b372 + b374 >= 0 e11266: b371 - b372 + b375 >= 0 e11267: b372 - b373 + b374 >= 0 e11268: b372 - b373 + b375 >= 0 e11269: b372 - b373 + b376 >= 0 e11270: b373 - b374 + b375 >= 0 e11271: b373 - b374 + b376 >= 0 e11272: b373 - b374 + b377 >= 0 e11273: b374 - b375 + b376 >= 0 e11274: b374 - b375 + b377 >= 0 e11275: b374 - b375 + b378 >= 0 e11276: b375 - b376 + b377 >= 0 e11277: b375 - b376 + b378 >= 0 e11278: b375 - b376 + b379 >= 0 e11279: b376 - b377 + b378 >= 0 e11280: b376 - b377 + b379 >= 0 e11281: b376 - b377 + b380 >= 0 e11282: b377 - b378 + b379 >= 0 e11283: b377 - b378 + b380 >= 0 e11284: b377 - b378 + b381 >= 0 e11285: b378 - b379 + b380 >= 0 e11286: b378 - b379 + b381 >= 0 e11287: b378 - b379 + b382 >= 0 e11288: b379 - b380 + b381 >= 0 e11289: b379 - b380 + b382 >= 0 e11290: b379 - b380 + b383 >= 0 e11291: b380 - b381 + b382 >= 0 e11292: b380 - b381 + b383 >= 0 e11293: b380 - b381 + b384 >= 0 e11294: b381 - b382 + b383 >= 0 e11295: b381 - b382 + b384 >= 0 e11296: b381 - b382 + b385 >= 0 e11297: b382 - b383 + b384 >= 0 e11298: b382 - b383 + b385 >= 0 e11299: b383 - b384 + b385 >= 0 e11300: - b386 + b387 + x19214 <= 1 e11301: - b386 + b388 + x19214 <= 1 e11302: b386 - b387 + b388 <= 1 e11303: b386 - b387 + b389 <= 1 e11304: b387 - b388 + b389 <= 1 e11305: b387 - b388 + b390 <= 1 e11306: b388 - b389 + b390 <= 1 e11307: b388 - b389 + b391 <= 1 e11308: b389 - b390 + b391 <= 1 e11309: b389 - b390 + b392 <= 1 e11310: b390 - b391 + b392 <= 1 e11311: b390 - b391 + b393 <= 1 e11312: b391 - b392 + b393 <= 1 e11313: b391 - b392 + b394 <= 1 e11314: b392 - b393 + b394 <= 1 e11315: b392 - b393 + b395 <= 1 e11316: b393 - b394 + b395 <= 1 e11317: b393 - b394 + b396 <= 1 e11318: b394 - b395 + b396 <= 1 e11319: b394 - b395 + b397 <= 1 e11320: b395 - b396 + b397 <= 1 e11321: b395 - b396 + b398 <= 1 e11322: b396 - b397 + b398 <= 1 e11323: b396 - b397 + b399 <= 1 e11324: b397 - b398 + b399 <= 1 e11325: b397 - b398 + b400 <= 1 e11326: b398 - b399 + b400 <= 1 e11327: b398 - b399 + b401 <= 1 e11328: b399 - b400 + b401 <= 1 e11329: b399 - b400 + b402 <= 1 e11330: b400 - b401 + b402 <= 1 e11331: b400 - b401 + b403 <= 1 e11332: b401 - b402 + b403 <= 1 e11333: b401 - b402 + b404 <= 1 e11334: b402 - b403 + b404 <= 1 e11335: b402 - b403 + b405 <= 1 e11336: b403 - b404 + b405 <= 1 e11337: b403 - b404 + b406 <= 1 e11338: b404 - b405 + b406 <= 1 e11339: b404 - b405 + b407 <= 1 e11340: b405 - b406 + b407 <= 1 e11341: b405 - b406 + b408 <= 1 e11342: b406 - b407 + b408 <= 1 e11343: b406 - b407 + b409 <= 1 e11344: b407 - b408 + b409 <= 1 e11345: - b386 + b387 + x19214 >= 0 e11346: - b386 + b388 + x19214 >= 0 e11347: b386 - b387 + b388 >= 0 e11348: b386 - b387 + b389 >= 0 e11349: b387 - b388 + b389 >= 0 e11350: b387 - b388 + b390 >= 0 e11351: b388 - b389 + b390 >= 0 e11352: b388 - b389 + b391 >= 0 e11353: b389 - b390 + b391 >= 0 e11354: b389 - b390 + b392 >= 0 e11355: b390 - b391 + b392 >= 0 e11356: b390 - b391 + b393 >= 0 e11357: b391 - b392 + b393 >= 0 e11358: b391 - b392 + b394 >= 0 e11359: b392 - b393 + b394 >= 0 e11360: b392 - b393 + b395 >= 0 e11361: b393 - b394 + b395 >= 0 e11362: b393 - b394 + b396 >= 0 e11363: b394 - b395 + b396 >= 0 e11364: b394 - b395 + b397 >= 0 e11365: b395 - b396 + b397 >= 0 e11366: b395 - b396 + b398 >= 0 e11367: b396 - b397 + b398 >= 0 e11368: b396 - b397 + b399 >= 0 e11369: b397 - b398 + b399 >= 0 e11370: b397 - b398 + b400 >= 0 e11371: b398 - b399 + b400 >= 0 e11372: b398 - b399 + b401 >= 0 e11373: b399 - b400 + b401 >= 0 e11374: b399 - b400 + b402 >= 0 e11375: b400 - b401 + b402 >= 0 e11376: b400 - b401 + b403 >= 0 e11377: b401 - b402 + b403 >= 0 e11378: b401 - b402 + b404 >= 0 e11379: b402 - b403 + b404 >= 0 e11380: b402 - b403 + b405 >= 0 e11381: b403 - b404 + b405 >= 0 e11382: b403 - b404 + b406 >= 0 e11383: b404 - b405 + b406 >= 0 e11384: b404 - b405 + b407 >= 0 e11385: b405 - b406 + b407 >= 0 e11386: b405 - b406 + b408 >= 0 e11387: b406 - b407 + b408 >= 0 e11388: b406 - b407 + b409 >= 0 e11389: b407 - b408 + b409 >= 0 e11390: - b410 + b411 + x19215 <= 1 e11391: - b410 + b412 + x19215 <= 1 e11392: - b410 + b413 + x19215 <= 1 e11393: b410 - b411 + b412 <= 1 e11394: b410 - b411 + b413 <= 1 e11395: b410 - b411 + b414 <= 1 e11396: b411 - b412 + b413 <= 1 e11397: b411 - b412 + b414 <= 1 e11398: b411 - b412 + b415 <= 1 e11399: b412 - b413 + b414 <= 1 e11400: b412 - b413 + b415 <= 1 e11401: b412 - b413 + b416 <= 1 e11402: b413 - b414 + b415 <= 1 e11403: b413 - b414 + b416 <= 1 e11404: b413 - b414 + b417 <= 1 e11405: b414 - b415 + b416 <= 1 e11406: b414 - b415 + b417 <= 1 e11407: b414 - b415 + b418 <= 1 e11408: b415 - b416 + b417 <= 1 e11409: b415 - b416 + b418 <= 1 e11410: b415 - b416 + b419 <= 1 e11411: b416 - b417 + b418 <= 1 e11412: b416 - b417 + b419 <= 1 e11413: b416 - b417 + b420 <= 1 e11414: b417 - b418 + b419 <= 1 e11415: b417 - b418 + b420 <= 1 e11416: b417 - b418 + b421 <= 1 e11417: b418 - b419 + b420 <= 1 e11418: b418 - b419 + b421 <= 1 e11419: b418 - b419 + b422 <= 1 e11420: b419 - b420 + b421 <= 1 e11421: b419 - b420 + b422 <= 1 e11422: b419 - b420 + b423 <= 1 e11423: b420 - b421 + b422 <= 1 e11424: b420 - b421 + b423 <= 1 e11425: b420 - b421 + b424 <= 1 e11426: b421 - b422 + b423 <= 1 e11427: b421 - b422 + b424 <= 1 e11428: b421 - b422 + b425 <= 1 e11429: b422 - b423 + b424 <= 1 e11430: b422 - b423 + b425 <= 1 e11431: b422 - b423 + b426 <= 1 e11432: b423 - b424 + b425 <= 1 e11433: b423 - b424 + b426 <= 1 e11434: b423 - b424 + b427 <= 1 e11435: b424 - b425 + b426 <= 1 e11436: b424 - b425 + b427 <= 1 e11437: b424 - b425 + b428 <= 1 e11438: b425 - b426 + b427 <= 1 e11439: b425 - b426 + b428 <= 1 e11440: b425 - b426 + b429 <= 1 e11441: b426 - b427 + b428 <= 1 e11442: b426 - b427 + b429 <= 1 e11443: b426 - b427 + b430 <= 1 e11444: b427 - b428 + b429 <= 1 e11445: b427 - b428 + b430 <= 1 e11446: b427 - b428 + b431 <= 1 e11447: b428 - b429 + b430 <= 1 e11448: b428 - b429 + b431 <= 1 e11449: b428 - b429 + b432 <= 1 e11450: b429 - b430 + b431 <= 1 e11451: b429 - b430 + b432 <= 1 e11452: b429 - b430 + b433 <= 1 e11453: b430 - b431 + b432 <= 1 e11454: b430 - b431 + b433 <= 1 e11455: b431 - b432 + b433 <= 1 e11456: - b410 + b411 + x19215 >= 0 e11457: - b410 + b412 + x19215 >= 0 e11458: - b410 + b413 + x19215 >= 0 e11459: b410 - b411 + b412 >= 0 e11460: b410 - b411 + b413 >= 0 e11461: b410 - b411 + b414 >= 0 e11462: b411 - b412 + b413 >= 0 e11463: b411 - b412 + b414 >= 0 e11464: b411 - b412 + b415 >= 0 e11465: b412 - b413 + b414 >= 0 e11466: b412 - b413 + b415 >= 0 e11467: b412 - b413 + b416 >= 0 e11468: b413 - b414 + b415 >= 0 e11469: b413 - b414 + b416 >= 0 e11470: b413 - b414 + b417 >= 0 e11471: b414 - b415 + b416 >= 0 e11472: b414 - b415 + b417 >= 0 e11473: b414 - b415 + b418 >= 0 e11474: b415 - b416 + b417 >= 0 e11475: b415 - b416 + b418 >= 0 e11476: b415 - b416 + b419 >= 0 e11477: b416 - b417 + b418 >= 0 e11478: b416 - b417 + b419 >= 0 e11479: b416 - b417 + b420 >= 0 e11480: b417 - b418 + b419 >= 0 e11481: b417 - b418 + b420 >= 0 e11482: b417 - b418 + b421 >= 0 e11483: b418 - b419 + b420 >= 0 e11484: b418 - b419 + b421 >= 0 e11485: b418 - b419 + b422 >= 0 e11486: b419 - b420 + b421 >= 0 e11487: b419 - b420 + b422 >= 0 e11488: b419 - b420 + b423 >= 0 e11489: b420 - b421 + b422 >= 0 e11490: b420 - b421 + b423 >= 0 e11491: b420 - b421 + b424 >= 0 e11492: b421 - b422 + b423 >= 0 e11493: b421 - b422 + b424 >= 0 e11494: b421 - b422 + b425 >= 0 e11495: b422 - b423 + b424 >= 0 e11496: b422 - b423 + b425 >= 0 e11497: b422 - b423 + b426 >= 0 e11498: b423 - b424 + b425 >= 0 e11499: b423 - b424 + b426 >= 0 e11500: b423 - b424 + b427 >= 0 e11501: b424 - b425 + b426 >= 0 e11502: b424 - b425 + b427 >= 0 e11503: b424 - b425 + b428 >= 0 e11504: b425 - b426 + b427 >= 0 e11505: b425 - b426 + b428 >= 0 e11506: b425 - b426 + b429 >= 0 e11507: b426 - b427 + b428 >= 0 e11508: b426 - b427 + b429 >= 0 e11509: b426 - b427 + b430 >= 0 e11510: b427 - b428 + b429 >= 0 e11511: b427 - b428 + b430 >= 0 e11512: b427 - b428 + b431 >= 0 e11513: b428 - b429 + b430 >= 0 e11514: b428 - b429 + b431 >= 0 e11515: b428 - b429 + b432 >= 0 e11516: b429 - b430 + b431 >= 0 e11517: b429 - b430 + b432 >= 0 e11518: b429 - b430 + b433 >= 0 e11519: b430 - b431 + b432 >= 0 e11520: b430 - b431 + b433 >= 0 e11521: b431 - b432 + b433 >= 0 e11522: b434 = 1 e11523: b435 = 1 e11524: b435 - b436 + b437 <= 1 e11525: b435 - b436 + b438 <= 1 e11526: b436 - b437 + b438 <= 1 e11527: b436 - b437 + b439 <= 1 e11528: b437 - b438 + b439 <= 1 e11529: b437 - b438 + b440 <= 1 e11530: b438 - b439 + b440 <= 1 e11531: b438 - b439 + b441 <= 1 e11532: b439 - b440 + b441 <= 1 e11533: b439 - b440 + b442 <= 1 e11534: b440 - b441 + b442 <= 1 e11535: b440 - b441 + b443 <= 1 e11536: b441 - b442 + b443 <= 1 e11537: b441 - b442 + b444 <= 1 e11538: b442 - b443 + b444 <= 1 e11539: b442 - b443 + b445 <= 1 e11540: b443 - b444 + b445 <= 1 e11541: b443 - b444 + b446 <= 1 e11542: b444 - b445 + b446 <= 1 e11543: b444 - b445 + b447 <= 1 e11544: b445 - b446 + b447 <= 1 e11545: b445 - b446 + b448 <= 1 e11546: b446 - b447 + b448 <= 1 e11547: b446 - b447 + b449 <= 1 e11548: b447 - b448 + b449 <= 1 e11549: b447 - b448 + b450 <= 1 e11550: b448 - b449 + b450 <= 1 e11551: b448 - b449 + b451 <= 1 e11552: b449 - b450 + b451 <= 1 e11553: b449 - b450 + b452 <= 1 e11554: b450 - b451 + b452 <= 1 e11555: b450 - b451 + b453 <= 1 e11556: b451 - b452 + b453 <= 1 e11557: b451 - b452 + b454 <= 1 e11558: b452 - b453 + b454 <= 1 e11559: b452 - b453 + b455 <= 1 e11560: b453 - b454 + b455 <= 1 e11561: b453 - b454 + b456 <= 1 e11562: b454 - b455 + b456 <= 1 e11563: b454 - b455 + b457 <= 1 e11564: b455 - b456 + b457 <= 1 e11565: b435 - b436 + b437 >= 0 e11566: b435 - b436 + b438 >= 0 e11567: b436 - b437 + b438 >= 0 e11568: b436 - b437 + b439 >= 0 e11569: b437 - b438 + b439 >= 0 e11570: b437 - b438 + b440 >= 0 e11571: b438 - b439 + b440 >= 0 e11572: b438 - b439 + b441 >= 0 e11573: b439 - b440 + b441 >= 0 e11574: b439 - b440 + b442 >= 0 e11575: b440 - b441 + b442 >= 0 e11576: b440 - b441 + b443 >= 0 e11577: b441 - b442 + b443 >= 0 e11578: b441 - b442 + b444 >= 0 e11579: b442 - b443 + b444 >= 0 e11580: b442 - b443 + b445 >= 0 e11581: b443 - b444 + b445 >= 0 e11582: b443 - b444 + b446 >= 0 e11583: b444 - b445 + b446 >= 0 e11584: b444 - b445 + b447 >= 0 e11585: b445 - b446 + b447 >= 0 e11586: b445 - b446 + b448 >= 0 e11587: b446 - b447 + b448 >= 0 e11588: b446 - b447 + b449 >= 0 e11589: b447 - b448 + b449 >= 0 e11590: b447 - b448 + b450 >= 0 e11591: b448 - b449 + b450 >= 0 e11592: b448 - b449 + b451 >= 0 e11593: b449 - b450 + b451 >= 0 e11594: b449 - b450 + b452 >= 0 e11595: b450 - b451 + b452 >= 0 e11596: b450 - b451 + b453 >= 0 e11597: b451 - b452 + b453 >= 0 e11598: b451 - b452 + b454 >= 0 e11599: b452 - b453 + b454 >= 0 e11600: b452 - b453 + b455 >= 0 e11601: b453 - b454 + b455 >= 0 e11602: b453 - b454 + b456 >= 0 e11603: b454 - b455 + b456 >= 0 e11604: b454 - b455 + b457 >= 0 e11605: b455 - b456 + b457 >= 0 e11606: b458 = 0 e11607: b459 = 0 e11608: b459 - b460 + b461 <= 1 e11609: b459 - b460 + b462 <= 1 e11610: b459 - b460 + b463 <= 1 e11611: b460 - b461 + b462 <= 1 e11612: b460 - b461 + b463 <= 1 e11613: b460 - b461 + b464 <= 1 e11614: b461 - b462 + b463 <= 1 e11615: b461 - b462 + b464 <= 1 e11616: b461 - b462 + b465 <= 1 e11617: b462 - b463 + b464 <= 1 e11618: b462 - b463 + b465 <= 1 e11619: b462 - b463 + b466 <= 1 e11620: b463 - b464 + b465 <= 1 e11621: b463 - b464 + b466 <= 1 e11622: b463 - b464 + b467 <= 1 e11623: b464 - b465 + b466 <= 1 e11624: b464 - b465 + b467 <= 1 e11625: b464 - b465 + b468 <= 1 e11626: b465 - b466 + b467 <= 1 e11627: b465 - b466 + b468 <= 1 e11628: b465 - b466 + b469 <= 1 e11629: b466 - b467 + b468 <= 1 e11630: b466 - b467 + b469 <= 1 e11631: b466 - b467 + b470 <= 1 e11632: b467 - b468 + b469 <= 1 e11633: b467 - b468 + b470 <= 1 e11634: b467 - b468 + b471 <= 1 e11635: b468 - b469 + b470 <= 1 e11636: b468 - b469 + b471 <= 1 e11637: b468 - b469 + b472 <= 1 e11638: b469 - b470 + b471 <= 1 e11639: b469 - b470 + b472 <= 1 e11640: b469 - b470 + b473 <= 1 e11641: b470 - b471 + b472 <= 1 e11642: b470 - b471 + b473 <= 1 e11643: b470 - b471 + b474 <= 1 e11644: b471 - b472 + b473 <= 1 e11645: b471 - b472 + b474 <= 1 e11646: b471 - b472 + b475 <= 1 e11647: b472 - b473 + b474 <= 1 e11648: b472 - b473 + b475 <= 1 e11649: b472 - b473 + b476 <= 1 e11650: b473 - b474 + b475 <= 1 e11651: b473 - b474 + b476 <= 1 e11652: b473 - b474 + b477 <= 1 e11653: b474 - b475 + b476 <= 1 e11654: b474 - b475 + b477 <= 1 e11655: b474 - b475 + b478 <= 1 e11656: b475 - b476 + b477 <= 1 e11657: b475 - b476 + b478 <= 1 e11658: b475 - b476 + b479 <= 1 e11659: b476 - b477 + b478 <= 1 e11660: b476 - b477 + b479 <= 1 e11661: b476 - b477 + b480 <= 1 e11662: b477 - b478 + b479 <= 1 e11663: b477 - b478 + b480 <= 1 e11664: b477 - b478 + b481 <= 1 e11665: b478 - b479 + b480 <= 1 e11666: b478 - b479 + b481 <= 1 e11667: b479 - b480 + b481 <= 1 e11668: b459 - b460 + b461 >= 0 e11669: b459 - b460 + b462 >= 0 e11670: b459 - b460 + b463 >= 0 e11671: b460 - b461 + b462 >= 0 e11672: b460 - b461 + b463 >= 0 e11673: b460 - b461 + b464 >= 0 e11674: b461 - b462 + b463 >= 0 e11675: b461 - b462 + b464 >= 0 e11676: b461 - b462 + b465 >= 0 e11677: b462 - b463 + b464 >= 0 e11678: b462 - b463 + b465 >= 0 e11679: b462 - b463 + b466 >= 0 e11680: b463 - b464 + b465 >= 0 e11681: b463 - b464 + b466 >= 0 e11682: b463 - b464 + b467 >= 0 e11683: b464 - b465 + b466 >= 0 e11684: b464 - b465 + b467 >= 0 e11685: b464 - b465 + b468 >= 0 e11686: b465 - b466 + b467 >= 0 e11687: b465 - b466 + b468 >= 0 e11688: b465 - b466 + b469 >= 0 e11689: b466 - b467 + b468 >= 0 e11690: b466 - b467 + b469 >= 0 e11691: b466 - b467 + b470 >= 0 e11692: b467 - b468 + b469 >= 0 e11693: b467 - b468 + b470 >= 0 e11694: b467 - b468 + b471 >= 0 e11695: b468 - b469 + b470 >= 0 e11696: b468 - b469 + b471 >= 0 e11697: b468 - b469 + b472 >= 0 e11698: b469 - b470 + b471 >= 0 e11699: b469 - b470 + b472 >= 0 e11700: b469 - b470 + b473 >= 0 e11701: b470 - b471 + b472 >= 0 e11702: b470 - b471 + b473 >= 0 e11703: b470 - b471 + b474 >= 0 e11704: b471 - b472 + b473 >= 0 e11705: b471 - b472 + b474 >= 0 e11706: b471 - b472 + b475 >= 0 e11707: b472 - b473 + b474 >= 0 e11708: b472 - b473 + b475 >= 0 e11709: b472 - b473 + b476 >= 0 e11710: b473 - b474 + b475 >= 0 e11711: b473 - b474 + b476 >= 0 e11712: b473 - b474 + b477 >= 0 e11713: b474 - b475 + b476 >= 0 e11714: b474 - b475 + b477 >= 0 e11715: b474 - b475 + b478 >= 0 e11716: b475 - b476 + b477 >= 0 e11717: b475 - b476 + b478 >= 0 e11718: b475 - b476 + b479 >= 0 e11719: b476 - b477 + b478 >= 0 e11720: b476 - b477 + b479 >= 0 e11721: b476 - b477 + b480 >= 0 e11722: b477 - b478 + b479 >= 0 e11723: b477 - b478 + b480 >= 0 e11724: b477 - b478 + b481 >= 0 e11725: b478 - b479 + b480 >= 0 e11726: b478 - b479 + b481 >= 0 e11727: b479 - b480 + b481 >= 0 e11728: - b482 + b483 + x19216 <= 1 e11729: - b482 + b484 + x19216 <= 1 e11730: b482 - b483 + b484 <= 1 e11731: b482 - b483 + b485 <= 1 e11732: b483 - b484 + b485 <= 1 e11733: b483 - b484 + b486 <= 1 e11734: b484 - b485 + b486 <= 1 e11735: b484 - b485 + b487 <= 1 e11736: b485 - b486 + b487 <= 1 e11737: b485 - b486 + b488 <= 1 e11738: b486 - b487 + b488 <= 1 e11739: b486 - b487 + b489 <= 1 e11740: b487 - b488 + b489 <= 1 e11741: b487 - b488 + b490 <= 1 e11742: b488 - b489 + b490 <= 1 e11743: b488 - b489 + b491 <= 1 e11744: b489 - b490 + b491 <= 1 e11745: b489 - b490 + b492 <= 1 e11746: b490 - b491 + b492 <= 1 e11747: b490 - b491 + b493 <= 1 e11748: b491 - b492 + b493 <= 1 e11749: b491 - b492 + b494 <= 1 e11750: b492 - b493 + b494 <= 1 e11751: b492 - b493 + b495 <= 1 e11752: b493 - b494 + b495 <= 1 e11753: b493 - b494 + b496 <= 1 e11754: b494 - b495 + b496 <= 1 e11755: b494 - b495 + b497 <= 1 e11756: b495 - b496 + b497 <= 1 e11757: b495 - b496 + b498 <= 1 e11758: b496 - b497 + b498 <= 1 e11759: b496 - b497 + b499 <= 1 e11760: b497 - b498 + b499 <= 1 e11761: b497 - b498 + b500 <= 1 e11762: b498 - b499 + b500 <= 1 e11763: b498 - b499 + b501 <= 1 e11764: b499 - b500 + b501 <= 1 e11765: b499 - b500 + b502 <= 1 e11766: b500 - b501 + b502 <= 1 e11767: b500 - b501 + b503 <= 1 e11768: b501 - b502 + b503 <= 1 e11769: b501 - b502 + b504 <= 1 e11770: b502 - b503 + b504 <= 1 e11771: b502 - b503 + b505 <= 1 e11772: b503 - b504 + b505 <= 1 e11773: - b482 + b483 + x19216 >= 0 e11774: - b482 + b484 + x19216 >= 0 e11775: b482 - b483 + b484 >= 0 e11776: b482 - b483 + b485 >= 0 e11777: b483 - b484 + b485 >= 0 e11778: b483 - b484 + b486 >= 0 e11779: b484 - b485 + b486 >= 0 e11780: b484 - b485 + b487 >= 0 e11781: b485 - b486 + b487 >= 0 e11782: b485 - b486 + b488 >= 0 e11783: b486 - b487 + b488 >= 0 e11784: b486 - b487 + b489 >= 0 e11785: b487 - b488 + b489 >= 0 e11786: b487 - b488 + b490 >= 0 e11787: b488 - b489 + b490 >= 0 e11788: b488 - b489 + b491 >= 0 e11789: b489 - b490 + b491 >= 0 e11790: b489 - b490 + b492 >= 0 e11791: b490 - b491 + b492 >= 0 e11792: b490 - b491 + b493 >= 0 e11793: b491 - b492 + b493 >= 0 e11794: b491 - b492 + b494 >= 0 e11795: b492 - b493 + b494 >= 0 e11796: b492 - b493 + b495 >= 0 e11797: b493 - b494 + b495 >= 0 e11798: b493 - b494 + b496 >= 0 e11799: b494 - b495 + b496 >= 0 e11800: b494 - b495 + b497 >= 0 e11801: b495 - b496 + b497 >= 0 e11802: b495 - b496 + b498 >= 0 e11803: b496 - b497 + b498 >= 0 e11804: b496 - b497 + b499 >= 0 e11805: b497 - b498 + b499 >= 0 e11806: b497 - b498 + b500 >= 0 e11807: b498 - b499 + b500 >= 0 e11808: b498 - b499 + b501 >= 0 e11809: b499 - b500 + b501 >= 0 e11810: b499 - b500 + b502 >= 0 e11811: b500 - b501 + b502 >= 0 e11812: b500 - b501 + b503 >= 0 e11813: b501 - b502 + b503 >= 0 e11814: b501 - b502 + b504 >= 0 e11815: b502 - b503 + b504 >= 0 e11816: b502 - b503 + b505 >= 0 e11817: b503 - b504 + b505 >= 0 e11818: - b506 + b507 + x19217 <= 1 e11819: - b506 + b508 + x19217 <= 1 e11820: b506 - b507 + b508 <= 1 e11821: b506 - b507 + b509 <= 1 e11822: b507 - b508 + b509 <= 1 e11823: b507 - b508 + b510 <= 1 e11824: b508 - b509 + b510 <= 1 e11825: b508 - b509 + b511 <= 1 e11826: b509 - b510 + b511 <= 1 e11827: b509 - b510 + b512 <= 1 e11828: b510 - b511 + b512 <= 1 e11829: b510 - b511 + b513 <= 1 e11830: b511 - b512 + b513 <= 1 e11831: b511 - b512 + b514 <= 1 e11832: b512 - b513 + b514 <= 1 e11833: b512 - b513 + b515 <= 1 e11834: b513 - b514 + b515 <= 1 e11835: b513 - b514 + b516 <= 1 e11836: b514 - b515 + b516 <= 1 e11837: b514 - b515 + b517 <= 1 e11838: b515 - b516 + b517 <= 1 e11839: b515 - b516 + b518 <= 1 e11840: b516 - b517 + b518 <= 1 e11841: b516 - b517 + b519 <= 1 e11842: b517 - b518 + b519 <= 1 e11843: b517 - b518 + b520 <= 1 e11844: b518 - b519 + b520 <= 1 e11845: b518 - b519 + b521 <= 1 e11846: b519 - b520 + b521 <= 1 e11847: b519 - b520 + b522 <= 1 e11848: b520 - b521 + b522 <= 1 e11849: b520 - b521 + b523 <= 1 e11850: b521 - b522 + b523 <= 1 e11851: b521 - b522 + b524 <= 1 e11852: b522 - b523 + b524 <= 1 e11853: b522 - b523 + b525 <= 1 e11854: b523 - b524 + b525 <= 1 e11855: b523 - b524 + b526 <= 1 e11856: b524 - b525 + b526 <= 1 e11857: b524 - b525 + b527 <= 1 e11858: b525 - b526 + b527 <= 1 e11859: b525 - b526 + b528 <= 1 e11860: b526 - b527 + b528 <= 1 e11861: b526 - b527 + b529 <= 1 e11862: b527 - b528 + b529 <= 1 e11863: - b506 + b507 + x19217 >= 0 e11864: - b506 + b508 + x19217 >= 0 e11865: - b506 + b509 + x19217 >= 0 e11866: b506 - b507 + b508 >= 0 e11867: b506 - b507 + b509 >= 0 e11868: b506 - b507 + b510 >= 0 e11869: b507 - b508 + b509 >= 0 e11870: b507 - b508 + b510 >= 0 e11871: b507 - b508 + b511 >= 0 e11872: b508 - b509 + b510 >= 0 e11873: b508 - b509 + b511 >= 0 e11874: b508 - b509 + b512 >= 0 e11875: b509 - b510 + b511 >= 0 e11876: b509 - b510 + b512 >= 0 e11877: b509 - b510 + b513 >= 0 e11878: b510 - b511 + b512 >= 0 e11879: b510 - b511 + b513 >= 0 e11880: b510 - b511 + b514 >= 0 e11881: b511 - b512 + b513 >= 0 e11882: b511 - b512 + b514 >= 0 e11883: b511 - b512 + b515 >= 0 e11884: b512 - b513 + b514 >= 0 e11885: b512 - b513 + b515 >= 0 e11886: b512 - b513 + b516 >= 0 e11887: b513 - b514 + b515 >= 0 e11888: b513 - b514 + b516 >= 0 e11889: b513 - b514 + b517 >= 0 e11890: b514 - b515 + b516 >= 0 e11891: b514 - b515 + b517 >= 0 e11892: b514 - b515 + b518 >= 0 e11893: b515 - b516 + b517 >= 0 e11894: b515 - b516 + b518 >= 0 e11895: b515 - b516 + b519 >= 0 e11896: b516 - b517 + b518 >= 0 e11897: b516 - b517 + b519 >= 0 e11898: b516 - b517 + b520 >= 0 e11899: b517 - b518 + b519 >= 0 e11900: b517 - b518 + b520 >= 0 e11901: b517 - b518 + b521 >= 0 e11902: b518 - b519 + b520 >= 0 e11903: b518 - b519 + b521 >= 0 e11904: b518 - b519 + b522 >= 0 e11905: b519 - b520 + b521 >= 0 e11906: b519 - b520 + b522 >= 0 e11907: b519 - b520 + b523 >= 0 e11908: b520 - b521 + b522 >= 0 e11909: b520 - b521 + b523 >= 0 e11910: b520 - b521 + b524 >= 0 e11911: b521 - b522 + b523 >= 0 e11912: b521 - b522 + b524 >= 0 e11913: b521 - b522 + b525 >= 0 e11914: b522 - b523 + b524 >= 0 e11915: b522 - b523 + b525 >= 0 e11916: b522 - b523 + b526 >= 0 e11917: b523 - b524 + b525 >= 0 e11918: b523 - b524 + b526 >= 0 e11919: b523 - b524 + b527 >= 0 e11920: b524 - b525 + b526 >= 0 e11921: b524 - b525 + b527 >= 0 e11922: b524 - b525 + b528 >= 0 e11923: b525 - b526 + b527 >= 0 e11924: b525 - b526 + b528 >= 0 e11925: b525 - b526 + b529 >= 0 e11926: b526 - b527 + b528 >= 0 e11927: b526 - b527 + b529 >= 0 e11928: b527 - b528 + b529 >= 0 e11929: - b530 + b531 + x19218 <= 1 e11930: - b530 + b532 + x19218 <= 1 e11931: b530 - b531 + b532 <= 1 e11932: b530 - b531 + b533 <= 1 e11933: b531 - b532 + b533 <= 1 e11934: b531 - b532 + b534 <= 1 e11935: b532 - b533 + b534 <= 1 e11936: b532 - b533 + b535 <= 1 e11937: b533 - b534 + b535 <= 1 e11938: b533 - b534 + b536 <= 1 e11939: b534 - b535 + b536 <= 1 e11940: b534 - b535 + b537 <= 1 e11941: b535 - b536 + b537 <= 1 e11942: b535 - b536 + b538 <= 1 e11943: b536 - b537 + b538 <= 1 e11944: b536 - b537 + b539 <= 1 e11945: b537 - b538 + b539 <= 1 e11946: b537 - b538 + b540 <= 1 e11947: b538 - b539 + b540 <= 1 e11948: b538 - b539 + b541 <= 1 e11949: b539 - b540 + b541 <= 1 e11950: b539 - b540 + b542 <= 1 e11951: b540 - b541 + b542 <= 1 e11952: b540 - b541 + b543 <= 1 e11953: b541 - b542 + b543 <= 1 e11954: b541 - b542 + b544 <= 1 e11955: b542 - b543 + b544 <= 1 e11956: b542 - b543 + b545 <= 1 e11957: b543 - b544 + b545 <= 1 e11958: b543 - b544 + b546 <= 1 e11959: b544 - b545 + b546 <= 1 e11960: b544 - b545 + b547 <= 1 e11961: b545 - b546 + b547 <= 1 e11962: b545 - b546 + b548 <= 1 e11963: b546 - b547 + b548 <= 1 e11964: b546 - b547 + b549 <= 1 e11965: b547 - b548 + b549 <= 1 e11966: b547 - b548 + b550 <= 1 e11967: b548 - b549 + b550 <= 1 e11968: b548 - b549 + b551 <= 1 e11969: b549 - b550 + b551 <= 1 e11970: b549 - b550 + b552 <= 1 e11971: b550 - b551 + b552 <= 1 e11972: b550 - b551 + b553 <= 1 e11973: b551 - b552 + b553 <= 1 e11974: - b530 + b531 + x19218 >= 0 e11975: - b530 + b532 + x19218 >= 0 e11976: b530 - b531 + b532 >= 0 e11977: b530 - b531 + b533 >= 0 e11978: b531 - b532 + b533 >= 0 e11979: b531 - b532 + b534 >= 0 e11980: b532 - b533 + b534 >= 0 e11981: b532 - b533 + b535 >= 0 e11982: b533 - b534 + b535 >= 0 e11983: b533 - b534 + b536 >= 0 e11984: b534 - b535 + b536 >= 0 e11985: b534 - b535 + b537 >= 0 e11986: b535 - b536 + b537 >= 0 e11987: b535 - b536 + b538 >= 0 e11988: b536 - b537 + b538 >= 0 e11989: b536 - b537 + b539 >= 0 e11990: b537 - b538 + b539 >= 0 e11991: b537 - b538 + b540 >= 0 e11992: b538 - b539 + b540 >= 0 e11993: b538 - b539 + b541 >= 0 e11994: b539 - b540 + b541 >= 0 e11995: b539 - b540 + b542 >= 0 e11996: b540 - b541 + b542 >= 0 e11997: b540 - b541 + b543 >= 0 e11998: b541 - b542 + b543 >= 0 e11999: b541 - b542 + b544 >= 0 e12000: b542 - b543 + b544 >= 0 e12001: b542 - b543 + b545 >= 0 e12002: b543 - b544 + b545 >= 0 e12003: b543 - b544 + b546 >= 0 e12004: b544 - b545 + b546 >= 0 e12005: b544 - b545 + b547 >= 0 e12006: b545 - b546 + b547 >= 0 e12007: b545 - b546 + b548 >= 0 e12008: b546 - b547 + b548 >= 0 e12009: b546 - b547 + b549 >= 0 e12010: b547 - b548 + b549 >= 0 e12011: b547 - b548 + b550 >= 0 e12012: b548 - b549 + b550 >= 0 e12013: b548 - b549 + b551 >= 0 e12014: b549 - b550 + b551 >= 0 e12015: b549 - b550 + b552 >= 0 e12016: b550 - b551 + b552 >= 0 e12017: b550 - b551 + b553 >= 0 e12018: b551 - b552 + b553 >= 0 e12019: b554 = 1 e12020: b555 = 1 e12021: b555 - b556 + b557 <= 1 e12022: b555 - b556 + b558 <= 1 e12023: b555 - b556 + b559 <= 1 e12024: b556 - b557 + b558 <= 1 e12025: b556 - b557 + b559 <= 1 e12026: b556 - b557 + b560 <= 1 e12027: b557 - b558 + b559 <= 1 e12028: b557 - b558 + b560 <= 1 e12029: b557 - b558 + b561 <= 1 e12030: b558 - b559 + b560 <= 1 e12031: b558 - b559 + b561 <= 1 e12032: b558 - b559 + b562 <= 1 e12033: b559 - b560 + b561 <= 1 e12034: b559 - b560 + b562 <= 1 e12035: b559 - b560 + b563 <= 1 e12036: b560 - b561 + b562 <= 1 e12037: b560 - b561 + b563 <= 1 e12038: b560 - b561 + b564 <= 1 e12039: b561 - b562 + b563 <= 1 e12040: b561 - b562 + b564 <= 1 e12041: b561 - b562 + b565 <= 1 e12042: b562 - b563 + b564 <= 1 e12043: b562 - b563 + b565 <= 1 e12044: b562 - b563 + b566 <= 1 e12045: b563 - b564 + b565 <= 1 e12046: b563 - b564 + b566 <= 1 e12047: b563 - b564 + b567 <= 1 e12048: b564 - b565 + b566 <= 1 e12049: b564 - b565 + b567 <= 1 e12050: b564 - b565 + b568 <= 1 e12051: b565 - b566 + b567 <= 1 e12052: b565 - b566 + b568 <= 1 e12053: b565 - b566 + b569 <= 1 e12054: b566 - b567 + b568 <= 1 e12055: b566 - b567 + b569 <= 1 e12056: b566 - b567 + b570 <= 1 e12057: b567 - b568 + b569 <= 1 e12058: b567 - b568 + b570 <= 1 e12059: b567 - b568 + b571 <= 1 e12060: b568 - b569 + b570 <= 1 e12061: b568 - b569 + b571 <= 1 e12062: b568 - b569 + b572 <= 1 e12063: b569 - b570 + b571 <= 1 e12064: b569 - b570 + b572 <= 1 e12065: b569 - b570 + b573 <= 1 e12066: b570 - b571 + b572 <= 1 e12067: b570 - b571 + b573 <= 1 e12068: b570 - b571 + b574 <= 1 e12069: b571 - b572 + b573 <= 1 e12070: b571 - b572 + b574 <= 1 e12071: b571 - b572 + b575 <= 1 e12072: b572 - b573 + b574 <= 1 e12073: b572 - b573 + b575 <= 1 e12074: b572 - b573 + b576 <= 1 e12075: b573 - b574 + b575 <= 1 e12076: b573 - b574 + b576 <= 1 e12077: b573 - b574 + b577 <= 1 e12078: b574 - b575 + b576 <= 1 e12079: b574 - b575 + b577 <= 1 e12080: b575 - b576 + b577 <= 1 e12081: b555 - b556 + b557 >= 0 e12082: b555 - b556 + b558 >= 0 e12083: b555 - b556 + b559 >= 0 e12084: b556 - b557 + b558 >= 0 e12085: b556 - b557 + b559 >= 0 e12086: b556 - b557 + b560 >= 0 e12087: b557 - b558 + b559 >= 0 e12088: b557 - b558 + b560 >= 0 e12089: b557 - b558 + b561 >= 0 e12090: b558 - b559 + b560 >= 0 e12091: b558 - b559 + b561 >= 0 e12092: b558 - b559 + b562 >= 0 e12093: b559 - b560 + b561 >= 0 e12094: b559 - b560 + b562 >= 0 e12095: b559 - b560 + b563 >= 0 e12096: b560 - b561 + b562 >= 0 e12097: b560 - b561 + b563 >= 0 e12098: b560 - b561 + b564 >= 0 e12099: b561 - b562 + b563 >= 0 e12100: b561 - b562 + b564 >= 0 e12101: b561 - b562 + b565 >= 0 e12102: b562 - b563 + b564 >= 0 e12103: b562 - b563 + b565 >= 0 e12104: b562 - b563 + b566 >= 0 e12105: b563 - b564 + b565 >= 0 e12106: b563 - b564 + b566 >= 0 e12107: b563 - b564 + b567 >= 0 e12108: b564 - b565 + b566 >= 0 e12109: b564 - b565 + b567 >= 0 e12110: b564 - b565 + b568 >= 0 e12111: b565 - b566 + b567 >= 0 e12112: b565 - b566 + b568 >= 0 e12113: b565 - b566 + b569 >= 0 e12114: b566 - b567 + b568 >= 0 e12115: b566 - b567 + b569 >= 0 e12116: b566 - b567 + b570 >= 0 e12117: b567 - b568 + b569 >= 0 e12118: b567 - b568 + b570 >= 0 e12119: b567 - b568 + b571 >= 0 e12120: b568 - b569 + b570 >= 0 e12121: b568 - b569 + b571 >= 0 e12122: b568 - b569 + b572 >= 0 e12123: b569 - b570 + b571 >= 0 e12124: b569 - b570 + b572 >= 0 e12125: b569 - b570 + b573 >= 0 e12126: b570 - b571 + b572 >= 0 e12127: b570 - b571 + b573 >= 0 e12128: b570 - b571 + b574 >= 0 e12129: b571 - b572 + b573 >= 0 e12130: b571 - b572 + b574 >= 0 e12131: b571 - b572 + b575 >= 0 e12132: b572 - b573 + b574 >= 0 e12133: b572 - b573 + b575 >= 0 e12134: b572 - b573 + b576 >= 0 e12135: b573 - b574 + b575 >= 0 e12136: b573 - b574 + b576 >= 0 e12137: b573 - b574 + b577 >= 0 e12138: b574 - b575 + b576 >= 0 e12139: b574 - b575 + b577 >= 0 e12140: b575 - b576 + b577 >= 0 e12141: - b578 + b579 + x19219 <= 1 e12142: - b578 + b580 + x19219 <= 1 e12143: - b578 + b581 + x19219 <= 1 e12144: b578 - b579 + b580 <= 1 e12145: b578 - b579 + b581 <= 1 e12146: b578 - b579 + b582 <= 1 e12147: b579 - b580 + b581 <= 1 e12148: b579 - b580 + b582 <= 1 e12149: b579 - b580 + b583 <= 1 e12150: b580 - b581 + b582 <= 1 e12151: b580 - b581 + b583 <= 1 e12152: b580 - b581 + b584 <= 1 e12153: b581 - b582 + b583 <= 1 e12154: b581 - b582 + b584 <= 1 e12155: b581 - b582 + b585 <= 1 e12156: b582 - b583 + b584 <= 1 e12157: b582 - b583 + b585 <= 1 e12158: b582 - b583 + b586 <= 1 e12159: b583 - b584 + b585 <= 1 e12160: b583 - b584 + b586 <= 1 e12161: b583 - b584 + b587 <= 1 e12162: b584 - b585 + b586 <= 1 e12163: b584 - b585 + b587 <= 1 e12164: b584 - b585 + b588 <= 1 e12165: b585 - b586 + b587 <= 1 e12166: b585 - b586 + b588 <= 1 e12167: b585 - b586 + b589 <= 1 e12168: b586 - b587 + b588 <= 1 e12169: b586 - b587 + b589 <= 1 e12170: b586 - b587 + b590 <= 1 e12171: b587 - b588 + b589 <= 1 e12172: b587 - b588 + b590 <= 1 e12173: b587 - b588 + b591 <= 1 e12174: b588 - b589 + b590 <= 1 e12175: b588 - b589 + b591 <= 1 e12176: b588 - b589 + b592 <= 1 e12177: b589 - b590 + b591 <= 1 e12178: b589 - b590 + b592 <= 1 e12179: b589 - b590 + b593 <= 1 e12180: b590 - b591 + b592 <= 1 e12181: b590 - b591 + b593 <= 1 e12182: b590 - b591 + b594 <= 1 e12183: b591 - b592 + b593 <= 1 e12184: b591 - b592 + b594 <= 1 e12185: b591 - b592 + b595 <= 1 e12186: b592 - b593 + b594 <= 1 e12187: b592 - b593 + b595 <= 1 e12188: b592 - b593 + b596 <= 1 e12189: b593 - b594 + b595 <= 1 e12190: b593 - b594 + b596 <= 1 e12191: b593 - b594 + b597 <= 1 e12192: b594 - b595 + b596 <= 1 e12193: b594 - b595 + b597 <= 1 e12194: b594 - b595 + b598 <= 1 e12195: b595 - b596 + b597 <= 1 e12196: b595 - b596 + b598 <= 1 e12197: b595 - b596 + b599 <= 1 e12198: b596 - b597 + b598 <= 1 e12199: b596 - b597 + b599 <= 1 e12200: b596 - b597 + b600 <= 1 e12201: b597 - b598 + b599 <= 1 e12202: b597 - b598 + b600 <= 1 e12203: b597 - b598 + b601 <= 1 e12204: b598 - b599 + b600 <= 1 e12205: b598 - b599 + b601 <= 1 e12206: b599 - b600 + b601 <= 1 e12207: - b578 + b579 + x19219 >= 0 e12208: - b578 + b580 + x19219 >= 0 e12209: b578 - b579 + b580 >= 0 e12210: b578 - b579 + b581 >= 0 e12211: b579 - b580 + b581 >= 0 e12212: b579 - b580 + b582 >= 0 e12213: b580 - b581 + b582 >= 0 e12214: b580 - b581 + b583 >= 0 e12215: b581 - b582 + b583 >= 0 e12216: b581 - b582 + b584 >= 0 e12217: b582 - b583 + b584 >= 0 e12218: b582 - b583 + b585 >= 0 e12219: b583 - b584 + b585 >= 0 e12220: b583 - b584 + b586 >= 0 e12221: b584 - b585 + b586 >= 0 e12222: b584 - b585 + b587 >= 0 e12223: b585 - b586 + b587 >= 0 e12224: b585 - b586 + b588 >= 0 e12225: b586 - b587 + b588 >= 0 e12226: b586 - b587 + b589 >= 0 e12227: b587 - b588 + b589 >= 0 e12228: b587 - b588 + b590 >= 0 e12229: b588 - b589 + b590 >= 0 e12230: b588 - b589 + b591 >= 0 e12231: b589 - b590 + b591 >= 0 e12232: b589 - b590 + b592 >= 0 e12233: b590 - b591 + b592 >= 0 e12234: b590 - b591 + b593 >= 0 e12235: b591 - b592 + b593 >= 0 e12236: b591 - b592 + b594 >= 0 e12237: b592 - b593 + b594 >= 0 e12238: b592 - b593 + b595 >= 0 e12239: b593 - b594 + b595 >= 0 e12240: b593 - b594 + b596 >= 0 e12241: b594 - b595 + b596 >= 0 e12242: b594 - b595 + b597 >= 0 e12243: b595 - b596 + b597 >= 0 e12244: b595 - b596 + b598 >= 0 e12245: b596 - b597 + b598 >= 0 e12246: b596 - b597 + b599 >= 0 e12247: b597 - b598 + b599 >= 0 e12248: b597 - b598 + b600 >= 0 e12249: b598 - b599 + b600 >= 0 e12250: b598 - b599 + b601 >= 0 e12251: b599 - b600 + b601 >= 0 e12252: - b602 + b603 + x19220 <= 1 e12253: - b602 + b604 + x19220 <= 1 e12254: b602 - b603 + b604 <= 1 e12255: b602 - b603 + b605 <= 1 e12256: b603 - b604 + b605 <= 1 e12257: b603 - b604 + b606 <= 1 e12258: b604 - b605 + b606 <= 1 e12259: b604 - b605 + b607 <= 1 e12260: b605 - b606 + b607 <= 1 e12261: b605 - b606 + b608 <= 1 e12262: b606 - b607 + b608 <= 1 e12263: b606 - b607 + b609 <= 1 e12264: b607 - b608 + b609 <= 1 e12265: b607 - b608 + b610 <= 1 e12266: b608 - b609 + b610 <= 1 e12267: b608 - b609 + b611 <= 1 e12268: b609 - b610 + b611 <= 1 e12269: b609 - b610 + b612 <= 1 e12270: b610 - b611 + b612 <= 1 e12271: b610 - b611 + b613 <= 1 e12272: b611 - b612 + b613 <= 1 e12273: b611 - b612 + b614 <= 1 e12274: b612 - b613 + b614 <= 1 e12275: b612 - b613 + b615 <= 1 e12276: b613 - b614 + b615 <= 1 e12277: b613 - b614 + b616 <= 1 e12278: b614 - b615 + b616 <= 1 e12279: b614 - b615 + b617 <= 1 e12280: b615 - b616 + b617 <= 1 e12281: b615 - b616 + b618 <= 1 e12282: b616 - b617 + b618 <= 1 e12283: b616 - b617 + b619 <= 1 e12284: b617 - b618 + b619 <= 1 e12285: b617 - b618 + b620 <= 1 e12286: b618 - b619 + b620 <= 1 e12287: b618 - b619 + b621 <= 1 e12288: b619 - b620 + b621 <= 1 e12289: b619 - b620 + b622 <= 1 e12290: b620 - b621 + b622 <= 1 e12291: b620 - b621 + b623 <= 1 e12292: b621 - b622 + b623 <= 1 e12293: b621 - b622 + b624 <= 1 e12294: b622 - b623 + b624 <= 1 e12295: b622 - b623 + b625 <= 1 e12296: b623 - b624 + b625 <= 1 e12297: - b602 + b603 + x19220 >= 0 e12298: - b602 + b604 + x19220 >= 0 e12299: - b602 + b605 + x19220 >= 0 e12300: b602 - b603 + b604 >= 0 e12301: b602 - b603 + b605 >= 0 e12302: b602 - b603 + b606 >= 0 e12303: b603 - b604 + b605 >= 0 e12304: b603 - b604 + b606 >= 0 e12305: b603 - b604 + b607 >= 0 e12306: b604 - b605 + b606 >= 0 e12307: b604 - b605 + b607 >= 0 e12308: b604 - b605 + b608 >= 0 e12309: b605 - b606 + b607 >= 0 e12310: b605 - b606 + b608 >= 0 e12311: b605 - b606 + b609 >= 0 e12312: b606 - b607 + b608 >= 0 e12313: b606 - b607 + b609 >= 0 e12314: b606 - b607 + b610 >= 0 e12315: b607 - b608 + b609 >= 0 e12316: b607 - b608 + b610 >= 0 e12317: b607 - b608 + b611 >= 0 e12318: b608 - b609 + b610 >= 0 e12319: b608 - b609 + b611 >= 0 e12320: b608 - b609 + b612 >= 0 e12321: b609 - b610 + b611 >= 0 e12322: b609 - b610 + b612 >= 0 e12323: b609 - b610 + b613 >= 0 e12324: b610 - b611 + b612 >= 0 e12325: b610 - b611 + b613 >= 0 e12326: b610 - b611 + b614 >= 0 e12327: b611 - b612 + b613 >= 0 e12328: b611 - b612 + b614 >= 0 e12329: b611 - b612 + b615 >= 0 e12330: b612 - b613 + b614 >= 0 e12331: b612 - b613 + b615 >= 0 e12332: b612 - b613 + b616 >= 0 e12333: b613 - b614 + b615 >= 0 e12334: b613 - b614 + b616 >= 0 e12335: b613 - b614 + b617 >= 0 e12336: b614 - b615 + b616 >= 0 e12337: b614 - b615 + b617 >= 0 e12338: b614 - b615 + b618 >= 0 e12339: b615 - b616 + b617 >= 0 e12340: b615 - b616 + b618 >= 0 e12341: b615 - b616 + b619 >= 0 e12342: b616 - b617 + b618 >= 0 e12343: b616 - b617 + b619 >= 0 e12344: b616 - b617 + b620 >= 0 e12345: b617 - b618 + b619 >= 0 e12346: b617 - b618 + b620 >= 0 e12347: b617 - b618 + b621 >= 0 e12348: b618 - b619 + b620 >= 0 e12349: b618 - b619 + b621 >= 0 e12350: b618 - b619 + b622 >= 0 e12351: b619 - b620 + b621 >= 0 e12352: b619 - b620 + b622 >= 0 e12353: b619 - b620 + b623 >= 0 e12354: b620 - b621 + b622 >= 0 e12355: b620 - b621 + b623 >= 0 e12356: b620 - b621 + b624 >= 0 e12357: b621 - b622 + b623 >= 0 e12358: b621 - b622 + b624 >= 0 e12359: b621 - b622 + b625 >= 0 e12360: b622 - b623 + b624 >= 0 e12361: b622 - b623 + b625 >= 0 e12362: b623 - b624 + b625 >= 0 e12363: b626 = 0 e12364: b626 - b627 + b628 <= 1 e12365: b626 - b627 + b629 <= 1 e12366: b626 - b627 + b630 <= 1 e12367: b627 - b628 + b629 <= 1 e12368: b627 - b628 + b630 <= 1 e12369: b627 - b628 + b631 <= 1 e12370: b628 - b629 + b630 <= 1 e12371: b628 - b629 + b631 <= 1 e12372: b628 - b629 + b632 <= 1 e12373: b629 - b630 + b631 <= 1 e12374: b629 - b630 + b632 <= 1 e12375: b629 - b630 + b633 <= 1 e12376: b630 - b631 + b632 <= 1 e12377: b630 - b631 + b633 <= 1 e12378: b630 - b631 + b634 <= 1 e12379: b631 - b632 + b633 <= 1 e12380: b631 - b632 + b634 <= 1 e12381: b631 - b632 + b635 <= 1 e12382: b632 - b633 + b634 <= 1 e12383: b632 - b633 + b635 <= 1 e12384: b632 - b633 + b636 <= 1 e12385: b633 - b634 + b635 <= 1 e12386: b633 - b634 + b636 <= 1 e12387: b633 - b634 + b637 <= 1 e12388: b634 - b635 + b636 <= 1 e12389: b634 - b635 + b637 <= 1 e12390: b634 - b635 + b638 <= 1 e12391: b635 - b636 + b637 <= 1 e12392: b635 - b636 + b638 <= 1 e12393: b635 - b636 + b639 <= 1 e12394: b636 - b637 + b638 <= 1 e12395: b636 - b637 + b639 <= 1 e12396: b636 - b637 + b640 <= 1 e12397: b637 - b638 + b639 <= 1 e12398: b637 - b638 + b640 <= 1 e12399: b637 - b638 + b641 <= 1 e12400: b638 - b639 + b640 <= 1 e12401: b638 - b639 + b641 <= 1 e12402: b638 - b639 + b642 <= 1 e12403: b639 - b640 + b641 <= 1 e12404: b639 - b640 + b642 <= 1 e12405: b639 - b640 + b643 <= 1 e12406: b640 - b641 + b642 <= 1 e12407: b640 - b641 + b643 <= 1 e12408: b640 - b641 + b644 <= 1 e12409: b641 - b642 + b643 <= 1 e12410: b641 - b642 + b644 <= 1 e12411: b641 - b642 + b645 <= 1 e12412: b642 - b643 + b644 <= 1 e12413: b642 - b643 + b645 <= 1 e12414: b642 - b643 + b646 <= 1 e12415: b643 - b644 + b645 <= 1 e12416: b643 - b644 + b646 <= 1 e12417: b643 - b644 + b647 <= 1 e12418: b644 - b645 + b646 <= 1 e12419: b644 - b645 + b647 <= 1 e12420: b644 - b645 + b648 <= 1 e12421: b645 - b646 + b647 <= 1 e12422: b645 - b646 + b648 <= 1 e12423: b645 - b646 + b649 <= 1 e12424: b646 - b647 + b648 <= 1 e12425: b646 - b647 + b649 <= 1 e12426: b647 - b648 + b649 <= 1 e12427: b626 - b627 + b628 >= 0 e12428: b626 - b627 + b629 >= 0 e12429: b627 - b628 + b629 >= 0 e12430: b627 - b628 + b630 >= 0 e12431: b628 - b629 + b630 >= 0 e12432: b628 - b629 + b631 >= 0 e12433: b629 - b630 + b631 >= 0 e12434: b629 - b630 + b632 >= 0 e12435: b630 - b631 + b632 >= 0 e12436: b630 - b631 + b633 >= 0 e12437: b631 - b632 + b633 >= 0 e12438: b631 - b632 + b634 >= 0 e12439: b632 - b633 + b634 >= 0 e12440: b632 - b633 + b635 >= 0 e12441: b633 - b634 + b635 >= 0 e12442: b633 - b634 + b636 >= 0 e12443: b634 - b635 + b636 >= 0 e12444: b634 - b635 + b637 >= 0 e12445: b635 - b636 + b637 >= 0 e12446: b635 - b636 + b638 >= 0 e12447: b636 - b637 + b638 >= 0 e12448: b636 - b637 + b639 >= 0 e12449: b637 - b638 + b639 >= 0 e12450: b637 - b638 + b640 >= 0 e12451: b638 - b639 + b640 >= 0 e12452: b638 - b639 + b641 >= 0 e12453: b639 - b640 + b641 >= 0 e12454: b639 - b640 + b642 >= 0 e12455: b640 - b641 + b642 >= 0 e12456: b640 - b641 + b643 >= 0 e12457: b641 - b642 + b643 >= 0 e12458: b641 - b642 + b644 >= 0 e12459: b642 - b643 + b644 >= 0 e12460: b642 - b643 + b645 >= 0 e12461: b643 - b644 + b645 >= 0 e12462: b643 - b644 + b646 >= 0 e12463: b644 - b645 + b646 >= 0 e12464: b644 - b645 + b647 >= 0 e12465: b645 - b646 + b647 >= 0 e12466: b645 - b646 + b648 >= 0 e12467: b646 - b647 + b648 >= 0 e12468: b646 - b647 + b649 >= 0 e12469: b647 - b648 + b649 >= 0 e12470: - b650 + b651 + x19221 <= 1 e12471: - b650 + b652 + x19221 <= 1 e12472: - b650 + b653 + x19221 <= 1 e12473: b650 - b651 + b652 <= 1 e12474: b650 - b651 + b653 <= 1 e12475: b650 - b651 + b654 <= 1 e12476: b651 - b652 + b653 <= 1 e12477: b651 - b652 + b654 <= 1 e12478: b651 - b652 + b655 <= 1 e12479: b652 - b653 + b654 <= 1 e12480: b652 - b653 + b655 <= 1 e12481: b652 - b653 + b656 <= 1 e12482: b653 - b654 + b655 <= 1 e12483: b653 - b654 + b656 <= 1 e12484: b653 - b654 + b657 <= 1 e12485: b654 - b655 + b656 <= 1 e12486: b654 - b655 + b657 <= 1 e12487: b654 - b655 + b658 <= 1 e12488: b655 - b656 + b657 <= 1 e12489: b655 - b656 + b658 <= 1 e12490: b655 - b656 + b659 <= 1 e12491: b656 - b657 + b658 <= 1 e12492: b656 - b657 + b659 <= 1 e12493: b656 - b657 + b660 <= 1 e12494: b657 - b658 + b659 <= 1 e12495: b657 - b658 + b660 <= 1 e12496: b657 - b658 + b661 <= 1 e12497: b658 - b659 + b660 <= 1 e12498: b658 - b659 + b661 <= 1 e12499: b658 - b659 + b662 <= 1 e12500: b659 - b660 + b661 <= 1 e12501: b659 - b660 + b662 <= 1 e12502: b659 - b660 + b663 <= 1 e12503: b660 - b661 + b662 <= 1 e12504: b660 - b661 + b663 <= 1 e12505: b660 - b661 + b664 <= 1 e12506: b661 - b662 + b663 <= 1 e12507: b661 - b662 + b664 <= 1 e12508: b661 - b662 + b665 <= 1 e12509: b662 - b663 + b664 <= 1 e12510: b662 - b663 + b665 <= 1 e12511: b662 - b663 + b666 <= 1 e12512: b663 - b664 + b665 <= 1 e12513: b663 - b664 + b666 <= 1 e12514: b663 - b664 + b667 <= 1 e12515: b664 - b665 + b666 <= 1 e12516: b664 - b665 + b667 <= 1 e12517: b664 - b665 + b668 <= 1 e12518: b665 - b666 + b667 <= 1 e12519: b665 - b666 + b668 <= 1 e12520: b665 - b666 + b669 <= 1 e12521: b666 - b667 + b668 <= 1 e12522: b666 - b667 + b669 <= 1 e12523: b666 - b667 + b670 <= 1 e12524: b667 - b668 + b669 <= 1 e12525: b667 - b668 + b670 <= 1 e12526: b667 - b668 + b671 <= 1 e12527: b668 - b669 + b670 <= 1 e12528: b668 - b669 + b671 <= 1 e12529: b668 - b669 + b672 <= 1 e12530: b669 - b670 + b671 <= 1 e12531: b669 - b670 + b672 <= 1 e12532: b669 - b670 + b673 <= 1 e12533: b670 - b671 + b672 <= 1 e12534: b670 - b671 + b673 <= 1 e12535: b671 - b672 + b673 <= 1 e12536: - b650 + b651 + x19221 >= 0 e12537: - b650 + b652 + x19221 >= 0 e12538: b650 - b651 + b652 >= 0 e12539: b650 - b651 + b653 >= 0 e12540: b651 - b652 + b653 >= 0 e12541: b651 - b652 + b654 >= 0 e12542: b652 - b653 + b654 >= 0 e12543: b652 - b653 + b655 >= 0 e12544: b653 - b654 + b655 >= 0 e12545: b653 - b654 + b656 >= 0 e12546: b654 - b655 + b656 >= 0 e12547: b654 - b655 + b657 >= 0 e12548: b655 - b656 + b657 >= 0 e12549: b655 - b656 + b658 >= 0 e12550: b656 - b657 + b658 >= 0 e12551: b656 - b657 + b659 >= 0 e12552: b657 - b658 + b659 >= 0 e12553: b657 - b658 + b660 >= 0 e12554: b658 - b659 + b660 >= 0 e12555: b658 - b659 + b661 >= 0 e12556: b659 - b660 + b661 >= 0 e12557: b659 - b660 + b662 >= 0 e12558: b660 - b661 + b662 >= 0 e12559: b660 - b661 + b663 >= 0 e12560: b661 - b662 + b663 >= 0 e12561: b661 - b662 + b664 >= 0 e12562: b662 - b663 + b664 >= 0 e12563: b662 - b663 + b665 >= 0 e12564: b663 - b664 + b665 >= 0 e12565: b663 - b664 + b666 >= 0 e12566: b664 - b665 + b666 >= 0 e12567: b664 - b665 + b667 >= 0 e12568: b665 - b666 + b667 >= 0 e12569: b665 - b666 + b668 >= 0 e12570: b666 - b667 + b668 >= 0 e12571: b666 - b667 + b669 >= 0 e12572: b667 - b668 + b669 >= 0 e12573: b667 - b668 + b670 >= 0 e12574: b668 - b669 + b670 >= 0 e12575: b668 - b669 + b671 >= 0 e12576: b669 - b670 + b671 >= 0 e12577: b669 - b670 + b672 >= 0 e12578: b670 - b671 + b672 >= 0 e12579: b670 - b671 + b673 >= 0 e12580: b671 - b672 + b673 >= 0 e12581: - b674 + b675 + x19222 <= 1 e12582: - b674 + b676 + x19222 <= 1 e12583: b674 - b675 + b676 <= 1 e12584: b674 - b675 + b677 <= 1 e12585: b675 - b676 + b677 <= 1 e12586: b675 - b676 + b678 <= 1 e12587: b676 - b677 + b678 <= 1 e12588: b676 - b677 + b679 <= 1 e12589: b677 - b678 + b679 <= 1 e12590: b677 - b678 + b680 <= 1 e12591: b678 - b679 + b680 <= 1 e12592: b678 - b679 + b681 <= 1 e12593: b679 - b680 + b681 <= 1 e12594: b679 - b680 + b682 <= 1 e12595: b680 - b681 + b682 <= 1 e12596: b680 - b681 + b683 <= 1 e12597: b681 - b682 + b683 <= 1 e12598: b681 - b682 + b684 <= 1 e12599: b682 - b683 + b684 <= 1 e12600: b682 - b683 + b685 <= 1 e12601: b683 - b684 + b685 <= 1 e12602: b683 - b684 + b686 <= 1 e12603: b684 - b685 + b686 <= 1 e12604: b684 - b685 + b687 <= 1 e12605: b685 - b686 + b687 <= 1 e12606: b685 - b686 + b688 <= 1 e12607: b686 - b687 + b688 <= 1 e12608: b686 - b687 + b689 <= 1 e12609: b687 - b688 + b689 <= 1 e12610: b687 - b688 + b690 <= 1 e12611: b688 - b689 + b690 <= 1 e12612: b688 - b689 + b691 <= 1 e12613: b689 - b690 + b691 <= 1 e12614: b689 - b690 + b692 <= 1 e12615: b690 - b691 + b692 <= 1 e12616: b690 - b691 + b693 <= 1 e12617: b691 - b692 + b693 <= 1 e12618: b691 - b692 + b694 <= 1 e12619: b692 - b693 + b694 <= 1 e12620: b692 - b693 + b695 <= 1 e12621: b693 - b694 + b695 <= 1 e12622: b693 - b694 + b696 <= 1 e12623: b694 - b695 + b696 <= 1 e12624: b694 - b695 + b697 <= 1 e12625: b695 - b696 + b697 <= 1 e12626: - b674 + b675 + x19222 >= 0 e12627: - b674 + b676 + x19222 >= 0 e12628: - b674 + b677 + x19222 >= 0 e12629: b674 - b675 + b676 >= 0 e12630: b674 - b675 + b677 >= 0 e12631: b674 - b675 + b678 >= 0 e12632: b675 - b676 + b677 >= 0 e12633: b675 - b676 + b678 >= 0 e12634: b675 - b676 + b679 >= 0 e12635: b676 - b677 + b678 >= 0 e12636: b676 - b677 + b679 >= 0 e12637: b676 - b677 + b680 >= 0 e12638: b677 - b678 + b679 >= 0 e12639: b677 - b678 + b680 >= 0 e12640: b677 - b678 + b681 >= 0 e12641: b678 - b679 + b680 >= 0 e12642: b678 - b679 + b681 >= 0 e12643: b678 - b679 + b682 >= 0 e12644: b679 - b680 + b681 >= 0 e12645: b679 - b680 + b682 >= 0 e12646: b679 - b680 + b683 >= 0 e12647: b680 - b681 + b682 >= 0 e12648: b680 - b681 + b683 >= 0 e12649: b680 - b681 + b684 >= 0 e12650: b681 - b682 + b683 >= 0 e12651: b681 - b682 + b684 >= 0 e12652: b681 - b682 + b685 >= 0 e12653: b682 - b683 + b684 >= 0 e12654: b682 - b683 + b685 >= 0 e12655: b682 - b683 + b686 >= 0 e12656: b683 - b684 + b685 >= 0 e12657: b683 - b684 + b686 >= 0 e12658: b683 - b684 + b687 >= 0 e12659: b684 - b685 + b686 >= 0 e12660: b684 - b685 + b687 >= 0 e12661: b684 - b685 + b688 >= 0 e12662: b685 - b686 + b687 >= 0 e12663: b685 - b686 + b688 >= 0 e12664: b685 - b686 + b689 >= 0 e12665: b686 - b687 + b688 >= 0 e12666: b686 - b687 + b689 >= 0 e12667: b686 - b687 + b690 >= 0 e12668: b687 - b688 + b689 >= 0 e12669: b687 - b688 + b690 >= 0 e12670: b687 - b688 + b691 >= 0 e12671: b688 - b689 + b690 >= 0 e12672: b688 - b689 + b691 >= 0 e12673: b688 - b689 + b692 >= 0 e12674: b689 - b690 + b691 >= 0 e12675: b689 - b690 + b692 >= 0 e12676: b689 - b690 + b693 >= 0 e12677: b690 - b691 + b692 >= 0 e12678: b690 - b691 + b693 >= 0 e12679: b690 - b691 + b694 >= 0 e12680: b691 - b692 + b693 >= 0 e12681: b691 - b692 + b694 >= 0 e12682: b691 - b692 + b695 >= 0 e12683: b692 - b693 + b694 >= 0 e12684: b692 - b693 + b695 >= 0 e12685: b692 - b693 + b696 >= 0 e12686: b693 - b694 + b695 >= 0 e12687: b693 - b694 + b696 >= 0 e12688: b693 - b694 + b697 >= 0 e12689: b694 - b695 + b696 >= 0 e12690: b694 - b695 + b697 >= 0 e12691: b695 - b696 + b697 >= 0 e12692: - b698 + b699 + x19223 <= 1 e12693: - b698 + b700 + x19223 <= 1 e12694: - b698 + b701 + x19223 <= 1 e12695: b698 - b699 + b700 <= 1 e12696: b698 - b699 + b701 <= 1 e12697: b698 - b699 + b702 <= 1 e12698: b699 - b700 + b701 <= 1 e12699: b699 - b700 + b702 <= 1 e12700: b699 - b700 + b703 <= 1 e12701: b700 - b701 + b702 <= 1 e12702: b700 - b701 + b703 <= 1 e12703: b700 - b701 + b704 <= 1 e12704: b701 - b702 + b703 <= 1 e12705: b701 - b702 + b704 <= 1 e12706: b701 - b702 + b705 <= 1 e12707: b702 - b703 + b704 <= 1 e12708: b702 - b703 + b705 <= 1 e12709: b702 - b703 + b706 <= 1 e12710: b703 - b704 + b705 <= 1 e12711: b703 - b704 + b706 <= 1 e12712: b703 - b704 + b707 <= 1 e12713: b704 - b705 + b706 <= 1 e12714: b704 - b705 + b707 <= 1 e12715: b704 - b705 + b708 <= 1 e12716: b705 - b706 + b707 <= 1 e12717: b705 - b706 + b708 <= 1 e12718: b705 - b706 + b709 <= 1 e12719: b706 - b707 + b708 <= 1 e12720: b706 - b707 + b709 <= 1 e12721: b706 - b707 + b710 <= 1 e12722: b707 - b708 + b709 <= 1 e12723: b707 - b708 + b710 <= 1 e12724: b707 - b708 + b711 <= 1 e12725: b708 - b709 + b710 <= 1 e12726: b708 - b709 + b711 <= 1 e12727: b708 - b709 + b712 <= 1 e12728: b709 - b710 + b711 <= 1 e12729: b709 - b710 + b712 <= 1 e12730: b709 - b710 + b713 <= 1 e12731: b710 - b711 + b712 <= 1 e12732: b710 - b711 + b713 <= 1 e12733: b710 - b711 + b714 <= 1 e12734: b711 - b712 + b713 <= 1 e12735: b711 - b712 + b714 <= 1 e12736: b711 - b712 + b715 <= 1 e12737: b712 - b713 + b714 <= 1 e12738: b712 - b713 + b715 <= 1 e12739: b712 - b713 + b716 <= 1 e12740: b713 - b714 + b715 <= 1 e12741: b713 - b714 + b716 <= 1 e12742: b713 - b714 + b717 <= 1 e12743: b714 - b715 + b716 <= 1 e12744: b714 - b715 + b717 <= 1 e12745: b714 - b715 + b718 <= 1 e12746: b715 - b716 + b717 <= 1 e12747: b715 - b716 + b718 <= 1 e12748: b715 - b716 + b719 <= 1 e12749: b716 - b717 + b718 <= 1 e12750: b716 - b717 + b719 <= 1 e12751: b716 - b717 + b720 <= 1 e12752: b717 - b718 + b719 <= 1 e12753: b717 - b718 + b720 <= 1 e12754: b717 - b718 + b721 <= 1 e12755: b718 - b719 + b720 <= 1 e12756: b718 - b719 + b721 <= 1 e12757: b719 - b720 + b721 <= 1 e12758: - b698 + b699 + x19223 >= 0 e12759: - b698 + b700 + x19223 >= 0 e12760: b698 - b699 + b700 >= 0 e12761: b698 - b699 + b701 >= 0 e12762: b699 - b700 + b701 >= 0 e12763: b699 - b700 + b702 >= 0 e12764: b700 - b701 + b702 >= 0 e12765: b700 - b701 + b703 >= 0 e12766: b701 - b702 + b703 >= 0 e12767: b701 - b702 + b704 >= 0 e12768: b702 - b703 + b704 >= 0 e12769: b702 - b703 + b705 >= 0 e12770: b703 - b704 + b705 >= 0 e12771: b703 - b704 + b706 >= 0 e12772: b704 - b705 + b706 >= 0 e12773: b704 - b705 + b707 >= 0 e12774: b705 - b706 + b707 >= 0 e12775: b705 - b706 + b708 >= 0 e12776: b706 - b707 + b708 >= 0 e12777: b706 - b707 + b709 >= 0 e12778: b707 - b708 + b709 >= 0 e12779: b707 - b708 + b710 >= 0 e12780: b708 - b709 + b710 >= 0 e12781: b708 - b709 + b711 >= 0 e12782: b709 - b710 + b711 >= 0 e12783: b709 - b710 + b712 >= 0 e12784: b710 - b711 + b712 >= 0 e12785: b710 - b711 + b713 >= 0 e12786: b711 - b712 + b713 >= 0 e12787: b711 - b712 + b714 >= 0 e12788: b712 - b713 + b714 >= 0 e12789: b712 - b713 + b715 >= 0 e12790: b713 - b714 + b715 >= 0 e12791: b713 - b714 + b716 >= 0 e12792: b714 - b715 + b716 >= 0 e12793: b714 - b715 + b717 >= 0 e12794: b715 - b716 + b717 >= 0 e12795: b715 - b716 + b718 >= 0 e12796: b716 - b717 + b718 >= 0 e12797: b716 - b717 + b719 >= 0 e12798: b717 - b718 + b719 >= 0 e12799: b717 - b718 + b720 >= 0 e12800: b718 - b719 + b720 >= 0 e12801: b718 - b719 + b721 >= 0 e12802: b719 - b720 + b721 >= 0 e12803: b722 = 1 e12804: b723 = 1 e12805: b724 = 1 e12806: b724 - b725 + b726 <= 1 e12807: b724 - b725 + b727 <= 1 e12808: b724 - b725 + b728 <= 1 e12809: b725 - b726 + b727 <= 1 e12810: b725 - b726 + b728 <= 1 e12811: b725 - b726 + b729 <= 1 e12812: b726 - b727 + b728 <= 1 e12813: b726 - b727 + b729 <= 1 e12814: b726 - b727 + b730 <= 1 e12815: b727 - b728 + b729 <= 1 e12816: b727 - b728 + b730 <= 1 e12817: b727 - b728 + b731 <= 1 e12818: b728 - b729 + b730 <= 1 e12819: b728 - b729 + b731 <= 1 e12820: b728 - b729 + b732 <= 1 e12821: b729 - b730 + b731 <= 1 e12822: b729 - b730 + b732 <= 1 e12823: b729 - b730 + b733 <= 1 e12824: b730 - b731 + b732 <= 1 e12825: b730 - b731 + b733 <= 1 e12826: b730 - b731 + b734 <= 1 e12827: b731 - b732 + b733 <= 1 e12828: b731 - b732 + b734 <= 1 e12829: b731 - b732 + b735 <= 1 e12830: b732 - b733 + b734 <= 1 e12831: b732 - b733 + b735 <= 1 e12832: b732 - b733 + b736 <= 1 e12833: b733 - b734 + b735 <= 1 e12834: b733 - b734 + b736 <= 1 e12835: b733 - b734 + b737 <= 1 e12836: b734 - b735 + b736 <= 1 e12837: b734 - b735 + b737 <= 1 e12838: b734 - b735 + b738 <= 1 e12839: b735 - b736 + b737 <= 1 e12840: b735 - b736 + b738 <= 1 e12841: b735 - b736 + b739 <= 1 e12842: b736 - b737 + b738 <= 1 e12843: b736 - b737 + b739 <= 1 e12844: b736 - b737 + b740 <= 1 e12845: b737 - b738 + b739 <= 1 e12846: b737 - b738 + b740 <= 1 e12847: b737 - b738 + b741 <= 1 e12848: b738 - b739 + b740 <= 1 e12849: b738 - b739 + b741 <= 1 e12850: b738 - b739 + b742 <= 1 e12851: b739 - b740 + b741 <= 1 e12852: b739 - b740 + b742 <= 1 e12853: b739 - b740 + b743 <= 1 e12854: b740 - b741 + b742 <= 1 e12855: b740 - b741 + b743 <= 1 e12856: b740 - b741 + b744 <= 1 e12857: b741 - b742 + b743 <= 1 e12858: b741 - b742 + b744 <= 1 e12859: b741 - b742 + b745 <= 1 e12860: b742 - b743 + b744 <= 1 e12861: b742 - b743 + b745 <= 1 e12862: b743 - b744 + b745 <= 1 e12863: b724 - b725 + b726 >= 0 e12864: b724 - b725 + b727 >= 0 e12865: b724 - b725 + b728 >= 0 e12866: b725 - b726 + b727 >= 0 e12867: b725 - b726 + b728 >= 0 e12868: b725 - b726 + b729 >= 0 e12869: b726 - b727 + b728 >= 0 e12870: b726 - b727 + b729 >= 0 e12871: b726 - b727 + b730 >= 0 e12872: b727 - b728 + b729 >= 0 e12873: b727 - b728 + b730 >= 0 e12874: b727 - b728 + b731 >= 0 e12875: b728 - b729 + b730 >= 0 e12876: b728 - b729 + b731 >= 0 e12877: b728 - b729 + b732 >= 0 e12878: b729 - b730 + b731 >= 0 e12879: b729 - b730 + b732 >= 0 e12880: b729 - b730 + b733 >= 0 e12881: b730 - b731 + b732 >= 0 e12882: b730 - b731 + b733 >= 0 e12883: b730 - b731 + b734 >= 0 e12884: b731 - b732 + b733 >= 0 e12885: b731 - b732 + b734 >= 0 e12886: b731 - b732 + b735 >= 0 e12887: b732 - b733 + b734 >= 0 e12888: b732 - b733 + b735 >= 0 e12889: b732 - b733 + b736 >= 0 e12890: b733 - b734 + b735 >= 0 e12891: b733 - b734 + b736 >= 0 e12892: b733 - b734 + b737 >= 0 e12893: b734 - b735 + b736 >= 0 e12894: b734 - b735 + b737 >= 0 e12895: b734 - b735 + b738 >= 0 e12896: b735 - b736 + b737 >= 0 e12897: b735 - b736 + b738 >= 0 e12898: b735 - b736 + b739 >= 0 e12899: b736 - b737 + b738 >= 0 e12900: b736 - b737 + b739 >= 0 e12901: b736 - b737 + b740 >= 0 e12902: b737 - b738 + b739 >= 0 e12903: b737 - b738 + b740 >= 0 e12904: b737 - b738 + b741 >= 0 e12905: b738 - b739 + b740 >= 0 e12906: b738 - b739 + b741 >= 0 e12907: b738 - b739 + b742 >= 0 e12908: b739 - b740 + b741 >= 0 e12909: b739 - b740 + b742 >= 0 e12910: b739 - b740 + b743 >= 0 e12911: b740 - b741 + b742 >= 0 e12912: b740 - b741 + b743 >= 0 e12913: b740 - b741 + b744 >= 0 e12914: b741 - b742 + b743 >= 0 e12915: b741 - b742 + b744 >= 0 e12916: b741 - b742 + b745 >= 0 e12917: b742 - b743 + b744 >= 0 e12918: b742 - b743 + b745 >= 0 e12919: b743 - b744 + b745 >= 0 e12920: - b746 + b747 + x19224 <= 1 e12921: - b746 + b748 + x19224 <= 1 e12922: - b746 + b749 + x19224 <= 1 e12923: b746 - b747 + b748 <= 1 e12924: b746 - b747 + b749 <= 1 e12925: b746 - b747 + b750 <= 1 e12926: b747 - b748 + b749 <= 1 e12927: b747 - b748 + b750 <= 1 e12928: b747 - b748 + b751 <= 1 e12929: b748 - b749 + b750 <= 1 e12930: b748 - b749 + b751 <= 1 e12931: b748 - b749 + b752 <= 1 e12932: b749 - b750 + b751 <= 1 e12933: b749 - b750 + b752 <= 1 e12934: b749 - b750 + b753 <= 1 e12935: b750 - b751 + b752 <= 1 e12936: b750 - b751 + b753 <= 1 e12937: b750 - b751 + b754 <= 1 e12938: b751 - b752 + b753 <= 1 e12939: b751 - b752 + b754 <= 1 e12940: b751 - b752 + b755 <= 1 e12941: b752 - b753 + b754 <= 1 e12942: b752 - b753 + b755 <= 1 e12943: b752 - b753 + b756 <= 1 e12944: b753 - b754 + b755 <= 1 e12945: b753 - b754 + b756 <= 1 e12946: b753 - b754 + b757 <= 1 e12947: b754 - b755 + b756 <= 1 e12948: b754 - b755 + b757 <= 1 e12949: b754 - b755 + b758 <= 1 e12950: b755 - b756 + b757 <= 1 e12951: b755 - b756 + b758 <= 1 e12952: b755 - b756 + b759 <= 1 e12953: b756 - b757 + b758 <= 1 e12954: b756 - b757 + b759 <= 1 e12955: b756 - b757 + b760 <= 1 e12956: b757 - b758 + b759 <= 1 e12957: b757 - b758 + b760 <= 1 e12958: b757 - b758 + b761 <= 1 e12959: b758 - b759 + b760 <= 1 e12960: b758 - b759 + b761 <= 1 e12961: b758 - b759 + b762 <= 1 e12962: b759 - b760 + b761 <= 1 e12963: b759 - b760 + b762 <= 1 e12964: b759 - b760 + b763 <= 1 e12965: b760 - b761 + b762 <= 1 e12966: b760 - b761 + b763 <= 1 e12967: b760 - b761 + b764 <= 1 e12968: b761 - b762 + b763 <= 1 e12969: b761 - b762 + b764 <= 1 e12970: b761 - b762 + b765 <= 1 e12971: b762 - b763 + b764 <= 1 e12972: b762 - b763 + b765 <= 1 e12973: b762 - b763 + b766 <= 1 e12974: b763 - b764 + b765 <= 1 e12975: b763 - b764 + b766 <= 1 e12976: b763 - b764 + b767 <= 1 e12977: b764 - b765 + b766 <= 1 e12978: b764 - b765 + b767 <= 1 e12979: b764 - b765 + b768 <= 1 e12980: b765 - b766 + b767 <= 1 e12981: b765 - b766 + b768 <= 1 e12982: b765 - b766 + b769 <= 1 e12983: b766 - b767 + b768 <= 1 e12984: b766 - b767 + b769 <= 1 e12985: b767 - b768 + b769 <= 1 e12986: - b746 + b747 + x19224 >= 0 e12987: - b746 + b748 + x19224 >= 0 e12988: b746 - b747 + b748 >= 0 e12989: b746 - b747 + b749 >= 0 e12990: b747 - b748 + b749 >= 0 e12991: b747 - b748 + b750 >= 0 e12992: b748 - b749 + b750 >= 0 e12993: b748 - b749 + b751 >= 0 e12994: b749 - b750 + b751 >= 0 e12995: b749 - b750 + b752 >= 0 e12996: b750 - b751 + b752 >= 0 e12997: b750 - b751 + b753 >= 0 e12998: b751 - b752 + b753 >= 0 e12999: b751 - b752 + b754 >= 0 e13000: b752 - b753 + b754 >= 0 e13001: b752 - b753 + b755 >= 0 e13002: b753 - b754 + b755 >= 0 e13003: b753 - b754 + b756 >= 0 e13004: b754 - b755 + b756 >= 0 e13005: b754 - b755 + b757 >= 0 e13006: b755 - b756 + b757 >= 0 e13007: b755 - b756 + b758 >= 0 e13008: b756 - b757 + b758 >= 0 e13009: b756 - b757 + b759 >= 0 e13010: b757 - b758 + b759 >= 0 e13011: b757 - b758 + b760 >= 0 e13012: b758 - b759 + b760 >= 0 e13013: b758 - b759 + b761 >= 0 e13014: b759 - b760 + b761 >= 0 e13015: b759 - b760 + b762 >= 0 e13016: b760 - b761 + b762 >= 0 e13017: b760 - b761 + b763 >= 0 e13018: b761 - b762 + b763 >= 0 e13019: b761 - b762 + b764 >= 0 e13020: b762 - b763 + b764 >= 0 e13021: b762 - b763 + b765 >= 0 e13022: b763 - b764 + b765 >= 0 e13023: b763 - b764 + b766 >= 0 e13024: b764 - b765 + b766 >= 0 e13025: b764 - b765 + b767 >= 0 e13026: b765 - b766 + b767 >= 0 e13027: b765 - b766 + b768 >= 0 e13028: b766 - b767 + b768 >= 0 e13029: b766 - b767 + b769 >= 0 e13030: b767 - b768 + b769 >= 0 e13031: - b770 + b771 + x19225 <= 1 e13032: - b770 + b772 + x19225 <= 1 e13033: b770 - b771 + b772 <= 1 e13034: b770 - b771 + b773 <= 1 e13035: b771 - b772 + b773 <= 1 e13036: b771 - b772 + b774 <= 1 e13037: b772 - b773 + b774 <= 1 e13038: b772 - b773 + b775 <= 1 e13039: b773 - b774 + b775 <= 1 e13040: b773 - b774 + b776 <= 1 e13041: b774 - b775 + b776 <= 1 e13042: b774 - b775 + b777 <= 1 e13043: b775 - b776 + b777 <= 1 e13044: b775 - b776 + b778 <= 1 e13045: b776 - b777 + b778 <= 1 e13046: b776 - b777 + b779 <= 1 e13047: b777 - b778 + b779 <= 1 e13048: b777 - b778 + b780 <= 1 e13049: b778 - b779 + b780 <= 1 e13050: b778 - b779 + b781 <= 1 e13051: b779 - b780 + b781 <= 1 e13052: b779 - b780 + b782 <= 1 e13053: b780 - b781 + b782 <= 1 e13054: b780 - b781 + b783 <= 1 e13055: b781 - b782 + b783 <= 1 e13056: b781 - b782 + b784 <= 1 e13057: b782 - b783 + b784 <= 1 e13058: b782 - b783 + b785 <= 1 e13059: b783 - b784 + b785 <= 1 e13060: b783 - b784 + b786 <= 1 e13061: b784 - b785 + b786 <= 1 e13062: b784 - b785 + b787 <= 1 e13063: b785 - b786 + b787 <= 1 e13064: b785 - b786 + b788 <= 1 e13065: b786 - b787 + b788 <= 1 e13066: b786 - b787 + b789 <= 1 e13067: b787 - b788 + b789 <= 1 e13068: b787 - b788 + b790 <= 1 e13069: b788 - b789 + b790 <= 1 e13070: b788 - b789 + b791 <= 1 e13071: b789 - b790 + b791 <= 1 e13072: b789 - b790 + b792 <= 1 e13073: b790 - b791 + b792 <= 1 e13074: b790 - b791 + b793 <= 1 e13075: b791 - b792 + b793 <= 1 e13076: - b770 + b771 + x19225 >= 0 e13077: - b770 + b772 + x19225 >= 0 e13078: - b770 + b773 + x19225 >= 0 e13079: b770 - b771 + b772 >= 0 e13080: b770 - b771 + b773 >= 0 e13081: b770 - b771 + b774 >= 0 e13082: b771 - b772 + b773 >= 0 e13083: b771 - b772 + b774 >= 0 e13084: b771 - b772 + b775 >= 0 e13085: b772 - b773 + b774 >= 0 e13086: b772 - b773 + b775 >= 0 e13087: b772 - b773 + b776 >= 0 e13088: b773 - b774 + b775 >= 0 e13089: b773 - b774 + b776 >= 0 e13090: b773 - b774 + b777 >= 0 e13091: b774 - b775 + b776 >= 0 e13092: b774 - b775 + b777 >= 0 e13093: b774 - b775 + b778 >= 0 e13094: b775 - b776 + b777 >= 0 e13095: b775 - b776 + b778 >= 0 e13096: b775 - b776 + b779 >= 0 e13097: b776 - b777 + b778 >= 0 e13098: b776 - b777 + b779 >= 0 e13099: b776 - b777 + b780 >= 0 e13100: b777 - b778 + b779 >= 0 e13101: b777 - b778 + b780 >= 0 e13102: b777 - b778 + b781 >= 0 e13103: b778 - b779 + b780 >= 0 e13104: b778 - b779 + b781 >= 0 e13105: b778 - b779 + b782 >= 0 e13106: b779 - b780 + b781 >= 0 e13107: b779 - b780 + b782 >= 0 e13108: b779 - b780 + b783 >= 0 e13109: b780 - b781 + b782 >= 0 e13110: b780 - b781 + b783 >= 0 e13111: b780 - b781 + b784 >= 0 e13112: b781 - b782 + b783 >= 0 e13113: b781 - b782 + b784 >= 0 e13114: b781 - b782 + b785 >= 0 e13115: b782 - b783 + b784 >= 0 e13116: b782 - b783 + b785 >= 0 e13117: b782 - b783 + b786 >= 0 e13118: b783 - b784 + b785 >= 0 e13119: b783 - b784 + b786 >= 0 e13120: b783 - b784 + b787 >= 0 e13121: b784 - b785 + b786 >= 0 e13122: b784 - b785 + b787 >= 0 e13123: b784 - b785 + b788 >= 0 e13124: b785 - b786 + b787 >= 0 e13125: b785 - b786 + b788 >= 0 e13126: b785 - b786 + b789 >= 0 e13127: b786 - b787 + b788 >= 0 e13128: b786 - b787 + b789 >= 0 e13129: b786 - b787 + b790 >= 0 e13130: b787 - b788 + b789 >= 0 e13131: b787 - b788 + b790 >= 0 e13132: b787 - b788 + b791 >= 0 e13133: b788 - b789 + b790 >= 0 e13134: b788 - b789 + b791 >= 0 e13135: b788 - b789 + b792 >= 0 e13136: b789 - b790 + b791 >= 0 e13137: b789 - b790 + b792 >= 0 e13138: b789 - b790 + b793 >= 0 e13139: b790 - b791 + b792 >= 0 e13140: b790 - b791 + b793 >= 0 e13141: b791 - b792 + b793 >= 0 e13142: b794 = 1 e13143: b795 = 1 e13144: b796 = 1 e13145: b796 - b797 + b798 <= 1 e13146: b796 - b797 + b799 <= 1 e13147: b796 - b797 + b800 <= 1 e13148: b797 - b798 + b799 <= 1 e13149: b797 - b798 + b800 <= 1 e13150: b797 - b798 + b801 <= 1 e13151: b798 - b799 + b800 <= 1 e13152: b798 - b799 + b801 <= 1 e13153: b798 - b799 + b802 <= 1 e13154: b799 - b800 + b801 <= 1 e13155: b799 - b800 + b802 <= 1 e13156: b799 - b800 + b803 <= 1 e13157: b800 - b801 + b802 <= 1 e13158: b800 - b801 + b803 <= 1 e13159: b800 - b801 + b804 <= 1 e13160: b801 - b802 + b803 <= 1 e13161: b801 - b802 + b804 <= 1 e13162: b801 - b802 + b805 <= 1 e13163: b802 - b803 + b804 <= 1 e13164: b802 - b803 + b805 <= 1 e13165: b802 - b803 + b806 <= 1 e13166: b803 - b804 + b805 <= 1 e13167: b803 - b804 + b806 <= 1 e13168: b803 - b804 + b807 <= 1 e13169: b804 - b805 + b806 <= 1 e13170: b804 - b805 + b807 <= 1 e13171: b804 - b805 + b808 <= 1 e13172: b805 - b806 + b807 <= 1 e13173: b805 - b806 + b808 <= 1 e13174: b805 - b806 + b809 <= 1 e13175: b806 - b807 + b808 <= 1 e13176: b806 - b807 + b809 <= 1 e13177: b806 - b807 + b810 <= 1 e13178: b807 - b808 + b809 <= 1 e13179: b807 - b808 + b810 <= 1 e13180: b807 - b808 + b811 <= 1 e13181: b808 - b809 + b810 <= 1 e13182: b808 - b809 + b811 <= 1 e13183: b808 - b809 + b812 <= 1 e13184: b809 - b810 + b811 <= 1 e13185: b809 - b810 + b812 <= 1 e13186: b809 - b810 + b813 <= 1 e13187: b810 - b811 + b812 <= 1 e13188: b810 - b811 + b813 <= 1 e13189: b810 - b811 + b814 <= 1 e13190: b811 - b812 + b813 <= 1 e13191: b811 - b812 + b814 <= 1 e13192: b811 - b812 + b815 <= 1 e13193: b812 - b813 + b814 <= 1 e13194: b812 - b813 + b815 <= 1 e13195: b812 - b813 + b816 <= 1 e13196: b813 - b814 + b815 <= 1 e13197: b813 - b814 + b816 <= 1 e13198: b813 - b814 + b817 <= 1 e13199: b814 - b815 + b816 <= 1 e13200: b814 - b815 + b817 <= 1 e13201: b815 - b816 + b817 <= 1 e13202: b796 - b797 + b798 >= 0 e13203: b796 - b797 + b799 >= 0 e13204: b796 - b797 + b800 >= 0 e13205: b797 - b798 + b799 >= 0 e13206: b797 - b798 + b800 >= 0 e13207: b797 - b798 + b801 >= 0 e13208: b798 - b799 + b800 >= 0 e13209: b798 - b799 + b801 >= 0 e13210: b798 - b799 + b802 >= 0 e13211: b799 - b800 + b801 >= 0 e13212: b799 - b800 + b802 >= 0 e13213: b799 - b800 + b803 >= 0 e13214: b800 - b801 + b802 >= 0 e13215: b800 - b801 + b803 >= 0 e13216: b800 - b801 + b804 >= 0 e13217: b801 - b802 + b803 >= 0 e13218: b801 - b802 + b804 >= 0 e13219: b801 - b802 + b805 >= 0 e13220: b802 - b803 + b804 >= 0 e13221: b802 - b803 + b805 >= 0 e13222: b802 - b803 + b806 >= 0 e13223: b803 - b804 + b805 >= 0 e13224: b803 - b804 + b806 >= 0 e13225: b803 - b804 + b807 >= 0 e13226: b804 - b805 + b806 >= 0 e13227: b804 - b805 + b807 >= 0 e13228: b804 - b805 + b808 >= 0 e13229: b805 - b806 + b807 >= 0 e13230: b805 - b806 + b808 >= 0 e13231: b805 - b806 + b809 >= 0 e13232: b806 - b807 + b808 >= 0 e13233: b806 - b807 + b809 >= 0 e13234: b806 - b807 + b810 >= 0 e13235: b807 - b808 + b809 >= 0 e13236: b807 - b808 + b810 >= 0 e13237: b807 - b808 + b811 >= 0 e13238: b808 - b809 + b810 >= 0 e13239: b808 - b809 + b811 >= 0 e13240: b808 - b809 + b812 >= 0 e13241: b809 - b810 + b811 >= 0 e13242: b809 - b810 + b812 >= 0 e13243: b809 - b810 + b813 >= 0 e13244: b810 - b811 + b812 >= 0 e13245: b810 - b811 + b813 >= 0 e13246: b810 - b811 + b814 >= 0 e13247: b811 - b812 + b813 >= 0 e13248: b811 - b812 + b814 >= 0 e13249: b811 - b812 + b815 >= 0 e13250: b812 - b813 + b814 >= 0 e13251: b812 - b813 + b815 >= 0 e13252: b812 - b813 + b816 >= 0 e13253: b813 - b814 + b815 >= 0 e13254: b813 - b814 + b816 >= 0 e13255: b813 - b814 + b817 >= 0 e13256: b814 - b815 + b816 >= 0 e13257: b814 - b815 + b817 >= 0 e13258: b815 - b816 + b817 >= 0 e13259: b818 = 0 e13260: b819 = 0 e13261: b820 = 0 e13262: b820 - b821 + b822 <= 1 e13263: b820 - b821 + b823 <= 1 e13264: b820 - b821 + b824 <= 1 e13265: b821 - b822 + b823 <= 1 e13266: b821 - b822 + b824 <= 1 e13267: b821 - b822 + b825 <= 1 e13268: b822 - b823 + b824 <= 1 e13269: b822 - b823 + b825 <= 1 e13270: b822 - b823 + b826 <= 1 e13271: b823 - b824 + b825 <= 1 e13272: b823 - b824 + b826 <= 1 e13273: b823 - b824 + b827 <= 1 e13274: b824 - b825 + b826 <= 1 e13275: b824 - b825 + b827 <= 1 e13276: b824 - b825 + b828 <= 1 e13277: b825 - b826 + b827 <= 1 e13278: b825 - b826 + b828 <= 1 e13279: b825 - b826 + b829 <= 1 e13280: b826 - b827 + b828 <= 1 e13281: b826 - b827 + b829 <= 1 e13282: b826 - b827 + b830 <= 1 e13283: b827 - b828 + b829 <= 1 e13284: b827 - b828 + b830 <= 1 e13285: b827 - b828 + b831 <= 1 e13286: b828 - b829 + b830 <= 1 e13287: b828 - b829 + b831 <= 1 e13288: b828 - b829 + b832 <= 1 e13289: b829 - b830 + b831 <= 1 e13290: b829 - b830 + b832 <= 1 e13291: b829 - b830 + b833 <= 1 e13292: b830 - b831 + b832 <= 1 e13293: b830 - b831 + b833 <= 1 e13294: b830 - b831 + b834 <= 1 e13295: b831 - b832 + b833 <= 1 e13296: b831 - b832 + b834 <= 1 e13297: b831 - b832 + b835 <= 1 e13298: b832 - b833 + b834 <= 1 e13299: b832 - b833 + b835 <= 1 e13300: b832 - b833 + b836 <= 1 e13301: b833 - b834 + b835 <= 1 e13302: b833 - b834 + b836 <= 1 e13303: b833 - b834 + b837 <= 1 e13304: b834 - b835 + b836 <= 1 e13305: b834 - b835 + b837 <= 1 e13306: b834 - b835 + b838 <= 1 e13307: b835 - b836 + b837 <= 1 e13308: b835 - b836 + b838 <= 1 e13309: b835 - b836 + b839 <= 1 e13310: b836 - b837 + b838 <= 1 e13311: b836 - b837 + b839 <= 1 e13312: b836 - b837 + b840 <= 1 e13313: b837 - b838 + b839 <= 1 e13314: b837 - b838 + b840 <= 1 e13315: b837 - b838 + b841 <= 1 e13316: b838 - b839 + b840 <= 1 e13317: b838 - b839 + b841 <= 1 e13318: b839 - b840 + b841 <= 1 e13319: b820 - b821 + b822 >= 0 e13320: b820 - b821 + b823 >= 0 e13321: b820 - b821 + b824 >= 0 e13322: b821 - b822 + b823 >= 0 e13323: b821 - b822 + b824 >= 0 e13324: b821 - b822 + b825 >= 0 e13325: b822 - b823 + b824 >= 0 e13326: b822 - b823 + b825 >= 0 e13327: b822 - b823 + b826 >= 0 e13328: b823 - b824 + b825 >= 0 e13329: b823 - b824 + b826 >= 0 e13330: b823 - b824 + b827 >= 0 e13331: b824 - b825 + b826 >= 0 e13332: b824 - b825 + b827 >= 0 e13333: b824 - b825 + b828 >= 0 e13334: b825 - b826 + b827 >= 0 e13335: b825 - b826 + b828 >= 0 e13336: b825 - b826 + b829 >= 0 e13337: b826 - b827 + b828 >= 0 e13338: b826 - b827 + b829 >= 0 e13339: b826 - b827 + b830 >= 0 e13340: b827 - b828 + b829 >= 0 e13341: b827 - b828 + b830 >= 0 e13342: b827 - b828 + b831 >= 0 e13343: b828 - b829 + b830 >= 0 e13344: b828 - b829 + b831 >= 0 e13345: b828 - b829 + b832 >= 0 e13346: b829 - b830 + b831 >= 0 e13347: b829 - b830 + b832 >= 0 e13348: b829 - b830 + b833 >= 0 e13349: b830 - b831 + b832 >= 0 e13350: b830 - b831 + b833 >= 0 e13351: b830 - b831 + b834 >= 0 e13352: b831 - b832 + b833 >= 0 e13353: b831 - b832 + b834 >= 0 e13354: b831 - b832 + b835 >= 0 e13355: b832 - b833 + b834 >= 0 e13356: b832 - b833 + b835 >= 0 e13357: b832 - b833 + b836 >= 0 e13358: b833 - b834 + b835 >= 0 e13359: b833 - b834 + b836 >= 0 e13360: b833 - b834 + b837 >= 0 e13361: b834 - b835 + b836 >= 0 e13362: b834 - b835 + b837 >= 0 e13363: b834 - b835 + b838 >= 0 e13364: b835 - b836 + b837 >= 0 e13365: b835 - b836 + b838 >= 0 e13366: b835 - b836 + b839 >= 0 e13367: b836 - b837 + b838 >= 0 e13368: b836 - b837 + b839 >= 0 e13369: b836 - b837 + b840 >= 0 e13370: b837 - b838 + b839 >= 0 e13371: b837 - b838 + b840 >= 0 e13372: b837 - b838 + b841 >= 0 e13373: b838 - b839 + b840 >= 0 e13374: b838 - b839 + b841 >= 0 e13375: b839 - b840 + b841 >= 0 e13376: b842 = 0 e13377: b842 - b843 + b844 <= 1 e13378: b842 - b843 + b845 <= 1 e13379: b843 - b844 + b845 <= 1 e13380: b843 - b844 + b846 <= 1 e13381: b844 - b845 + b846 <= 1 e13382: b844 - b845 + b847 <= 1 e13383: b845 - b846 + b847 <= 1 e13384: b845 - b846 + b848 <= 1 e13385: b846 - b847 + b848 <= 1 e13386: b846 - b847 + b849 <= 1 e13387: b847 - b848 + b849 <= 1 e13388: b847 - b848 + b850 <= 1 e13389: b848 - b849 + b850 <= 1 e13390: b848 - b849 + b851 <= 1 e13391: b849 - b850 + b851 <= 1 e13392: b849 - b850 + b852 <= 1 e13393: b850 - b851 + b852 <= 1 e13394: b850 - b851 + b853 <= 1 e13395: b851 - b852 + b853 <= 1 e13396: b851 - b852 + b854 <= 1 e13397: b852 - b853 + b854 <= 1 e13398: b852 - b853 + b855 <= 1 e13399: b853 - b854 + b855 <= 1 e13400: b853 - b854 + b856 <= 1 e13401: b854 - b855 + b856 <= 1 e13402: b854 - b855 + b857 <= 1 e13403: b855 - b856 + b857 <= 1 e13404: b855 - b856 + b858 <= 1 e13405: b856 - b857 + b858 <= 1 e13406: b856 - b857 + b859 <= 1 e13407: b857 - b858 + b859 <= 1 e13408: b857 - b858 + b860 <= 1 e13409: b858 - b859 + b860 <= 1 e13410: b858 - b859 + b861 <= 1 e13411: b859 - b860 + b861 <= 1 e13412: b859 - b860 + b862 <= 1 e13413: b860 - b861 + b862 <= 1 e13414: b860 - b861 + b863 <= 1 e13415: b861 - b862 + b863 <= 1 e13416: b861 - b862 + b864 <= 1 e13417: b862 - b863 + b864 <= 1 e13418: b862 - b863 + b865 <= 1 e13419: b863 - b864 + b865 <= 1 e13420: b842 - b843 + b844 >= 0 e13421: b842 - b843 + b845 >= 0 e13422: b842 - b843 + b846 >= 0 e13423: b843 - b844 + b845 >= 0 e13424: b843 - b844 + b846 >= 0 e13425: b843 - b844 + b847 >= 0 e13426: b844 - b845 + b846 >= 0 e13427: b844 - b845 + b847 >= 0 e13428: b844 - b845 + b848 >= 0 e13429: b845 - b846 + b847 >= 0 e13430: b845 - b846 + b848 >= 0 e13431: b845 - b846 + b849 >= 0 e13432: b846 - b847 + b848 >= 0 e13433: b846 - b847 + b849 >= 0 e13434: b846 - b847 + b850 >= 0 e13435: b847 - b848 + b849 >= 0 e13436: b847 - b848 + b850 >= 0 e13437: b847 - b848 + b851 >= 0 e13438: b848 - b849 + b850 >= 0 e13439: b848 - b849 + b851 >= 0 e13440: b848 - b849 + b852 >= 0 e13441: b849 - b850 + b851 >= 0 e13442: b849 - b850 + b852 >= 0 e13443: b849 - b850 + b853 >= 0 e13444: b850 - b851 + b852 >= 0 e13445: b850 - b851 + b853 >= 0 e13446: b850 - b851 + b854 >= 0 e13447: b851 - b852 + b853 >= 0 e13448: b851 - b852 + b854 >= 0 e13449: b851 - b852 + b855 >= 0 e13450: b852 - b853 + b854 >= 0 e13451: b852 - b853 + b855 >= 0 e13452: b852 - b853 + b856 >= 0 e13453: b853 - b854 + b855 >= 0 e13454: b853 - b854 + b856 >= 0 e13455: b853 - b854 + b857 >= 0 e13456: b854 - b855 + b856 >= 0 e13457: b854 - b855 + b857 >= 0 e13458: b854 - b855 + b858 >= 0 e13459: b855 - b856 + b857 >= 0 e13460: b855 - b856 + b858 >= 0 e13461: b855 - b856 + b859 >= 0 e13462: b856 - b857 + b858 >= 0 e13463: b856 - b857 + b859 >= 0 e13464: b856 - b857 + b860 >= 0 e13465: b857 - b858 + b859 >= 0 e13466: b857 - b858 + b860 >= 0 e13467: b857 - b858 + b861 >= 0 e13468: b858 - b859 + b860 >= 0 e13469: b858 - b859 + b861 >= 0 e13470: b858 - b859 + b862 >= 0 e13471: b859 - b860 + b861 >= 0 e13472: b859 - b860 + b862 >= 0 e13473: b859 - b860 + b863 >= 0 e13474: b860 - b861 + b862 >= 0 e13475: b860 - b861 + b863 >= 0 e13476: b860 - b861 + b864 >= 0 e13477: b861 - b862 + b863 >= 0 e13478: b861 - b862 + b864 >= 0 e13479: b861 - b862 + b865 >= 0 e13480: b862 - b863 + b864 >= 0 e13481: b862 - b863 + b865 >= 0 e13482: b863 - b864 + b865 >= 0 e13483: - b866 + b867 + x19226 <= 1 e13484: - b866 + b868 + x19226 <= 1 e13485: - b866 + b869 + x19226 <= 1 e13486: b866 - b867 + b868 <= 1 e13487: b866 - b867 + b869 <= 1 e13488: b866 - b867 + b870 <= 1 e13489: b867 - b868 + b869 <= 1 e13490: b867 - b868 + b870 <= 1 e13491: b867 - b868 + b871 <= 1 e13492: b868 - b869 + b870 <= 1 e13493: b868 - b869 + b871 <= 1 e13494: b868 - b869 + b872 <= 1 e13495: b869 - b870 + b871 <= 1 e13496: b869 - b870 + b872 <= 1 e13497: b869 - b870 + b873 <= 1 e13498: b870 - b871 + b872 <= 1 e13499: b870 - b871 + b873 <= 1 e13500: b870 - b871 + b874 <= 1 e13501: b871 - b872 + b873 <= 1 e13502: b871 - b872 + b874 <= 1 e13503: b871 - b872 + b875 <= 1 e13504: b872 - b873 + b874 <= 1 e13505: b872 - b873 + b875 <= 1 e13506: b872 - b873 + b876 <= 1 e13507: b873 - b874 + b875 <= 1 e13508: b873 - b874 + b876 <= 1 e13509: b873 - b874 + b877 <= 1 e13510: b874 - b875 + b876 <= 1 e13511: b874 - b875 + b877 <= 1 e13512: b874 - b875 + b878 <= 1 e13513: b875 - b876 + b877 <= 1 e13514: b875 - b876 + b878 <= 1 e13515: b875 - b876 + b879 <= 1 e13516: b876 - b877 + b878 <= 1 e13517: b876 - b877 + b879 <= 1 e13518: b876 - b877 + b880 <= 1 e13519: b877 - b878 + b879 <= 1 e13520: b877 - b878 + b880 <= 1 e13521: b877 - b878 + b881 <= 1 e13522: b878 - b879 + b880 <= 1 e13523: b878 - b879 + b881 <= 1 e13524: b878 - b879 + b882 <= 1 e13525: b879 - b880 + b881 <= 1 e13526: b879 - b880 + b882 <= 1 e13527: b879 - b880 + b883 <= 1 e13528: b880 - b881 + b882 <= 1 e13529: b880 - b881 + b883 <= 1 e13530: b880 - b881 + b884 <= 1 e13531: b881 - b882 + b883 <= 1 e13532: b881 - b882 + b884 <= 1 e13533: b881 - b882 + b885 <= 1 e13534: b882 - b883 + b884 <= 1 e13535: b882 - b883 + b885 <= 1 e13536: b882 - b883 + b886 <= 1 e13537: b883 - b884 + b885 <= 1 e13538: b883 - b884 + b886 <= 1 e13539: b883 - b884 + b887 <= 1 e13540: b884 - b885 + b886 <= 1 e13541: b884 - b885 + b887 <= 1 e13542: b884 - b885 + b888 <= 1 e13543: b885 - b886 + b887 <= 1 e13544: b885 - b886 + b888 <= 1 e13545: b885 - b886 + b889 <= 1 e13546: b886 - b887 + b888 <= 1 e13547: b886 - b887 + b889 <= 1 e13548: b887 - b888 + b889 <= 1 e13549: - b866 + b867 + x19226 >= 0 e13550: - b866 + b868 + x19226 >= 0 e13551: b866 - b867 + b868 >= 0 e13552: b866 - b867 + b869 >= 0 e13553: b867 - b868 + b869 >= 0 e13554: b867 - b868 + b870 >= 0 e13555: b868 - b869 + b870 >= 0 e13556: b868 - b869 + b871 >= 0 e13557: b869 - b870 + b871 >= 0 e13558: b869 - b870 + b872 >= 0 e13559: b870 - b871 + b872 >= 0 e13560: b870 - b871 + b873 >= 0 e13561: b871 - b872 + b873 >= 0 e13562: b871 - b872 + b874 >= 0 e13563: b872 - b873 + b874 >= 0 e13564: b872 - b873 + b875 >= 0 e13565: b873 - b874 + b875 >= 0 e13566: b873 - b874 + b876 >= 0 e13567: b874 - b875 + b876 >= 0 e13568: b874 - b875 + b877 >= 0 e13569: b875 - b876 + b877 >= 0 e13570: b875 - b876 + b878 >= 0 e13571: b876 - b877 + b878 >= 0 e13572: b876 - b877 + b879 >= 0 e13573: b877 - b878 + b879 >= 0 e13574: b877 - b878 + b880 >= 0 e13575: b878 - b879 + b880 >= 0 e13576: b878 - b879 + b881 >= 0 e13577: b879 - b880 + b881 >= 0 e13578: b879 - b880 + b882 >= 0 e13579: b880 - b881 + b882 >= 0 e13580: b880 - b881 + b883 >= 0 e13581: b881 - b882 + b883 >= 0 e13582: b881 - b882 + b884 >= 0 e13583: b882 - b883 + b884 >= 0 e13584: b882 - b883 + b885 >= 0 e13585: b883 - b884 + b885 >= 0 e13586: b883 - b884 + b886 >= 0 e13587: b884 - b885 + b886 >= 0 e13588: b884 - b885 + b887 >= 0 e13589: b885 - b886 + b887 >= 0 e13590: b885 - b886 + b888 >= 0 e13591: b886 - b887 + b888 >= 0 e13592: b886 - b887 + b889 >= 0 e13593: b887 - b888 + b889 >= 0 e13594: - b890 + b891 + x19227 <= 1 e13595: - b890 + b892 + x19227 <= 1 e13596: b890 - b891 + b892 <= 1 e13597: b890 - b891 + b893 <= 1 e13598: b891 - b892 + b893 <= 1 e13599: b891 - b892 + b894 <= 1 e13600: b892 - b893 + b894 <= 1 e13601: b892 - b893 + b895 <= 1 e13602: b893 - b894 + b895 <= 1 e13603: b893 - b894 + b896 <= 1 e13604: b894 - b895 + b896 <= 1 e13605: b894 - b895 + b897 <= 1 e13606: b895 - b896 + b897 <= 1 e13607: b895 - b896 + b898 <= 1 e13608: b896 - b897 + b898 <= 1 e13609: b896 - b897 + b899 <= 1 e13610: b897 - b898 + b899 <= 1 e13611: b897 - b898 + b900 <= 1 e13612: b898 - b899 + b900 <= 1 e13613: b898 - b899 + b901 <= 1 e13614: b899 - b900 + b901 <= 1 e13615: b899 - b900 + b902 <= 1 e13616: b900 - b901 + b902 <= 1 e13617: b900 - b901 + b903 <= 1 e13618: b901 - b902 + b903 <= 1 e13619: b901 - b902 + b904 <= 1 e13620: b902 - b903 + b904 <= 1 e13621: b902 - b903 + b905 <= 1 e13622: b903 - b904 + b905 <= 1 e13623: b903 - b904 + b906 <= 1 e13624: b904 - b905 + b906 <= 1 e13625: b904 - b905 + b907 <= 1 e13626: b905 - b906 + b907 <= 1 e13627: b905 - b906 + b908 <= 1 e13628: b906 - b907 + b908 <= 1 e13629: b906 - b907 + b909 <= 1 e13630: b907 - b908 + b909 <= 1 e13631: b907 - b908 + b910 <= 1 e13632: b908 - b909 + b910 <= 1 e13633: b908 - b909 + b911 <= 1 e13634: b909 - b910 + b911 <= 1 e13635: b909 - b910 + b912 <= 1 e13636: b910 - b911 + b912 <= 1 e13637: b910 - b911 + b913 <= 1 e13638: b911 - b912 + b913 <= 1 e13639: - b890 + b891 + x19227 >= 0 e13640: - b890 + b892 + x19227 >= 0 e13641: b890 - b891 + b892 >= 0 e13642: b890 - b891 + b893 >= 0 e13643: b891 - b892 + b893 >= 0 e13644: b891 - b892 + b894 >= 0 e13645: b892 - b893 + b894 >= 0 e13646: b892 - b893 + b895 >= 0 e13647: b893 - b894 + b895 >= 0 e13648: b893 - b894 + b896 >= 0 e13649: b894 - b895 + b896 >= 0 e13650: b894 - b895 + b897 >= 0 e13651: b895 - b896 + b897 >= 0 e13652: b895 - b896 + b898 >= 0 e13653: b896 - b897 + b898 >= 0 e13654: b896 - b897 + b899 >= 0 e13655: b897 - b898 + b899 >= 0 e13656: b897 - b898 + b900 >= 0 e13657: b898 - b899 + b900 >= 0 e13658: b898 - b899 + b901 >= 0 e13659: b899 - b900 + b901 >= 0 e13660: b899 - b900 + b902 >= 0 e13661: b900 - b901 + b902 >= 0 e13662: b900 - b901 + b903 >= 0 e13663: b901 - b902 + b903 >= 0 e13664: b901 - b902 + b904 >= 0 e13665: b902 - b903 + b904 >= 0 e13666: b902 - b903 + b905 >= 0 e13667: b903 - b904 + b905 >= 0 e13668: b903 - b904 + b906 >= 0 e13669: b904 - b905 + b906 >= 0 e13670: b904 - b905 + b907 >= 0 e13671: b905 - b906 + b907 >= 0 e13672: b905 - b906 + b908 >= 0 e13673: b906 - b907 + b908 >= 0 e13674: b906 - b907 + b909 >= 0 e13675: b907 - b908 + b909 >= 0 e13676: b907 - b908 + b910 >= 0 e13677: b908 - b909 + b910 >= 0 e13678: b908 - b909 + b911 >= 0 e13679: b909 - b910 + b911 >= 0 e13680: b909 - b910 + b912 >= 0 e13681: b910 - b911 + b912 >= 0 e13682: b910 - b911 + b913 >= 0 e13683: b911 - b912 + b913 >= 0 e13684: b914 = 1 e13685: b915 = 1 e13686: b916 = 1 e13687: b916 - b917 + b918 <= 1 e13688: b916 - b917 + b919 <= 1 e13689: b917 - b918 + b919 <= 1 e13690: b917 - b918 + b920 <= 1 e13691: b918 - b919 + b920 <= 1 e13692: b918 - b919 + b921 <= 1 e13693: b919 - b920 + b921 <= 1 e13694: b919 - b920 + b922 <= 1 e13695: b920 - b921 + b922 <= 1 e13696: b920 - b921 + b923 <= 1 e13697: b921 - b922 + b923 <= 1 e13698: b921 - b922 + b924 <= 1 e13699: b922 - b923 + b924 <= 1 e13700: b922 - b923 + b925 <= 1 e13701: b923 - b924 + b925 <= 1 e13702: b923 - b924 + b926 <= 1 e13703: b924 - b925 + b926 <= 1 e13704: b924 - b925 + b927 <= 1 e13705: b925 - b926 + b927 <= 1 e13706: b925 - b926 + b928 <= 1 e13707: b926 - b927 + b928 <= 1 e13708: b926 - b927 + b929 <= 1 e13709: b927 - b928 + b929 <= 1 e13710: b927 - b928 + b930 <= 1 e13711: b928 - b929 + b930 <= 1 e13712: b928 - b929 + b931 <= 1 e13713: b929 - b930 + b931 <= 1 e13714: b929 - b930 + b932 <= 1 e13715: b930 - b931 + b932 <= 1 e13716: b930 - b931 + b933 <= 1 e13717: b931 - b932 + b933 <= 1 e13718: b931 - b932 + b934 <= 1 e13719: b932 - b933 + b934 <= 1 e13720: b932 - b933 + b935 <= 1 e13721: b933 - b934 + b935 <= 1 e13722: b933 - b934 + b936 <= 1 e13723: b934 - b935 + b936 <= 1 e13724: b934 - b935 + b937 <= 1 e13725: b935 - b936 + b937 <= 1 e13726: b916 - b917 + b918 >= 0 e13727: b916 - b917 + b919 >= 0 e13728: b916 - b917 + b920 >= 0 e13729: b917 - b918 + b919 >= 0 e13730: b917 - b918 + b920 >= 0 e13731: b917 - b918 + b921 >= 0 e13732: b918 - b919 + b920 >= 0 e13733: b918 - b919 + b921 >= 0 e13734: b918 - b919 + b922 >= 0 e13735: b919 - b920 + b921 >= 0 e13736: b919 - b920 + b922 >= 0 e13737: b919 - b920 + b923 >= 0 e13738: b920 - b921 + b922 >= 0 e13739: b920 - b921 + b923 >= 0 e13740: b920 - b921 + b924 >= 0 e13741: b921 - b922 + b923 >= 0 e13742: b921 - b922 + b924 >= 0 e13743: b921 - b922 + b925 >= 0 e13744: b922 - b923 + b924 >= 0 e13745: b922 - b923 + b925 >= 0 e13746: b922 - b923 + b926 >= 0 e13747: b923 - b924 + b925 >= 0 e13748: b923 - b924 + b926 >= 0 e13749: b923 - b924 + b927 >= 0 e13750: b924 - b925 + b926 >= 0 e13751: b924 - b925 + b927 >= 0 e13752: b924 - b925 + b928 >= 0 e13753: b925 - b926 + b927 >= 0 e13754: b925 - b926 + b928 >= 0 e13755: b925 - b926 + b929 >= 0 e13756: b926 - b927 + b928 >= 0 e13757: b926 - b927 + b929 >= 0 e13758: b926 - b927 + b930 >= 0 e13759: b927 - b928 + b929 >= 0 e13760: b927 - b928 + b930 >= 0 e13761: b927 - b928 + b931 >= 0 e13762: b928 - b929 + b930 >= 0 e13763: b928 - b929 + b931 >= 0 e13764: b928 - b929 + b932 >= 0 e13765: b929 - b930 + b931 >= 0 e13766: b929 - b930 + b932 >= 0 e13767: b929 - b930 + b933 >= 0 e13768: b930 - b931 + b932 >= 0 e13769: b930 - b931 + b933 >= 0 e13770: b930 - b931 + b934 >= 0 e13771: b931 - b932 + b933 >= 0 e13772: b931 - b932 + b934 >= 0 e13773: b931 - b932 + b935 >= 0 e13774: b932 - b933 + b934 >= 0 e13775: b932 - b933 + b935 >= 0 e13776: b932 - b933 + b936 >= 0 e13777: b933 - b934 + b935 >= 0 e13778: b933 - b934 + b936 >= 0 e13779: b933 - b934 + b937 >= 0 e13780: b934 - b935 + b936 >= 0 e13781: b934 - b935 + b937 >= 0 e13782: b935 - b936 + b937 >= 0 e13783: b938 = 0 e13784: b938 - b939 + b940 <= 1 e13785: b938 - b939 + b941 <= 1 e13786: b938 - b939 + b942 <= 1 e13787: b939 - b940 + b941 <= 1 e13788: b939 - b940 + b942 <= 1 e13789: b939 - b940 + b943 <= 1 e13790: b940 - b941 + b942 <= 1 e13791: b940 - b941 + b943 <= 1 e13792: b940 - b941 + b944 <= 1 e13793: b941 - b942 + b943 <= 1 e13794: b941 - b942 + b944 <= 1 e13795: b941 - b942 + b945 <= 1 e13796: b942 - b943 + b944 <= 1 e13797: b942 - b943 + b945 <= 1 e13798: b942 - b943 + b946 <= 1 e13799: b943 - b944 + b945 <= 1 e13800: b943 - b944 + b946 <= 1 e13801: b943 - b944 + b947 <= 1 e13802: b944 - b945 + b946 <= 1 e13803: b944 - b945 + b947 <= 1 e13804: b944 - b945 + b948 <= 1 e13805: b945 - b946 + b947 <= 1 e13806: b945 - b946 + b948 <= 1 e13807: b945 - b946 + b949 <= 1 e13808: b946 - b947 + b948 <= 1 e13809: b946 - b947 + b949 <= 1 e13810: b946 - b947 + b950 <= 1 e13811: b947 - b948 + b949 <= 1 e13812: b947 - b948 + b950 <= 1 e13813: b947 - b948 + b951 <= 1 e13814: b948 - b949 + b950 <= 1 e13815: b948 - b949 + b951 <= 1 e13816: b948 - b949 + b952 <= 1 e13817: b949 - b950 + b951 <= 1 e13818: b949 - b950 + b952 <= 1 e13819: b949 - b950 + b953 <= 1 e13820: b950 - b951 + b952 <= 1 e13821: b950 - b951 + b953 <= 1 e13822: b950 - b951 + b954 <= 1 e13823: b951 - b952 + b953 <= 1 e13824: b951 - b952 + b954 <= 1 e13825: b951 - b952 + b955 <= 1 e13826: b952 - b953 + b954 <= 1 e13827: b952 - b953 + b955 <= 1 e13828: b952 - b953 + b956 <= 1 e13829: b953 - b954 + b955 <= 1 e13830: b953 - b954 + b956 <= 1 e13831: b953 - b954 + b957 <= 1 e13832: b954 - b955 + b956 <= 1 e13833: b954 - b955 + b957 <= 1 e13834: b954 - b955 + b958 <= 1 e13835: b955 - b956 + b957 <= 1 e13836: b955 - b956 + b958 <= 1 e13837: b955 - b956 + b959 <= 1 e13838: b956 - b957 + b958 <= 1 e13839: b956 - b957 + b959 <= 1 e13840: b956 - b957 + b960 <= 1 e13841: b957 - b958 + b959 <= 1 e13842: b957 - b958 + b960 <= 1 e13843: b957 - b958 + b961 <= 1 e13844: b958 - b959 + b960 <= 1 e13845: b958 - b959 + b961 <= 1 e13846: b959 - b960 + b961 <= 1 e13847: b938 - b939 + b940 >= 0 e13848: b938 - b939 + b941 >= 0 e13849: b939 - b940 + b941 >= 0 e13850: b939 - b940 + b942 >= 0 e13851: b940 - b941 + b942 >= 0 e13852: b940 - b941 + b943 >= 0 e13853: b941 - b942 + b943 >= 0 e13854: b941 - b942 + b944 >= 0 e13855: b942 - b943 + b944 >= 0 e13856: b942 - b943 + b945 >= 0 e13857: b943 - b944 + b945 >= 0 e13858: b943 - b944 + b946 >= 0 e13859: b944 - b945 + b946 >= 0 e13860: b944 - b945 + b947 >= 0 e13861: b945 - b946 + b947 >= 0 e13862: b945 - b946 + b948 >= 0 e13863: b946 - b947 + b948 >= 0 e13864: b946 - b947 + b949 >= 0 e13865: b947 - b948 + b949 >= 0 e13866: b947 - b948 + b950 >= 0 e13867: b948 - b949 + b950 >= 0 e13868: b948 - b949 + b951 >= 0 e13869: b949 - b950 + b951 >= 0 e13870: b949 - b950 + b952 >= 0 e13871: b950 - b951 + b952 >= 0 e13872: b950 - b951 + b953 >= 0 e13873: b951 - b952 + b953 >= 0 e13874: b951 - b952 + b954 >= 0 e13875: b952 - b953 + b954 >= 0 e13876: b952 - b953 + b955 >= 0 e13877: b953 - b954 + b955 >= 0 e13878: b953 - b954 + b956 >= 0 e13879: b954 - b955 + b956 >= 0 e13880: b954 - b955 + b957 >= 0 e13881: b955 - b956 + b957 >= 0 e13882: b955 - b956 + b958 >= 0 e13883: b956 - b957 + b958 >= 0 e13884: b956 - b957 + b959 >= 0 e13885: b957 - b958 + b959 >= 0 e13886: b957 - b958 + b960 >= 0 e13887: b958 - b959 + b960 >= 0 e13888: b958 - b959 + b961 >= 0 e13889: b959 - b960 + b961 >= 0 e13890: - b962 + b963 + x19228 <= 1 e13891: - b962 + b964 + x19228 <= 1 e13892: - b962 + b965 + x19228 <= 1 e13893: b962 - b963 + b964 <= 1 e13894: b962 - b963 + b965 <= 1 e13895: b962 - b963 + b966 <= 1 e13896: b963 - b964 + b965 <= 1 e13897: b963 - b964 + b966 <= 1 e13898: b963 - b964 + b967 <= 1 e13899: b964 - b965 + b966 <= 1 e13900: b964 - b965 + b967 <= 1 e13901: b964 - b965 + b968 <= 1 e13902: b965 - b966 + b967 <= 1 e13903: b965 - b966 + b968 <= 1 e13904: b965 - b966 + b969 <= 1 e13905: b966 - b967 + b968 <= 1 e13906: b966 - b967 + b969 <= 1 e13907: b966 - b967 + b970 <= 1 e13908: b967 - b968 + b969 <= 1 e13909: b967 - b968 + b970 <= 1 e13910: b967 - b968 + b971 <= 1 e13911: b968 - b969 + b970 <= 1 e13912: b968 - b969 + b971 <= 1 e13913: b968 - b969 + b972 <= 1 e13914: b969 - b970 + b971 <= 1 e13915: b969 - b970 + b972 <= 1 e13916: b969 - b970 + b973 <= 1 e13917: b970 - b971 + b972 <= 1 e13918: b970 - b971 + b973 <= 1 e13919: b970 - b971 + b974 <= 1 e13920: b971 - b972 + b973 <= 1 e13921: b971 - b972 + b974 <= 1 e13922: b971 - b972 + b975 <= 1 e13923: b972 - b973 + b974 <= 1 e13924: b972 - b973 + b975 <= 1 e13925: b972 - b973 + b976 <= 1 e13926: b973 - b974 + b975 <= 1 e13927: b973 - b974 + b976 <= 1 e13928: b973 - b974 + b977 <= 1 e13929: b974 - b975 + b976 <= 1 e13930: b974 - b975 + b977 <= 1 e13931: b974 - b975 + b978 <= 1 e13932: b975 - b976 + b977 <= 1 e13933: b975 - b976 + b978 <= 1 e13934: b975 - b976 + b979 <= 1 e13935: b976 - b977 + b978 <= 1 e13936: b976 - b977 + b979 <= 1 e13937: b976 - b977 + b980 <= 1 e13938: b977 - b978 + b979 <= 1 e13939: b977 - b978 + b980 <= 1 e13940: b977 - b978 + b981 <= 1 e13941: b978 - b979 + b980 <= 1 e13942: b978 - b979 + b981 <= 1 e13943: b978 - b979 + b982 <= 1 e13944: b979 - b980 + b981 <= 1 e13945: b979 - b980 + b982 <= 1 e13946: b979 - b980 + b983 <= 1 e13947: b980 - b981 + b982 <= 1 e13948: b980 - b981 + b983 <= 1 e13949: b980 - b981 + b984 <= 1 e13950: b981 - b982 + b983 <= 1 e13951: b981 - b982 + b984 <= 1 e13952: b981 - b982 + b985 <= 1 e13953: b982 - b983 + b984 <= 1 e13954: b982 - b983 + b985 <= 1 e13955: b983 - b984 + b985 <= 1 e13956: - b962 + b963 + x19228 >= 0 e13957: - b962 + b964 + x19228 >= 0 e13958: - b962 + b965 + x19228 >= 0 e13959: b962 - b963 + b964 >= 0 e13960: b962 - b963 + b965 >= 0 e13961: b962 - b963 + b966 >= 0 e13962: b963 - b964 + b965 >= 0 e13963: b963 - b964 + b966 >= 0 e13964: b963 - b964 + b967 >= 0 e13965: b964 - b965 + b966 >= 0 e13966: b964 - b965 + b967 >= 0 e13967: b964 - b965 + b968 >= 0 e13968: b965 - b966 + b967 >= 0 e13969: b965 - b966 + b968 >= 0 e13970: b965 - b966 + b969 >= 0 e13971: b966 - b967 + b968 >= 0 e13972: b966 - b967 + b969 >= 0 e13973: b966 - b967 + b970 >= 0 e13974: b967 - b968 + b969 >= 0 e13975: b967 - b968 + b970 >= 0 e13976: b967 - b968 + b971 >= 0 e13977: b968 - b969 + b970 >= 0 e13978: b968 - b969 + b971 >= 0 e13979: b968 - b969 + b972 >= 0 e13980: b969 - b970 + b971 >= 0 e13981: b969 - b970 + b972 >= 0 e13982: b969 - b970 + b973 >= 0 e13983: b970 - b971 + b972 >= 0 e13984: b970 - b971 + b973 >= 0 e13985: b970 - b971 + b974 >= 0 e13986: b971 - b972 + b973 >= 0 e13987: b971 - b972 + b974 >= 0 e13988: b971 - b972 + b975 >= 0 e13989: b972 - b973 + b974 >= 0 e13990: b972 - b973 + b975 >= 0 e13991: b972 - b973 + b976 >= 0 e13992: b973 - b974 + b975 >= 0 e13993: b973 - b974 + b976 >= 0 e13994: b973 - b974 + b977 >= 0 e13995: b974 - b975 + b976 >= 0 e13996: b974 - b975 + b977 >= 0 e13997: b974 - b975 + b978 >= 0 e13998: b975 - b976 + b977 >= 0 e13999: b975 - b976 + b978 >= 0 e14000: b975 - b976 + b979 >= 0 e14001: b976 - b977 + b978 >= 0 e14002: b976 - b977 + b979 >= 0 e14003: b976 - b977 + b980 >= 0 e14004: b977 - b978 + b979 >= 0 e14005: b977 - b978 + b980 >= 0 e14006: b977 - b978 + b981 >= 0 e14007: b978 - b979 + b980 >= 0 e14008: b978 - b979 + b981 >= 0 e14009: b978 - b979 + b982 >= 0 e14010: b979 - b980 + b981 >= 0 e14011: b979 - b980 + b982 >= 0 e14012: b979 - b980 + b983 >= 0 e14013: b980 - b981 + b982 >= 0 e14014: b980 - b981 + b983 >= 0 e14015: b980 - b981 + b984 >= 0 e14016: b981 - b982 + b983 >= 0 e14017: b981 - b982 + b984 >= 0 e14018: b981 - b982 + b985 >= 0 e14019: b982 - b983 + b984 >= 0 e14020: b982 - b983 + b985 >= 0 e14021: b983 - b984 + b985 >= 0 e14022: b986 = 0 e14023: b987 = 0 e14024: b987 - b988 + b989 <= 1 e14025: b987 - b988 + b990 <= 1 e14026: b987 - b988 + b991 <= 1 e14027: b988 - b989 + b990 <= 1 e14028: b988 - b989 + b991 <= 1 e14029: b988 - b989 + b992 <= 1 e14030: b989 - b990 + b991 <= 1 e14031: b989 - b990 + b992 <= 1 e14032: b989 - b990 + b993 <= 1 e14033: b990 - b991 + b992 <= 1 e14034: b990 - b991 + b993 <= 1 e14035: b990 - b991 + b994 <= 1 e14036: b991 - b992 + b993 <= 1 e14037: b991 - b992 + b994 <= 1 e14038: b991 - b992 + b995 <= 1 e14039: b992 - b993 + b994 <= 1 e14040: b992 - b993 + b995 <= 1 e14041: b992 - b993 + b996 <= 1 e14042: b993 - b994 + b995 <= 1 e14043: b993 - b994 + b996 <= 1 e14044: b993 - b994 + b997 <= 1 e14045: b994 - b995 + b996 <= 1 e14046: b994 - b995 + b997 <= 1 e14047: b994 - b995 + b998 <= 1 e14048: b995 - b996 + b997 <= 1 e14049: b995 - b996 + b998 <= 1 e14050: b995 - b996 + b999 <= 1 e14051: b996 - b997 + b998 <= 1 e14052: b996 - b997 + b999 <= 1 e14053: b996 - b997 + b1000 <= 1 e14054: b997 - b998 + b999 <= 1 e14055: b997 - b998 + b1000 <= 1 e14056: b997 - b998 + b1001 <= 1 e14057: b998 - b999 + b1000 <= 1 e14058: b998 - b999 + b1001 <= 1 e14059: b998 - b999 + b1002 <= 1 e14060: b999 - b1000 + b1001 <= 1 e14061: b999 - b1000 + b1002 <= 1 e14062: b999 - b1000 + b1003 <= 1 e14063: b1000 - b1001 + b1002 <= 1 e14064: b1000 - b1001 + b1003 <= 1 e14065: b1000 - b1001 + b1004 <= 1 e14066: b1001 - b1002 + b1003 <= 1 e14067: b1001 - b1002 + b1004 <= 1 e14068: b1001 - b1002 + b1005 <= 1 e14069: b1002 - b1003 + b1004 <= 1 e14070: b1002 - b1003 + b1005 <= 1 e14071: b1002 - b1003 + b1006 <= 1 e14072: b1003 - b1004 + b1005 <= 1 e14073: b1003 - b1004 + b1006 <= 1 e14074: b1003 - b1004 + b1007 <= 1 e14075: b1004 - b1005 + b1006 <= 1 e14076: b1004 - b1005 + b1007 <= 1 e14077: b1004 - b1005 + b1008 <= 1 e14078: b1005 - b1006 + b1007 <= 1 e14079: b1005 - b1006 + b1008 <= 1 e14080: b1005 - b1006 + b1009 <= 1 e14081: b1006 - b1007 + b1008 <= 1 e14082: b1006 - b1007 + b1009 <= 1 e14083: b1007 - b1008 + b1009 <= 1 e14084: b987 - b988 + b989 >= 0 e14085: b987 - b988 + b990 >= 0 e14086: b987 - b988 + b991 >= 0 e14087: b988 - b989 + b990 >= 0 e14088: b988 - b989 + b991 >= 0 e14089: b988 - b989 + b992 >= 0 e14090: b989 - b990 + b991 >= 0 e14091: b989 - b990 + b992 >= 0 e14092: b989 - b990 + b993 >= 0 e14093: b990 - b991 + b992 >= 0 e14094: b990 - b991 + b993 >= 0 e14095: b990 - b991 + b994 >= 0 e14096: b991 - b992 + b993 >= 0 e14097: b991 - b992 + b994 >= 0 e14098: b991 - b992 + b995 >= 0 e14099: b992 - b993 + b994 >= 0 e14100: b992 - b993 + b995 >= 0 e14101: b992 - b993 + b996 >= 0 e14102: b993 - b994 + b995 >= 0 e14103: b993 - b994 + b996 >= 0 e14104: b993 - b994 + b997 >= 0 e14105: b994 - b995 + b996 >= 0 e14106: b994 - b995 + b997 >= 0 e14107: b994 - b995 + b998 >= 0 e14108: b995 - b996 + b997 >= 0 e14109: b995 - b996 + b998 >= 0 e14110: b995 - b996 + b999 >= 0 e14111: b996 - b997 + b998 >= 0 e14112: b996 - b997 + b999 >= 0 e14113: b996 - b997 + b1000 >= 0 e14114: b997 - b998 + b999 >= 0 e14115: b997 - b998 + b1000 >= 0 e14116: b997 - b998 + b1001 >= 0 e14117: b998 - b999 + b1000 >= 0 e14118: b998 - b999 + b1001 >= 0 e14119: b998 - b999 + b1002 >= 0 e14120: b999 - b1000 + b1001 >= 0 e14121: b999 - b1000 + b1002 >= 0 e14122: b999 - b1000 + b1003 >= 0 e14123: b1000 - b1001 + b1002 >= 0 e14124: b1000 - b1001 + b1003 >= 0 e14125: b1000 - b1001 + b1004 >= 0 e14126: b1001 - b1002 + b1003 >= 0 e14127: b1001 - b1002 + b1004 >= 0 e14128: b1001 - b1002 + b1005 >= 0 e14129: b1002 - b1003 + b1004 >= 0 e14130: b1002 - b1003 + b1005 >= 0 e14131: b1002 - b1003 + b1006 >= 0 e14132: b1003 - b1004 + b1005 >= 0 e14133: b1003 - b1004 + b1006 >= 0 e14134: b1003 - b1004 + b1007 >= 0 e14135: b1004 - b1005 + b1006 >= 0 e14136: b1004 - b1005 + b1007 >= 0 e14137: b1004 - b1005 + b1008 >= 0 e14138: b1005 - b1006 + b1007 >= 0 e14139: b1005 - b1006 + b1008 >= 0 e14140: b1005 - b1006 + b1009 >= 0 e14141: b1006 - b1007 + b1008 >= 0 e14142: b1006 - b1007 + b1009 >= 0 e14143: b1007 - b1008 + b1009 >= 0 e14144: b1010 = 0 e14145: b1010 - b1011 + b1012 <= 1 e14146: b1010 - b1011 + b1013 <= 1 e14147: b1010 - b1011 + b1014 <= 1 e14148: b1011 - b1012 + b1013 <= 1 e14149: b1011 - b1012 + b1014 <= 1 e14150: b1011 - b1012 + b1015 <= 1 e14151: b1012 - b1013 + b1014 <= 1 e14152: b1012 - b1013 + b1015 <= 1 e14153: b1012 - b1013 + b1016 <= 1 e14154: b1013 - b1014 + b1015 <= 1 e14155: b1013 - b1014 + b1016 <= 1 e14156: b1013 - b1014 + b1017 <= 1 e14157: b1014 - b1015 + b1016 <= 1 e14158: b1014 - b1015 + b1017 <= 1 e14159: b1014 - b1015 + b1018 <= 1 e14160: b1015 - b1016 + b1017 <= 1 e14161: b1015 - b1016 + b1018 <= 1 e14162: b1015 - b1016 + b1019 <= 1 e14163: b1016 - b1017 + b1018 <= 1 e14164: b1016 - b1017 + b1019 <= 1 e14165: b1016 - b1017 + b1020 <= 1 e14166: b1017 - b1018 + b1019 <= 1 e14167: b1017 - b1018 + b1020 <= 1 e14168: b1017 - b1018 + b1021 <= 1 e14169: b1018 - b1019 + b1020 <= 1 e14170: b1018 - b1019 + b1021 <= 1 e14171: b1018 - b1019 + b1022 <= 1 e14172: b1019 - b1020 + b1021 <= 1 e14173: b1019 - b1020 + b1022 <= 1 e14174: b1019 - b1020 + b1023 <= 1 e14175: b1020 - b1021 + b1022 <= 1 e14176: b1020 - b1021 + b1023 <= 1 e14177: b1020 - b1021 + b1024 <= 1 e14178: b1021 - b1022 + b1023 <= 1 e14179: b1021 - b1022 + b1024 <= 1 e14180: b1021 - b1022 + b1025 <= 1 e14181: b1022 - b1023 + b1024 <= 1 e14182: b1022 - b1023 + b1025 <= 1 e14183: b1022 - b1023 + b1026 <= 1 e14184: b1023 - b1024 + b1025 <= 1 e14185: b1023 - b1024 + b1026 <= 1 e14186: b1023 - b1024 + b1027 <= 1 e14187: b1024 - b1025 + b1026 <= 1 e14188: b1024 - b1025 + b1027 <= 1 e14189: b1024 - b1025 + b1028 <= 1 e14190: b1025 - b1026 + b1027 <= 1 e14191: b1025 - b1026 + b1028 <= 1 e14192: b1025 - b1026 + b1029 <= 1 e14193: b1026 - b1027 + b1028 <= 1 e14194: b1026 - b1027 + b1029 <= 1 e14195: b1026 - b1027 + b1030 <= 1 e14196: b1027 - b1028 + b1029 <= 1 e14197: b1027 - b1028 + b1030 <= 1 e14198: b1027 - b1028 + b1031 <= 1 e14199: b1028 - b1029 + b1030 <= 1 e14200: b1028 - b1029 + b1031 <= 1 e14201: b1028 - b1029 + b1032 <= 1 e14202: b1029 - b1030 + b1031 <= 1 e14203: b1029 - b1030 + b1032 <= 1 e14204: b1029 - b1030 + b1033 <= 1 e14205: b1030 - b1031 + b1032 <= 1 e14206: b1030 - b1031 + b1033 <= 1 e14207: b1031 - b1032 + b1033 <= 1 e14208: b1010 - b1011 + b1012 >= 0 e14209: b1010 - b1011 + b1013 >= 0 e14210: b1011 - b1012 + b1013 >= 0 e14211: b1011 - b1012 + b1014 >= 0 e14212: b1012 - b1013 + b1014 >= 0 e14213: b1012 - b1013 + b1015 >= 0 e14214: b1013 - b1014 + b1015 >= 0 e14215: b1013 - b1014 + b1016 >= 0 e14216: b1014 - b1015 + b1016 >= 0 e14217: b1014 - b1015 + b1017 >= 0 e14218: b1015 - b1016 + b1017 >= 0 e14219: b1015 - b1016 + b1018 >= 0 e14220: b1016 - b1017 + b1018 >= 0 e14221: b1016 - b1017 + b1019 >= 0 e14222: b1017 - b1018 + b1019 >= 0 e14223: b1017 - b1018 + b1020 >= 0 e14224: b1018 - b1019 + b1020 >= 0 e14225: b1018 - b1019 + b1021 >= 0 e14226: b1019 - b1020 + b1021 >= 0 e14227: b1019 - b1020 + b1022 >= 0 e14228: b1020 - b1021 + b1022 >= 0 e14229: b1020 - b1021 + b1023 >= 0 e14230: b1021 - b1022 + b1023 >= 0 e14231: b1021 - b1022 + b1024 >= 0 e14232: b1022 - b1023 + b1024 >= 0 e14233: b1022 - b1023 + b1025 >= 0 e14234: b1023 - b1024 + b1025 >= 0 e14235: b1023 - b1024 + b1026 >= 0 e14236: b1024 - b1025 + b1026 >= 0 e14237: b1024 - b1025 + b1027 >= 0 e14238: b1025 - b1026 + b1027 >= 0 e14239: b1025 - b1026 + b1028 >= 0 e14240: b1026 - b1027 + b1028 >= 0 e14241: b1026 - b1027 + b1029 >= 0 e14242: b1027 - b1028 + b1029 >= 0 e14243: b1027 - b1028 + b1030 >= 0 e14244: b1028 - b1029 + b1030 >= 0 e14245: b1028 - b1029 + b1031 >= 0 e14246: b1029 - b1030 + b1031 >= 0 e14247: b1029 - b1030 + b1032 >= 0 e14248: b1030 - b1031 + b1032 >= 0 e14249: b1030 - b1031 + b1033 >= 0 e14250: b1031 - b1032 + b1033 >= 0 e14251: b1034 = 0 e14252: b1035 = 0 e14253: b1036 = 0 e14254: b1036 - b1037 + b1038 <= 1 e14255: b1036 - b1037 + b1039 <= 1 e14256: b1036 - b1037 + b1040 <= 1 e14257: b1037 - b1038 + b1039 <= 1 e14258: b1037 - b1038 + b1040 <= 1 e14259: b1037 - b1038 + b1041 <= 1 e14260: b1038 - b1039 + b1040 <= 1 e14261: b1038 - b1039 + b1041 <= 1 e14262: b1038 - b1039 + b1042 <= 1 e14263: b1039 - b1040 + b1041 <= 1 e14264: b1039 - b1040 + b1042 <= 1 e14265: b1039 - b1040 + b1043 <= 1 e14266: b1040 - b1041 + b1042 <= 1 e14267: b1040 - b1041 + b1043 <= 1 e14268: b1040 - b1041 + b1044 <= 1 e14269: b1041 - b1042 + b1043 <= 1 e14270: b1041 - b1042 + b1044 <= 1 e14271: b1041 - b1042 + b1045 <= 1 e14272: b1042 - b1043 + b1044 <= 1 e14273: b1042 - b1043 + b1045 <= 1 e14274: b1042 - b1043 + b1046 <= 1 e14275: b1043 - b1044 + b1045 <= 1 e14276: b1043 - b1044 + b1046 <= 1 e14277: b1043 - b1044 + b1047 <= 1 e14278: b1044 - b1045 + b1046 <= 1 e14279: b1044 - b1045 + b1047 <= 1 e14280: b1044 - b1045 + b1048 <= 1 e14281: b1045 - b1046 + b1047 <= 1 e14282: b1045 - b1046 + b1048 <= 1 e14283: b1045 - b1046 + b1049 <= 1 e14284: b1046 - b1047 + b1048 <= 1 e14285: b1046 - b1047 + b1049 <= 1 e14286: b1046 - b1047 + b1050 <= 1 e14287: b1047 - b1048 + b1049 <= 1 e14288: b1047 - b1048 + b1050 <= 1 e14289: b1047 - b1048 + b1051 <= 1 e14290: b1048 - b1049 + b1050 <= 1 e14291: b1048 - b1049 + b1051 <= 1 e14292: b1048 - b1049 + b1052 <= 1 e14293: b1049 - b1050 + b1051 <= 1 e14294: b1049 - b1050 + b1052 <= 1 e14295: b1049 - b1050 + b1053 <= 1 e14296: b1050 - b1051 + b1052 <= 1 e14297: b1050 - b1051 + b1053 <= 1 e14298: b1050 - b1051 + b1054 <= 1 e14299: b1051 - b1052 + b1053 <= 1 e14300: b1051 - b1052 + b1054 <= 1 e14301: b1051 - b1052 + b1055 <= 1 e14302: b1052 - b1053 + b1054 <= 1 e14303: b1052 - b1053 + b1055 <= 1 e14304: b1052 - b1053 + b1056 <= 1 e14305: b1053 - b1054 + b1055 <= 1 e14306: b1053 - b1054 + b1056 <= 1 e14307: b1053 - b1054 + b1057 <= 1 e14308: b1054 - b1055 + b1056 <= 1 e14309: b1054 - b1055 + b1057 <= 1 e14310: b1055 - b1056 + b1057 <= 1 e14311: b1036 - b1037 + b1038 >= 0 e14312: b1036 - b1037 + b1039 >= 0 e14313: b1037 - b1038 + b1039 >= 0 e14314: b1037 - b1038 + b1040 >= 0 e14315: b1038 - b1039 + b1040 >= 0 e14316: b1038 - b1039 + b1041 >= 0 e14317: b1039 - b1040 + b1041 >= 0 e14318: b1039 - b1040 + b1042 >= 0 e14319: b1040 - b1041 + b1042 >= 0 e14320: b1040 - b1041 + b1043 >= 0 e14321: b1041 - b1042 + b1043 >= 0 e14322: b1041 - b1042 + b1044 >= 0 e14323: b1042 - b1043 + b1044 >= 0 e14324: b1042 - b1043 + b1045 >= 0 e14325: b1043 - b1044 + b1045 >= 0 e14326: b1043 - b1044 + b1046 >= 0 e14327: b1044 - b1045 + b1046 >= 0 e14328: b1044 - b1045 + b1047 >= 0 e14329: b1045 - b1046 + b1047 >= 0 e14330: b1045 - b1046 + b1048 >= 0 e14331: b1046 - b1047 + b1048 >= 0 e14332: b1046 - b1047 + b1049 >= 0 e14333: b1047 - b1048 + b1049 >= 0 e14334: b1047 - b1048 + b1050 >= 0 e14335: b1048 - b1049 + b1050 >= 0 e14336: b1048 - b1049 + b1051 >= 0 e14337: b1049 - b1050 + b1051 >= 0 e14338: b1049 - b1050 + b1052 >= 0 e14339: b1050 - b1051 + b1052 >= 0 e14340: b1050 - b1051 + b1053 >= 0 e14341: b1051 - b1052 + b1053 >= 0 e14342: b1051 - b1052 + b1054 >= 0 e14343: b1052 - b1053 + b1054 >= 0 e14344: b1052 - b1053 + b1055 >= 0 e14345: b1053 - b1054 + b1055 >= 0 e14346: b1053 - b1054 + b1056 >= 0 e14347: b1054 - b1055 + b1056 >= 0 e14348: b1054 - b1055 + b1057 >= 0 e14349: b1055 - b1056 + b1057 >= 0 e14350: - b1058 + b1059 + x19229 <= 1 e14351: - b1058 + b1060 + x19229 <= 1 e14352: b1058 - b1059 + b1060 <= 1 e14353: b1058 - b1059 + b1061 <= 1 e14354: b1059 - b1060 + b1061 <= 1 e14355: b1059 - b1060 + b1062 <= 1 e14356: b1060 - b1061 + b1062 <= 1 e14357: b1060 - b1061 + b1063 <= 1 e14358: b1061 - b1062 + b1063 <= 1 e14359: b1061 - b1062 + b1064 <= 1 e14360: b1062 - b1063 + b1064 <= 1 e14361: b1062 - b1063 + b1065 <= 1 e14362: b1063 - b1064 + b1065 <= 1 e14363: b1063 - b1064 + b1066 <= 1 e14364: b1064 - b1065 + b1066 <= 1 e14365: b1064 - b1065 + b1067 <= 1 e14366: b1065 - b1066 + b1067 <= 1 e14367: b1065 - b1066 + b1068 <= 1 e14368: b1066 - b1067 + b1068 <= 1 e14369: b1066 - b1067 + b1069 <= 1 e14370: b1067 - b1068 + b1069 <= 1 e14371: b1067 - b1068 + b1070 <= 1 e14372: b1068 - b1069 + b1070 <= 1 e14373: b1068 - b1069 + b1071 <= 1 e14374: b1069 - b1070 + b1071 <= 1 e14375: b1069 - b1070 + b1072 <= 1 e14376: b1070 - b1071 + b1072 <= 1 e14377: b1070 - b1071 + b1073 <= 1 e14378: b1071 - b1072 + b1073 <= 1 e14379: b1071 - b1072 + b1074 <= 1 e14380: b1072 - b1073 + b1074 <= 1 e14381: b1072 - b1073 + b1075 <= 1 e14382: b1073 - b1074 + b1075 <= 1 e14383: b1073 - b1074 + b1076 <= 1 e14384: b1074 - b1075 + b1076 <= 1 e14385: b1074 - b1075 + b1077 <= 1 e14386: b1075 - b1076 + b1077 <= 1 e14387: b1075 - b1076 + b1078 <= 1 e14388: b1076 - b1077 + b1078 <= 1 e14389: b1076 - b1077 + b1079 <= 1 e14390: b1077 - b1078 + b1079 <= 1 e14391: b1077 - b1078 + b1080 <= 1 e14392: b1078 - b1079 + b1080 <= 1 e14393: b1078 - b1079 + b1081 <= 1 e14394: b1079 - b1080 + b1081 <= 1 e14395: - b1058 + b1059 + x19229 >= 0 e14396: - b1058 + b1060 + x19229 >= 0 e14397: b1058 - b1059 + b1060 >= 0 e14398: b1058 - b1059 + b1061 >= 0 e14399: b1059 - b1060 + b1061 >= 0 e14400: b1059 - b1060 + b1062 >= 0 e14401: b1060 - b1061 + b1062 >= 0 e14402: b1060 - b1061 + b1063 >= 0 e14403: b1061 - b1062 + b1063 >= 0 e14404: b1061 - b1062 + b1064 >= 0 e14405: b1062 - b1063 + b1064 >= 0 e14406: b1062 - b1063 + b1065 >= 0 e14407: b1063 - b1064 + b1065 >= 0 e14408: b1063 - b1064 + b1066 >= 0 e14409: b1064 - b1065 + b1066 >= 0 e14410: b1064 - b1065 + b1067 >= 0 e14411: b1065 - b1066 + b1067 >= 0 e14412: b1065 - b1066 + b1068 >= 0 e14413: b1066 - b1067 + b1068 >= 0 e14414: b1066 - b1067 + b1069 >= 0 e14415: b1067 - b1068 + b1069 >= 0 e14416: b1067 - b1068 + b1070 >= 0 e14417: b1068 - b1069 + b1070 >= 0 e14418: b1068 - b1069 + b1071 >= 0 e14419: b1069 - b1070 + b1071 >= 0 e14420: b1069 - b1070 + b1072 >= 0 e14421: b1070 - b1071 + b1072 >= 0 e14422: b1070 - b1071 + b1073 >= 0 e14423: b1071 - b1072 + b1073 >= 0 e14424: b1071 - b1072 + b1074 >= 0 e14425: b1072 - b1073 + b1074 >= 0 e14426: b1072 - b1073 + b1075 >= 0 e14427: b1073 - b1074 + b1075 >= 0 e14428: b1073 - b1074 + b1076 >= 0 e14429: b1074 - b1075 + b1076 >= 0 e14430: b1074 - b1075 + b1077 >= 0 e14431: b1075 - b1076 + b1077 >= 0 e14432: b1075 - b1076 + b1078 >= 0 e14433: b1076 - b1077 + b1078 >= 0 e14434: b1076 - b1077 + b1079 >= 0 e14435: b1077 - b1078 + b1079 >= 0 e14436: b1077 - b1078 + b1080 >= 0 e14437: b1078 - b1079 + b1080 >= 0 e14438: b1078 - b1079 + b1081 >= 0 e14439: b1079 - b1080 + b1081 >= 0 e14440: - b1082 + b1083 + x19230 <= 1 e14441: - b1082 + b1084 + x19230 <= 1 e14442: b1082 - b1083 + b1084 <= 1 e14443: b1082 - b1083 + b1085 <= 1 e14444: b1083 - b1084 + b1085 <= 1 e14445: b1083 - b1084 + b1086 <= 1 e14446: b1084 - b1085 + b1086 <= 1 e14447: b1084 - b1085 + b1087 <= 1 e14448: b1085 - b1086 + b1087 <= 1 e14449: b1085 - b1086 + b1088 <= 1 e14450: b1086 - b1087 + b1088 <= 1 e14451: b1086 - b1087 + b1089 <= 1 e14452: b1087 - b1088 + b1089 <= 1 e14453: b1087 - b1088 + b1090 <= 1 e14454: b1088 - b1089 + b1090 <= 1 e14455: b1088 - b1089 + b1091 <= 1 e14456: b1089 - b1090 + b1091 <= 1 e14457: b1089 - b1090 + b1092 <= 1 e14458: b1090 - b1091 + b1092 <= 1 e14459: b1090 - b1091 + b1093 <= 1 e14460: b1091 - b1092 + b1093 <= 1 e14461: b1091 - b1092 + b1094 <= 1 e14462: b1092 - b1093 + b1094 <= 1 e14463: b1092 - b1093 + b1095 <= 1 e14464: b1093 - b1094 + b1095 <= 1 e14465: b1093 - b1094 + b1096 <= 1 e14466: b1094 - b1095 + b1096 <= 1 e14467: b1094 - b1095 + b1097 <= 1 e14468: b1095 - b1096 + b1097 <= 1 e14469: b1095 - b1096 + b1098 <= 1 e14470: b1096 - b1097 + b1098 <= 1 e14471: b1096 - b1097 + b1099 <= 1 e14472: b1097 - b1098 + b1099 <= 1 e14473: b1097 - b1098 + b1100 <= 1 e14474: b1098 - b1099 + b1100 <= 1 e14475: b1098 - b1099 + b1101 <= 1 e14476: b1099 - b1100 + b1101 <= 1 e14477: b1099 - b1100 + b1102 <= 1 e14478: b1100 - b1101 + b1102 <= 1 e14479: b1100 - b1101 + b1103 <= 1 e14480: b1101 - b1102 + b1103 <= 1 e14481: b1101 - b1102 + b1104 <= 1 e14482: b1102 - b1103 + b1104 <= 1 e14483: b1102 - b1103 + b1105 <= 1 e14484: b1103 - b1104 + b1105 <= 1 e14485: - b1082 + b1083 + x19230 >= 0 e14486: - b1082 + b1084 + x19230 >= 0 e14487: - b1082 + b1085 + x19230 >= 0 e14488: b1082 - b1083 + b1084 >= 0 e14489: b1082 - b1083 + b1085 >= 0 e14490: b1082 - b1083 + b1086 >= 0 e14491: b1083 - b1084 + b1085 >= 0 e14492: b1083 - b1084 + b1086 >= 0 e14493: b1083 - b1084 + b1087 >= 0 e14494: b1084 - b1085 + b1086 >= 0 e14495: b1084 - b1085 + b1087 >= 0 e14496: b1084 - b1085 + b1088 >= 0 e14497: b1085 - b1086 + b1087 >= 0 e14498: b1085 - b1086 + b1088 >= 0 e14499: b1085 - b1086 + b1089 >= 0 e14500: b1086 - b1087 + b1088 >= 0 e14501: b1086 - b1087 + b1089 >= 0 e14502: b1086 - b1087 + b1090 >= 0 e14503: b1087 - b1088 + b1089 >= 0 e14504: b1087 - b1088 + b1090 >= 0 e14505: b1087 - b1088 + b1091 >= 0 e14506: b1088 - b1089 + b1090 >= 0 e14507: b1088 - b1089 + b1091 >= 0 e14508: b1088 - b1089 + b1092 >= 0 e14509: b1089 - b1090 + b1091 >= 0 e14510: b1089 - b1090 + b1092 >= 0 e14511: b1089 - b1090 + b1093 >= 0 e14512: b1090 - b1091 + b1092 >= 0 e14513: b1090 - b1091 + b1093 >= 0 e14514: b1090 - b1091 + b1094 >= 0 e14515: b1091 - b1092 + b1093 >= 0 e14516: b1091 - b1092 + b1094 >= 0 e14517: b1091 - b1092 + b1095 >= 0 e14518: b1092 - b1093 + b1094 >= 0 e14519: b1092 - b1093 + b1095 >= 0 e14520: b1092 - b1093 + b1096 >= 0 e14521: b1093 - b1094 + b1095 >= 0 e14522: b1093 - b1094 + b1096 >= 0 e14523: b1093 - b1094 + b1097 >= 0 e14524: b1094 - b1095 + b1096 >= 0 e14525: b1094 - b1095 + b1097 >= 0 e14526: b1094 - b1095 + b1098 >= 0 e14527: b1095 - b1096 + b1097 >= 0 e14528: b1095 - b1096 + b1098 >= 0 e14529: b1095 - b1096 + b1099 >= 0 e14530: b1096 - b1097 + b1098 >= 0 e14531: b1096 - b1097 + b1099 >= 0 e14532: b1096 - b1097 + b1100 >= 0 e14533: b1097 - b1098 + b1099 >= 0 e14534: b1097 - b1098 + b1100 >= 0 e14535: b1097 - b1098 + b1101 >= 0 e14536: b1098 - b1099 + b1100 >= 0 e14537: b1098 - b1099 + b1101 >= 0 e14538: b1098 - b1099 + b1102 >= 0 e14539: b1099 - b1100 + b1101 >= 0 e14540: b1099 - b1100 + b1102 >= 0 e14541: b1099 - b1100 + b1103 >= 0 e14542: b1100 - b1101 + b1102 >= 0 e14543: b1100 - b1101 + b1103 >= 0 e14544: b1100 - b1101 + b1104 >= 0 e14545: b1101 - b1102 + b1103 >= 0 e14546: b1101 - b1102 + b1104 >= 0 e14547: b1101 - b1102 + b1105 >= 0 e14548: b1102 - b1103 + b1104 >= 0 e14549: b1102 - b1103 + b1105 >= 0 e14550: b1103 - b1104 + b1105 >= 0 e14551: b1106 = 1 e14552: b1106 - b1107 + b1108 <= 1 e14553: b1106 - b1107 + b1109 <= 1 e14554: b1106 - b1107 + b1110 <= 1 e14555: b1107 - b1108 + b1109 <= 1 e14556: b1107 - b1108 + b1110 <= 1 e14557: b1107 - b1108 + b1111 <= 1 e14558: b1108 - b1109 + b1110 <= 1 e14559: b1108 - b1109 + b1111 <= 1 e14560: b1108 - b1109 + b1112 <= 1 e14561: b1109 - b1110 + b1111 <= 1 e14562: b1109 - b1110 + b1112 <= 1 e14563: b1109 - b1110 + b1113 <= 1 e14564: b1110 - b1111 + b1112 <= 1 e14565: b1110 - b1111 + b1113 <= 1 e14566: b1110 - b1111 + b1114 <= 1 e14567: b1111 - b1112 + b1113 <= 1 e14568: b1111 - b1112 + b1114 <= 1 e14569: b1111 - b1112 + b1115 <= 1 e14570: b1112 - b1113 + b1114 <= 1 e14571: b1112 - b1113 + b1115 <= 1 e14572: b1112 - b1113 + b1116 <= 1 e14573: b1113 - b1114 + b1115 <= 1 e14574: b1113 - b1114 + b1116 <= 1 e14575: b1113 - b1114 + b1117 <= 1 e14576: b1114 - b1115 + b1116 <= 1 e14577: b1114 - b1115 + b1117 <= 1 e14578: b1114 - b1115 + b1118 <= 1 e14579: b1115 - b1116 + b1117 <= 1 e14580: b1115 - b1116 + b1118 <= 1 e14581: b1115 - b1116 + b1119 <= 1 e14582: b1116 - b1117 + b1118 <= 1 e14583: b1116 - b1117 + b1119 <= 1 e14584: b1116 - b1117 + b1120 <= 1 e14585: b1117 - b1118 + b1119 <= 1 e14586: b1117 - b1118 + b1120 <= 1 e14587: b1117 - b1118 + b1121 <= 1 e14588: b1118 - b1119 + b1120 <= 1 e14589: b1118 - b1119 + b1121 <= 1 e14590: b1118 - b1119 + b1122 <= 1 e14591: b1119 - b1120 + b1121 <= 1 e14592: b1119 - b1120 + b1122 <= 1 e14593: b1119 - b1120 + b1123 <= 1 e14594: b1120 - b1121 + b1122 <= 1 e14595: b1120 - b1121 + b1123 <= 1 e14596: b1120 - b1121 + b1124 <= 1 e14597: b1121 - b1122 + b1123 <= 1 e14598: b1121 - b1122 + b1124 <= 1 e14599: b1121 - b1122 + b1125 <= 1 e14600: b1122 - b1123 + b1124 <= 1 e14601: b1122 - b1123 + b1125 <= 1 e14602: b1122 - b1123 + b1126 <= 1 e14603: b1123 - b1124 + b1125 <= 1 e14604: b1123 - b1124 + b1126 <= 1 e14605: b1123 - b1124 + b1127 <= 1 e14606: b1124 - b1125 + b1126 <= 1 e14607: b1124 - b1125 + b1127 <= 1 e14608: b1124 - b1125 + b1128 <= 1 e14609: b1125 - b1126 + b1127 <= 1 e14610: b1125 - b1126 + b1128 <= 1 e14611: b1125 - b1126 + b1129 <= 1 e14612: b1126 - b1127 + b1128 <= 1 e14613: b1126 - b1127 + b1129 <= 1 e14614: b1127 - b1128 + b1129 <= 1 e14615: b1106 - b1107 + b1108 >= 0 e14616: b1106 - b1107 + b1109 >= 0 e14617: b1106 - b1107 + b1110 >= 0 e14618: b1107 - b1108 + b1109 >= 0 e14619: b1107 - b1108 + b1110 >= 0 e14620: b1107 - b1108 + b1111 >= 0 e14621: b1108 - b1109 + b1110 >= 0 e14622: b1108 - b1109 + b1111 >= 0 e14623: b1108 - b1109 + b1112 >= 0 e14624: b1109 - b1110 + b1111 >= 0 e14625: b1109 - b1110 + b1112 >= 0 e14626: b1109 - b1110 + b1113 >= 0 e14627: b1110 - b1111 + b1112 >= 0 e14628: b1110 - b1111 + b1113 >= 0 e14629: b1110 - b1111 + b1114 >= 0 e14630: b1111 - b1112 + b1113 >= 0 e14631: b1111 - b1112 + b1114 >= 0 e14632: b1111 - b1112 + b1115 >= 0 e14633: b1112 - b1113 + b1114 >= 0 e14634: b1112 - b1113 + b1115 >= 0 e14635: b1112 - b1113 + b1116 >= 0 e14636: b1113 - b1114 + b1115 >= 0 e14637: b1113 - b1114 + b1116 >= 0 e14638: b1113 - b1114 + b1117 >= 0 e14639: b1114 - b1115 + b1116 >= 0 e14640: b1114 - b1115 + b1117 >= 0 e14641: b1114 - b1115 + b1118 >= 0 e14642: b1115 - b1116 + b1117 >= 0 e14643: b1115 - b1116 + b1118 >= 0 e14644: b1115 - b1116 + b1119 >= 0 e14645: b1116 - b1117 + b1118 >= 0 e14646: b1116 - b1117 + b1119 >= 0 e14647: b1116 - b1117 + b1120 >= 0 e14648: b1117 - b1118 + b1119 >= 0 e14649: b1117 - b1118 + b1120 >= 0 e14650: b1117 - b1118 + b1121 >= 0 e14651: b1118 - b1119 + b1120 >= 0 e14652: b1118 - b1119 + b1121 >= 0 e14653: b1118 - b1119 + b1122 >= 0 e14654: b1119 - b1120 + b1121 >= 0 e14655: b1119 - b1120 + b1122 >= 0 e14656: b1119 - b1120 + b1123 >= 0 e14657: b1120 - b1121 + b1122 >= 0 e14658: b1120 - b1121 + b1123 >= 0 e14659: b1120 - b1121 + b1124 >= 0 e14660: b1121 - b1122 + b1123 >= 0 e14661: b1121 - b1122 + b1124 >= 0 e14662: b1121 - b1122 + b1125 >= 0 e14663: b1122 - b1123 + b1124 >= 0 e14664: b1122 - b1123 + b1125 >= 0 e14665: b1122 - b1123 + b1126 >= 0 e14666: b1123 - b1124 + b1125 >= 0 e14667: b1123 - b1124 + b1126 >= 0 e14668: b1123 - b1124 + b1127 >= 0 e14669: b1124 - b1125 + b1126 >= 0 e14670: b1124 - b1125 + b1127 >= 0 e14671: b1124 - b1125 + b1128 >= 0 e14672: b1125 - b1126 + b1127 >= 0 e14673: b1125 - b1126 + b1128 >= 0 e14674: b1125 - b1126 + b1129 >= 0 e14675: b1126 - b1127 + b1128 >= 0 e14676: b1126 - b1127 + b1129 >= 0 e14677: b1127 - b1128 + b1129 >= 0 e14678: b1130 = 1 e14679: b1131 = 1 e14680: b1131 - b1132 + b1133 <= 1 e14681: b1131 - b1132 + b1134 <= 1 e14682: b1131 - b1132 + b1135 <= 1 e14683: b1132 - b1133 + b1134 <= 1 e14684: b1132 - b1133 + b1135 <= 1 e14685: b1132 - b1133 + b1136 <= 1 e14686: b1133 - b1134 + b1135 <= 1 e14687: b1133 - b1134 + b1136 <= 1 e14688: b1133 - b1134 + b1137 <= 1 e14689: b1134 - b1135 + b1136 <= 1 e14690: b1134 - b1135 + b1137 <= 1 e14691: b1134 - b1135 + b1138 <= 1 e14692: b1135 - b1136 + b1137 <= 1 e14693: b1135 - b1136 + b1138 <= 1 e14694: b1135 - b1136 + b1139 <= 1 e14695: b1136 - b1137 + b1138 <= 1 e14696: b1136 - b1137 + b1139 <= 1 e14697: b1136 - b1137 + b1140 <= 1 e14698: b1137 - b1138 + b1139 <= 1 e14699: b1137 - b1138 + b1140 <= 1 e14700: b1137 - b1138 + b1141 <= 1 e14701: b1138 - b1139 + b1140 <= 1 e14702: b1138 - b1139 + b1141 <= 1 e14703: b1138 - b1139 + b1142 <= 1 e14704: b1139 - b1140 + b1141 <= 1 e14705: b1139 - b1140 + b1142 <= 1 e14706: b1139 - b1140 + b1143 <= 1 e14707: b1140 - b1141 + b1142 <= 1 e14708: b1140 - b1141 + b1143 <= 1 e14709: b1140 - b1141 + b1144 <= 1 e14710: b1141 - b1142 + b1143 <= 1 e14711: b1141 - b1142 + b1144 <= 1 e14712: b1141 - b1142 + b1145 <= 1 e14713: b1142 - b1143 + b1144 <= 1 e14714: b1142 - b1143 + b1145 <= 1 e14715: b1142 - b1143 + b1146 <= 1 e14716: b1143 - b1144 + b1145 <= 1 e14717: b1143 - b1144 + b1146 <= 1 e14718: b1143 - b1144 + b1147 <= 1 e14719: b1144 - b1145 + b1146 <= 1 e14720: b1144 - b1145 + b1147 <= 1 e14721: b1144 - b1145 + b1148 <= 1 e14722: b1145 - b1146 + b1147 <= 1 e14723: b1145 - b1146 + b1148 <= 1 e14724: b1145 - b1146 + b1149 <= 1 e14725: b1146 - b1147 + b1148 <= 1 e14726: b1146 - b1147 + b1149 <= 1 e14727: b1146 - b1147 + b1150 <= 1 e14728: b1147 - b1148 + b1149 <= 1 e14729: b1147 - b1148 + b1150 <= 1 e14730: b1147 - b1148 + b1151 <= 1 e14731: b1148 - b1149 + b1150 <= 1 e14732: b1148 - b1149 + b1151 <= 1 e14733: b1148 - b1149 + b1152 <= 1 e14734: b1149 - b1150 + b1151 <= 1 e14735: b1149 - b1150 + b1152 <= 1 e14736: b1149 - b1150 + b1153 <= 1 e14737: b1150 - b1151 + b1152 <= 1 e14738: b1150 - b1151 + b1153 <= 1 e14739: b1151 - b1152 + b1153 <= 1 e14740: b1131 - b1132 + b1133 >= 0 e14741: b1131 - b1132 + b1134 >= 0 e14742: b1131 - b1132 + b1135 >= 0 e14743: b1132 - b1133 + b1134 >= 0 e14744: b1132 - b1133 + b1135 >= 0 e14745: b1132 - b1133 + b1136 >= 0 e14746: b1133 - b1134 + b1135 >= 0 e14747: b1133 - b1134 + b1136 >= 0 e14748: b1133 - b1134 + b1137 >= 0 e14749: b1134 - b1135 + b1136 >= 0 e14750: b1134 - b1135 + b1137 >= 0 e14751: b1134 - b1135 + b1138 >= 0 e14752: b1135 - b1136 + b1137 >= 0 e14753: b1135 - b1136 + b1138 >= 0 e14754: b1135 - b1136 + b1139 >= 0 e14755: b1136 - b1137 + b1138 >= 0 e14756: b1136 - b1137 + b1139 >= 0 e14757: b1136 - b1137 + b1140 >= 0 e14758: b1137 - b1138 + b1139 >= 0 e14759: b1137 - b1138 + b1140 >= 0 e14760: b1137 - b1138 + b1141 >= 0 e14761: b1138 - b1139 + b1140 >= 0 e14762: b1138 - b1139 + b1141 >= 0 e14763: b1138 - b1139 + b1142 >= 0 e14764: b1139 - b1140 + b1141 >= 0 e14765: b1139 - b1140 + b1142 >= 0 e14766: b1139 - b1140 + b1143 >= 0 e14767: b1140 - b1141 + b1142 >= 0 e14768: b1140 - b1141 + b1143 >= 0 e14769: b1140 - b1141 + b1144 >= 0 e14770: b1141 - b1142 + b1143 >= 0 e14771: b1141 - b1142 + b1144 >= 0 e14772: b1141 - b1142 + b1145 >= 0 e14773: b1142 - b1143 + b1144 >= 0 e14774: b1142 - b1143 + b1145 >= 0 e14775: b1142 - b1143 + b1146 >= 0 e14776: b1143 - b1144 + b1145 >= 0 e14777: b1143 - b1144 + b1146 >= 0 e14778: b1143 - b1144 + b1147 >= 0 e14779: b1144 - b1145 + b1146 >= 0 e14780: b1144 - b1145 + b1147 >= 0 e14781: b1144 - b1145 + b1148 >= 0 e14782: b1145 - b1146 + b1147 >= 0 e14783: b1145 - b1146 + b1148 >= 0 e14784: b1145 - b1146 + b1149 >= 0 e14785: b1146 - b1147 + b1148 >= 0 e14786: b1146 - b1147 + b1149 >= 0 e14787: b1146 - b1147 + b1150 >= 0 e14788: b1147 - b1148 + b1149 >= 0 e14789: b1147 - b1148 + b1150 >= 0 e14790: b1147 - b1148 + b1151 >= 0 e14791: b1148 - b1149 + b1150 >= 0 e14792: b1148 - b1149 + b1151 >= 0 e14793: b1148 - b1149 + b1152 >= 0 e14794: b1149 - b1150 + b1151 >= 0 e14795: b1149 - b1150 + b1152 >= 0 e14796: b1149 - b1150 + b1153 >= 0 e14797: b1150 - b1151 + b1152 >= 0 e14798: b1150 - b1151 + b1153 >= 0 e14799: b1151 - b1152 + b1153 >= 0 e14800: - b1154 + b1155 + x19231 <= 1 e14801: - b1154 + b1156 + x19231 <= 1 e14802: - b1154 + b1157 + x19231 <= 1 e14803: b1154 - b1155 + b1156 <= 1 e14804: b1154 - b1155 + b1157 <= 1 e14805: b1154 - b1155 + b1158 <= 1 e14806: b1155 - b1156 + b1157 <= 1 e14807: b1155 - b1156 + b1158 <= 1 e14808: b1155 - b1156 + b1159 <= 1 e14809: b1156 - b1157 + b1158 <= 1 e14810: b1156 - b1157 + b1159 <= 1 e14811: b1156 - b1157 + b1160 <= 1 e14812: b1157 - b1158 + b1159 <= 1 e14813: b1157 - b1158 + b1160 <= 1 e14814: b1157 - b1158 + b1161 <= 1 e14815: b1158 - b1159 + b1160 <= 1 e14816: b1158 - b1159 + b1161 <= 1 e14817: b1158 - b1159 + b1162 <= 1 e14818: b1159 - b1160 + b1161 <= 1 e14819: b1159 - b1160 + b1162 <= 1 e14820: b1159 - b1160 + b1163 <= 1 e14821: b1160 - b1161 + b1162 <= 1 e14822: b1160 - b1161 + b1163 <= 1 e14823: b1160 - b1161 + b1164 <= 1 e14824: b1161 - b1162 + b1163 <= 1 e14825: b1161 - b1162 + b1164 <= 1 e14826: b1161 - b1162 + b1165 <= 1 e14827: b1162 - b1163 + b1164 <= 1 e14828: b1162 - b1163 + b1165 <= 1 e14829: b1162 - b1163 + b1166 <= 1 e14830: b1163 - b1164 + b1165 <= 1 e14831: b1163 - b1164 + b1166 <= 1 e14832: b1163 - b1164 + b1167 <= 1 e14833: b1164 - b1165 + b1166 <= 1 e14834: b1164 - b1165 + b1167 <= 1 e14835: b1164 - b1165 + b1168 <= 1 e14836: b1165 - b1166 + b1167 <= 1 e14837: b1165 - b1166 + b1168 <= 1 e14838: b1165 - b1166 + b1169 <= 1 e14839: b1166 - b1167 + b1168 <= 1 e14840: b1166 - b1167 + b1169 <= 1 e14841: b1166 - b1167 + b1170 <= 1 e14842: b1167 - b1168 + b1169 <= 1 e14843: b1167 - b1168 + b1170 <= 1 e14844: b1167 - b1168 + b1171 <= 1 e14845: b1168 - b1169 + b1170 <= 1 e14846: b1168 - b1169 + b1171 <= 1 e14847: b1168 - b1169 + b1172 <= 1 e14848: b1169 - b1170 + b1171 <= 1 e14849: b1169 - b1170 + b1172 <= 1 e14850: b1169 - b1170 + b1173 <= 1 e14851: b1170 - b1171 + b1172 <= 1 e14852: b1170 - b1171 + b1173 <= 1 e14853: b1170 - b1171 + b1174 <= 1 e14854: b1171 - b1172 + b1173 <= 1 e14855: b1171 - b1172 + b1174 <= 1 e14856: b1171 - b1172 + b1175 <= 1 e14857: b1172 - b1173 + b1174 <= 1 e14858: b1172 - b1173 + b1175 <= 1 e14859: b1172 - b1173 + b1176 <= 1 e14860: b1173 - b1174 + b1175 <= 1 e14861: b1173 - b1174 + b1176 <= 1 e14862: b1173 - b1174 + b1177 <= 1 e14863: b1174 - b1175 + b1176 <= 1 e14864: b1174 - b1175 + b1177 <= 1 e14865: b1175 - b1176 + b1177 <= 1 e14866: - b1154 + b1155 + x19231 >= 0 e14867: - b1154 + b1156 + x19231 >= 0 e14868: b1154 - b1155 + b1156 >= 0 e14869: b1154 - b1155 + b1157 >= 0 e14870: b1155 - b1156 + b1157 >= 0 e14871: b1155 - b1156 + b1158 >= 0 e14872: b1156 - b1157 + b1158 >= 0 e14873: b1156 - b1157 + b1159 >= 0 e14874: b1157 - b1158 + b1159 >= 0 e14875: b1157 - b1158 + b1160 >= 0 e14876: b1158 - b1159 + b1160 >= 0 e14877: b1158 - b1159 + b1161 >= 0 e14878: b1159 - b1160 + b1161 >= 0 e14879: b1159 - b1160 + b1162 >= 0 e14880: b1160 - b1161 + b1162 >= 0 e14881: b1160 - b1161 + b1163 >= 0 e14882: b1161 - b1162 + b1163 >= 0 e14883: b1161 - b1162 + b1164 >= 0 e14884: b1162 - b1163 + b1164 >= 0 e14885: b1162 - b1163 + b1165 >= 0 e14886: b1163 - b1164 + b1165 >= 0 e14887: b1163 - b1164 + b1166 >= 0 e14888: b1164 - b1165 + b1166 >= 0 e14889: b1164 - b1165 + b1167 >= 0 e14890: b1165 - b1166 + b1167 >= 0 e14891: b1165 - b1166 + b1168 >= 0 e14892: b1166 - b1167 + b1168 >= 0 e14893: b1166 - b1167 + b1169 >= 0 e14894: b1167 - b1168 + b1169 >= 0 e14895: b1167 - b1168 + b1170 >= 0 e14896: b1168 - b1169 + b1170 >= 0 e14897: b1168 - b1169 + b1171 >= 0 e14898: b1169 - b1170 + b1171 >= 0 e14899: b1169 - b1170 + b1172 >= 0 e14900: b1170 - b1171 + b1172 >= 0 e14901: b1170 - b1171 + b1173 >= 0 e14902: b1171 - b1172 + b1173 >= 0 e14903: b1171 - b1172 + b1174 >= 0 e14904: b1172 - b1173 + b1174 >= 0 e14905: b1172 - b1173 + b1175 >= 0 e14906: b1173 - b1174 + b1175 >= 0 e14907: b1173 - b1174 + b1176 >= 0 e14908: b1174 - b1175 + b1176 >= 0 e14909: b1174 - b1175 + b1177 >= 0 e14910: b1175 - b1176 + b1177 >= 0 e14911: - b1178 + b1179 + x19232 <= 1 e14912: - b1178 + b1180 + x19232 <= 1 e14913: b1178 - b1179 + b1180 <= 1 e14914: b1178 - b1179 + b1181 <= 1 e14915: b1179 - b1180 + b1181 <= 1 e14916: b1179 - b1180 + b1182 <= 1 e14917: b1180 - b1181 + b1182 <= 1 e14918: b1180 - b1181 + b1183 <= 1 e14919: b1181 - b1182 + b1183 <= 1 e14920: b1181 - b1182 + b1184 <= 1 e14921: b1182 - b1183 + b1184 <= 1 e14922: b1182 - b1183 + b1185 <= 1 e14923: b1183 - b1184 + b1185 <= 1 e14924: b1183 - b1184 + b1186 <= 1 e14925: b1184 - b1185 + b1186 <= 1 e14926: b1184 - b1185 + b1187 <= 1 e14927: b1185 - b1186 + b1187 <= 1 e14928: b1185 - b1186 + b1188 <= 1 e14929: b1186 - b1187 + b1188 <= 1 e14930: b1186 - b1187 + b1189 <= 1 e14931: b1187 - b1188 + b1189 <= 1 e14932: b1187 - b1188 + b1190 <= 1 e14933: b1188 - b1189 + b1190 <= 1 e14934: b1188 - b1189 + b1191 <= 1 e14935: b1189 - b1190 + b1191 <= 1 e14936: b1189 - b1190 + b1192 <= 1 e14937: b1190 - b1191 + b1192 <= 1 e14938: b1190 - b1191 + b1193 <= 1 e14939: b1191 - b1192 + b1193 <= 1 e14940: b1191 - b1192 + b1194 <= 1 e14941: b1192 - b1193 + b1194 <= 1 e14942: b1192 - b1193 + b1195 <= 1 e14943: b1193 - b1194 + b1195 <= 1 e14944: b1193 - b1194 + b1196 <= 1 e14945: b1194 - b1195 + b1196 <= 1 e14946: b1194 - b1195 + b1197 <= 1 e14947: b1195 - b1196 + b1197 <= 1 e14948: b1195 - b1196 + b1198 <= 1 e14949: b1196 - b1197 + b1198 <= 1 e14950: b1196 - b1197 + b1199 <= 1 e14951: b1197 - b1198 + b1199 <= 1 e14952: b1197 - b1198 + b1200 <= 1 e14953: b1198 - b1199 + b1200 <= 1 e14954: b1198 - b1199 + b1201 <= 1 e14955: b1199 - b1200 + b1201 <= 1 e14956: - b1178 + b1179 + x19232 >= 0 e14957: - b1178 + b1180 + x19232 >= 0 e14958: b1178 - b1179 + b1180 >= 0 e14959: b1178 - b1179 + b1181 >= 0 e14960: b1179 - b1180 + b1181 >= 0 e14961: b1179 - b1180 + b1182 >= 0 e14962: b1180 - b1181 + b1182 >= 0 e14963: b1180 - b1181 + b1183 >= 0 e14964: b1181 - b1182 + b1183 >= 0 e14965: b1181 - b1182 + b1184 >= 0 e14966: b1182 - b1183 + b1184 >= 0 e14967: b1182 - b1183 + b1185 >= 0 e14968: b1183 - b1184 + b1185 >= 0 e14969: b1183 - b1184 + b1186 >= 0 e14970: b1184 - b1185 + b1186 >= 0 e14971: b1184 - b1185 + b1187 >= 0 e14972: b1185 - b1186 + b1187 >= 0 e14973: b1185 - b1186 + b1188 >= 0 e14974: b1186 - b1187 + b1188 >= 0 e14975: b1186 - b1187 + b1189 >= 0 e14976: b1187 - b1188 + b1189 >= 0 e14977: b1187 - b1188 + b1190 >= 0 e14978: b1188 - b1189 + b1190 >= 0 e14979: b1188 - b1189 + b1191 >= 0 e14980: b1189 - b1190 + b1191 >= 0 e14981: b1189 - b1190 + b1192 >= 0 e14982: b1190 - b1191 + b1192 >= 0 e14983: b1190 - b1191 + b1193 >= 0 e14984: b1191 - b1192 + b1193 >= 0 e14985: b1191 - b1192 + b1194 >= 0 e14986: b1192 - b1193 + b1194 >= 0 e14987: b1192 - b1193 + b1195 >= 0 e14988: b1193 - b1194 + b1195 >= 0 e14989: b1193 - b1194 + b1196 >= 0 e14990: b1194 - b1195 + b1196 >= 0 e14991: b1194 - b1195 + b1197 >= 0 e14992: b1195 - b1196 + b1197 >= 0 e14993: b1195 - b1196 + b1198 >= 0 e14994: b1196 - b1197 + b1198 >= 0 e14995: b1196 - b1197 + b1199 >= 0 e14996: b1197 - b1198 + b1199 >= 0 e14997: b1197 - b1198 + b1200 >= 0 e14998: b1198 - b1199 + b1200 >= 0 e14999: b1198 - b1199 + b1201 >= 0 e15000: b1199 - b1200 + b1201 >= 0 e15001: - b1202 + b1203 + x19233 <= 1 e15002: - b1202 + b1204 + x19233 <= 1 e15003: b1202 - b1203 + b1204 <= 1 e15004: b1202 - b1203 + b1205 <= 1 e15005: b1203 - b1204 + b1205 <= 1 e15006: b1203 - b1204 + b1206 <= 1 e15007: b1204 - b1205 + b1206 <= 1 e15008: b1204 - b1205 + b1207 <= 1 e15009: b1205 - b1206 + b1207 <= 1 e15010: b1205 - b1206 + b1208 <= 1 e15011: b1206 - b1207 + b1208 <= 1 e15012: b1206 - b1207 + b1209 <= 1 e15013: b1207 - b1208 + b1209 <= 1 e15014: b1207 - b1208 + b1210 <= 1 e15015: b1208 - b1209 + b1210 <= 1 e15016: b1208 - b1209 + b1211 <= 1 e15017: b1209 - b1210 + b1211 <= 1 e15018: b1209 - b1210 + b1212 <= 1 e15019: b1210 - b1211 + b1212 <= 1 e15020: b1210 - b1211 + b1213 <= 1 e15021: b1211 - b1212 + b1213 <= 1 e15022: b1211 - b1212 + b1214 <= 1 e15023: b1212 - b1213 + b1214 <= 1 e15024: b1212 - b1213 + b1215 <= 1 e15025: b1213 - b1214 + b1215 <= 1 e15026: b1213 - b1214 + b1216 <= 1 e15027: b1214 - b1215 + b1216 <= 1 e15028: b1214 - b1215 + b1217 <= 1 e15029: b1215 - b1216 + b1217 <= 1 e15030: b1215 - b1216 + b1218 <= 1 e15031: b1216 - b1217 + b1218 <= 1 e15032: b1216 - b1217 + b1219 <= 1 e15033: b1217 - b1218 + b1219 <= 1 e15034: b1217 - b1218 + b1220 <= 1 e15035: b1218 - b1219 + b1220 <= 1 e15036: b1218 - b1219 + b1221 <= 1 e15037: b1219 - b1220 + b1221 <= 1 e15038: b1219 - b1220 + b1222 <= 1 e15039: b1220 - b1221 + b1222 <= 1 e15040: b1220 - b1221 + b1223 <= 1 e15041: b1221 - b1222 + b1223 <= 1 e15042: b1221 - b1222 + b1224 <= 1 e15043: b1222 - b1223 + b1224 <= 1 e15044: b1222 - b1223 + b1225 <= 1 e15045: b1223 - b1224 + b1225 <= 1 e15046: - b1202 + b1203 + x19233 >= 0 e15047: - b1202 + b1204 + x19233 >= 0 e15048: b1202 - b1203 + b1204 >= 0 e15049: b1202 - b1203 + b1205 >= 0 e15050: b1203 - b1204 + b1205 >= 0 e15051: b1203 - b1204 + b1206 >= 0 e15052: b1204 - b1205 + b1206 >= 0 e15053: b1204 - b1205 + b1207 >= 0 e15054: b1205 - b1206 + b1207 >= 0 e15055: b1205 - b1206 + b1208 >= 0 e15056: b1206 - b1207 + b1208 >= 0 e15057: b1206 - b1207 + b1209 >= 0 e15058: b1207 - b1208 + b1209 >= 0 e15059: b1207 - b1208 + b1210 >= 0 e15060: b1208 - b1209 + b1210 >= 0 e15061: b1208 - b1209 + b1211 >= 0 e15062: b1209 - b1210 + b1211 >= 0 e15063: b1209 - b1210 + b1212 >= 0 e15064: b1210 - b1211 + b1212 >= 0 e15065: b1210 - b1211 + b1213 >= 0 e15066: b1211 - b1212 + b1213 >= 0 e15067: b1211 - b1212 + b1214 >= 0 e15068: b1212 - b1213 + b1214 >= 0 e15069: b1212 - b1213 + b1215 >= 0 e15070: b1213 - b1214 + b1215 >= 0 e15071: b1213 - b1214 + b1216 >= 0 e15072: b1214 - b1215 + b1216 >= 0 e15073: b1214 - b1215 + b1217 >= 0 e15074: b1215 - b1216 + b1217 >= 0 e15075: b1215 - b1216 + b1218 >= 0 e15076: b1216 - b1217 + b1218 >= 0 e15077: b1216 - b1217 + b1219 >= 0 e15078: b1217 - b1218 + b1219 >= 0 e15079: b1217 - b1218 + b1220 >= 0 e15080: b1218 - b1219 + b1220 >= 0 e15081: b1218 - b1219 + b1221 >= 0 e15082: b1219 - b1220 + b1221 >= 0 e15083: b1219 - b1220 + b1222 >= 0 e15084: b1220 - b1221 + b1222 >= 0 e15085: b1220 - b1221 + b1223 >= 0 e15086: b1221 - b1222 + b1223 >= 0 e15087: b1221 - b1222 + b1224 >= 0 e15088: b1222 - b1223 + b1224 >= 0 e15089: b1222 - b1223 + b1225 >= 0 e15090: b1223 - b1224 + b1225 >= 0 e15091: b1226 = 0 e15092: b1226 - b1227 + b1228 <= 1 e15093: b1226 - b1227 + b1229 <= 1 e15094: b1226 - b1227 + b1230 <= 1 e15095: b1227 - b1228 + b1229 <= 1 e15096: b1227 - b1228 + b1230 <= 1 e15097: b1227 - b1228 + b1231 <= 1 e15098: b1228 - b1229 + b1230 <= 1 e15099: b1228 - b1229 + b1231 <= 1 e15100: b1228 - b1229 + b1232 <= 1 e15101: b1229 - b1230 + b1231 <= 1 e15102: b1229 - b1230 + b1232 <= 1 e15103: b1229 - b1230 + b1233 <= 1 e15104: b1230 - b1231 + b1232 <= 1 e15105: b1230 - b1231 + b1233 <= 1 e15106: b1230 - b1231 + b1234 <= 1 e15107: b1231 - b1232 + b1233 <= 1 e15108: b1231 - b1232 + b1234 <= 1 e15109: b1231 - b1232 + b1235 <= 1 e15110: b1232 - b1233 + b1234 <= 1 e15111: b1232 - b1233 + b1235 <= 1 e15112: b1232 - b1233 + b1236 <= 1 e15113: b1233 - b1234 + b1235 <= 1 e15114: b1233 - b1234 + b1236 <= 1 e15115: b1233 - b1234 + b1237 <= 1 e15116: b1234 - b1235 + b1236 <= 1 e15117: b1234 - b1235 + b1237 <= 1 e15118: b1234 - b1235 + b1238 <= 1 e15119: b1235 - b1236 + b1237 <= 1 e15120: b1235 - b1236 + b1238 <= 1 e15121: b1235 - b1236 + b1239 <= 1 e15122: b1236 - b1237 + b1238 <= 1 e15123: b1236 - b1237 + b1239 <= 1 e15124: b1236 - b1237 + b1240 <= 1 e15125: b1237 - b1238 + b1239 <= 1 e15126: b1237 - b1238 + b1240 <= 1 e15127: b1237 - b1238 + b1241 <= 1 e15128: b1238 - b1239 + b1240 <= 1 e15129: b1238 - b1239 + b1241 <= 1 e15130: b1238 - b1239 + b1242 <= 1 e15131: b1239 - b1240 + b1241 <= 1 e15132: b1239 - b1240 + b1242 <= 1 e15133: b1239 - b1240 + b1243 <= 1 e15134: b1240 - b1241 + b1242 <= 1 e15135: b1240 - b1241 + b1243 <= 1 e15136: b1240 - b1241 + b1244 <= 1 e15137: b1241 - b1242 + b1243 <= 1 e15138: b1241 - b1242 + b1244 <= 1 e15139: b1241 - b1242 + b1245 <= 1 e15140: b1242 - b1243 + b1244 <= 1 e15141: b1242 - b1243 + b1245 <= 1 e15142: b1242 - b1243 + b1246 <= 1 e15143: b1243 - b1244 + b1245 <= 1 e15144: b1243 - b1244 + b1246 <= 1 e15145: b1243 - b1244 + b1247 <= 1 e15146: b1244 - b1245 + b1246 <= 1 e15147: b1244 - b1245 + b1247 <= 1 e15148: b1244 - b1245 + b1248 <= 1 e15149: b1245 - b1246 + b1247 <= 1 e15150: b1245 - b1246 + b1248 <= 1 e15151: b1245 - b1246 + b1249 <= 1 e15152: b1246 - b1247 + b1248 <= 1 e15153: b1246 - b1247 + b1249 <= 1 e15154: b1247 - b1248 + b1249 <= 1 e15155: b1226 - b1227 + b1228 >= 0 e15156: b1226 - b1227 + b1229 >= 0 e15157: b1226 - b1227 + b1230 >= 0 e15158: b1227 - b1228 + b1229 >= 0 e15159: b1227 - b1228 + b1230 >= 0 e15160: b1227 - b1228 + b1231 >= 0 e15161: b1228 - b1229 + b1230 >= 0 e15162: b1228 - b1229 + b1231 >= 0 e15163: b1228 - b1229 + b1232 >= 0 e15164: b1229 - b1230 + b1231 >= 0 e15165: b1229 - b1230 + b1232 >= 0 e15166: b1229 - b1230 + b1233 >= 0 e15167: b1230 - b1231 + b1232 >= 0 e15168: b1230 - b1231 + b1233 >= 0 e15169: b1230 - b1231 + b1234 >= 0 e15170: b1231 - b1232 + b1233 >= 0 e15171: b1231 - b1232 + b1234 >= 0 e15172: b1231 - b1232 + b1235 >= 0 e15173: b1232 - b1233 + b1234 >= 0 e15174: b1232 - b1233 + b1235 >= 0 e15175: b1232 - b1233 + b1236 >= 0 e15176: b1233 - b1234 + b1235 >= 0 e15177: b1233 - b1234 + b1236 >= 0 e15178: b1233 - b1234 + b1237 >= 0 e15179: b1234 - b1235 + b1236 >= 0 e15180: b1234 - b1235 + b1237 >= 0 e15181: b1234 - b1235 + b1238 >= 0 e15182: b1235 - b1236 + b1237 >= 0 e15183: b1235 - b1236 + b1238 >= 0 e15184: b1235 - b1236 + b1239 >= 0 e15185: b1236 - b1237 + b1238 >= 0 e15186: b1236 - b1237 + b1239 >= 0 e15187: b1236 - b1237 + b1240 >= 0 e15188: b1237 - b1238 + b1239 >= 0 e15189: b1237 - b1238 + b1240 >= 0 e15190: b1237 - b1238 + b1241 >= 0 e15191: b1238 - b1239 + b1240 >= 0 e15192: b1238 - b1239 + b1241 >= 0 e15193: b1238 - b1239 + b1242 >= 0 e15194: b1239 - b1240 + b1241 >= 0 e15195: b1239 - b1240 + b1242 >= 0 e15196: b1239 - b1240 + b1243 >= 0 e15197: b1240 - b1241 + b1242 >= 0 e15198: b1240 - b1241 + b1243 >= 0 e15199: b1240 - b1241 + b1244 >= 0 e15200: b1241 - b1242 + b1243 >= 0 e15201: b1241 - b1242 + b1244 >= 0 e15202: b1241 - b1242 + b1245 >= 0 e15203: b1242 - b1243 + b1244 >= 0 e15204: b1242 - b1243 + b1245 >= 0 e15205: b1242 - b1243 + b1246 >= 0 e15206: b1243 - b1244 + b1245 >= 0 e15207: b1243 - b1244 + b1246 >= 0 e15208: b1243 - b1244 + b1247 >= 0 e15209: b1244 - b1245 + b1246 >= 0 e15210: b1244 - b1245 + b1247 >= 0 e15211: b1244 - b1245 + b1248 >= 0 e15212: b1245 - b1246 + b1247 >= 0 e15213: b1245 - b1246 + b1248 >= 0 e15214: b1245 - b1246 + b1249 >= 0 e15215: b1246 - b1247 + b1248 >= 0 e15216: b1246 - b1247 + b1249 >= 0 e15217: b1247 - b1248 + b1249 >= 0 e15218: - b1250 + b1251 + x19234 <= 1 e15219: - b1250 + b1252 + x19234 <= 1 e15220: b1250 - b1251 + b1252 <= 1 e15221: b1250 - b1251 + b1253 <= 1 e15222: b1251 - b1252 + b1253 <= 1 e15223: b1251 - b1252 + b1254 <= 1 e15224: b1252 - b1253 + b1254 <= 1 e15225: b1252 - b1253 + b1255 <= 1 e15226: b1253 - b1254 + b1255 <= 1 e15227: b1253 - b1254 + b1256 <= 1 e15228: b1254 - b1255 + b1256 <= 1 e15229: b1254 - b1255 + b1257 <= 1 e15230: b1255 - b1256 + b1257 <= 1 e15231: b1255 - b1256 + b1258 <= 1 e15232: b1256 - b1257 + b1258 <= 1 e15233: b1256 - b1257 + b1259 <= 1 e15234: b1257 - b1258 + b1259 <= 1 e15235: b1257 - b1258 + b1260 <= 1 e15236: b1258 - b1259 + b1260 <= 1 e15237: b1258 - b1259 + b1261 <= 1 e15238: b1259 - b1260 + b1261 <= 1 e15239: b1259 - b1260 + b1262 <= 1 e15240: b1260 - b1261 + b1262 <= 1 e15241: b1260 - b1261 + b1263 <= 1 e15242: b1261 - b1262 + b1263 <= 1 e15243: b1261 - b1262 + b1264 <= 1 e15244: b1262 - b1263 + b1264 <= 1 e15245: b1262 - b1263 + b1265 <= 1 e15246: b1263 - b1264 + b1265 <= 1 e15247: b1263 - b1264 + b1266 <= 1 e15248: b1264 - b1265 + b1266 <= 1 e15249: b1264 - b1265 + b1267 <= 1 e15250: b1265 - b1266 + b1267 <= 1 e15251: b1265 - b1266 + b1268 <= 1 e15252: b1266 - b1267 + b1268 <= 1 e15253: b1266 - b1267 + b1269 <= 1 e15254: b1267 - b1268 + b1269 <= 1 e15255: b1267 - b1268 + b1270 <= 1 e15256: b1268 - b1269 + b1270 <= 1 e15257: b1268 - b1269 + b1271 <= 1 e15258: b1269 - b1270 + b1271 <= 1 e15259: b1269 - b1270 + b1272 <= 1 e15260: b1270 - b1271 + b1272 <= 1 e15261: b1270 - b1271 + b1273 <= 1 e15262: b1271 - b1272 + b1273 <= 1 e15263: - b1250 + b1251 + x19234 >= 0 e15264: - b1250 + b1252 + x19234 >= 0 e15265: b1250 - b1251 + b1252 >= 0 e15266: b1250 - b1251 + b1253 >= 0 e15267: b1251 - b1252 + b1253 >= 0 e15268: b1251 - b1252 + b1254 >= 0 e15269: b1252 - b1253 + b1254 >= 0 e15270: b1252 - b1253 + b1255 >= 0 e15271: b1253 - b1254 + b1255 >= 0 e15272: b1253 - b1254 + b1256 >= 0 e15273: b1254 - b1255 + b1256 >= 0 e15274: b1254 - b1255 + b1257 >= 0 e15275: b1255 - b1256 + b1257 >= 0 e15276: b1255 - b1256 + b1258 >= 0 e15277: b1256 - b1257 + b1258 >= 0 e15278: b1256 - b1257 + b1259 >= 0 e15279: b1257 - b1258 + b1259 >= 0 e15280: b1257 - b1258 + b1260 >= 0 e15281: b1258 - b1259 + b1260 >= 0 e15282: b1258 - b1259 + b1261 >= 0 e15283: b1259 - b1260 + b1261 >= 0 e15284: b1259 - b1260 + b1262 >= 0 e15285: b1260 - b1261 + b1262 >= 0 e15286: b1260 - b1261 + b1263 >= 0 e15287: b1261 - b1262 + b1263 >= 0 e15288: b1261 - b1262 + b1264 >= 0 e15289: b1262 - b1263 + b1264 >= 0 e15290: b1262 - b1263 + b1265 >= 0 e15291: b1263 - b1264 + b1265 >= 0 e15292: b1263 - b1264 + b1266 >= 0 e15293: b1264 - b1265 + b1266 >= 0 e15294: b1264 - b1265 + b1267 >= 0 e15295: b1265 - b1266 + b1267 >= 0 e15296: b1265 - b1266 + b1268 >= 0 e15297: b1266 - b1267 + b1268 >= 0 e15298: b1266 - b1267 + b1269 >= 0 e15299: b1267 - b1268 + b1269 >= 0 e15300: b1267 - b1268 + b1270 >= 0 e15301: b1268 - b1269 + b1270 >= 0 e15302: b1268 - b1269 + b1271 >= 0 e15303: b1269 - b1270 + b1271 >= 0 e15304: b1269 - b1270 + b1272 >= 0 e15305: b1270 - b1271 + b1272 >= 0 e15306: b1270 - b1271 + b1273 >= 0 e15307: b1271 - b1272 + b1273 >= 0 e15308: - b1274 + b1275 + x19235 <= 1 e15309: - b1274 + b1276 + x19235 <= 1 e15310: b1274 - b1275 + b1276 <= 1 e15311: b1274 - b1275 + b1277 <= 1 e15312: b1275 - b1276 + b1277 <= 1 e15313: b1275 - b1276 + b1278 <= 1 e15314: b1276 - b1277 + b1278 <= 1 e15315: b1276 - b1277 + b1279 <= 1 e15316: b1277 - b1278 + b1279 <= 1 e15317: b1277 - b1278 + b1280 <= 1 e15318: b1278 - b1279 + b1280 <= 1 e15319: b1278 - b1279 + b1281 <= 1 e15320: b1279 - b1280 + b1281 <= 1 e15321: b1279 - b1280 + b1282 <= 1 e15322: b1280 - b1281 + b1282 <= 1 e15323: b1280 - b1281 + b1283 <= 1 e15324: b1281 - b1282 + b1283 <= 1 e15325: b1281 - b1282 + b1284 <= 1 e15326: b1282 - b1283 + b1284 <= 1 e15327: b1282 - b1283 + b1285 <= 1 e15328: b1283 - b1284 + b1285 <= 1 e15329: b1283 - b1284 + b1286 <= 1 e15330: b1284 - b1285 + b1286 <= 1 e15331: b1284 - b1285 + b1287 <= 1 e15332: b1285 - b1286 + b1287 <= 1 e15333: b1285 - b1286 + b1288 <= 1 e15334: b1286 - b1287 + b1288 <= 1 e15335: b1286 - b1287 + b1289 <= 1 e15336: b1287 - b1288 + b1289 <= 1 e15337: b1287 - b1288 + b1290 <= 1 e15338: b1288 - b1289 + b1290 <= 1 e15339: b1288 - b1289 + b1291 <= 1 e15340: b1289 - b1290 + b1291 <= 1 e15341: b1289 - b1290 + b1292 <= 1 e15342: b1290 - b1291 + b1292 <= 1 e15343: b1290 - b1291 + b1293 <= 1 e15344: b1291 - b1292 + b1293 <= 1 e15345: b1291 - b1292 + b1294 <= 1 e15346: b1292 - b1293 + b1294 <= 1 e15347: b1292 - b1293 + b1295 <= 1 e15348: b1293 - b1294 + b1295 <= 1 e15349: b1293 - b1294 + b1296 <= 1 e15350: b1294 - b1295 + b1296 <= 1 e15351: b1294 - b1295 + b1297 <= 1 e15352: b1295 - b1296 + b1297 <= 1 e15353: - b1274 + b1275 + x19235 >= 0 e15354: - b1274 + b1276 + x19235 >= 0 e15355: b1274 - b1275 + b1276 >= 0 e15356: b1274 - b1275 + b1277 >= 0 e15357: b1275 - b1276 + b1277 >= 0 e15358: b1275 - b1276 + b1278 >= 0 e15359: b1276 - b1277 + b1278 >= 0 e15360: b1276 - b1277 + b1279 >= 0 e15361: b1277 - b1278 + b1279 >= 0 e15362: b1277 - b1278 + b1280 >= 0 e15363: b1278 - b1279 + b1280 >= 0 e15364: b1278 - b1279 + b1281 >= 0 e15365: b1279 - b1280 + b1281 >= 0 e15366: b1279 - b1280 + b1282 >= 0 e15367: b1280 - b1281 + b1282 >= 0 e15368: b1280 - b1281 + b1283 >= 0 e15369: b1281 - b1282 + b1283 >= 0 e15370: b1281 - b1282 + b1284 >= 0 e15371: b1282 - b1283 + b1284 >= 0 e15372: b1282 - b1283 + b1285 >= 0 e15373: b1283 - b1284 + b1285 >= 0 e15374: b1283 - b1284 + b1286 >= 0 e15375: b1284 - b1285 + b1286 >= 0 e15376: b1284 - b1285 + b1287 >= 0 e15377: b1285 - b1286 + b1287 >= 0 e15378: b1285 - b1286 + b1288 >= 0 e15379: b1286 - b1287 + b1288 >= 0 e15380: b1286 - b1287 + b1289 >= 0 e15381: b1287 - b1288 + b1289 >= 0 e15382: b1287 - b1288 + b1290 >= 0 e15383: b1288 - b1289 + b1290 >= 0 e15384: b1288 - b1289 + b1291 >= 0 e15385: b1289 - b1290 + b1291 >= 0 e15386: b1289 - b1290 + b1292 >= 0 e15387: b1290 - b1291 + b1292 >= 0 e15388: b1290 - b1291 + b1293 >= 0 e15389: b1291 - b1292 + b1293 >= 0 e15390: b1291 - b1292 + b1294 >= 0 e15391: b1292 - b1293 + b1294 >= 0 e15392: b1292 - b1293 + b1295 >= 0 e15393: b1293 - b1294 + b1295 >= 0 e15394: b1293 - b1294 + b1296 >= 0 e15395: b1294 - b1295 + b1296 >= 0 e15396: b1294 - b1295 + b1297 >= 0 e15397: b1295 - b1296 + b1297 >= 0 e15398: b1298 = 1 e15399: b1298 - b1299 + b1300 <= 1 e15400: b1298 - b1299 + b1301 <= 1 e15401: b1299 - b1300 + b1301 <= 1 e15402: b1299 - b1300 + b1302 <= 1 e15403: b1300 - b1301 + b1302 <= 1 e15404: b1300 - b1301 + b1303 <= 1 e15405: b1301 - b1302 + b1303 <= 1 e15406: b1301 - b1302 + b1304 <= 1 e15407: b1302 - b1303 + b1304 <= 1 e15408: b1302 - b1303 + b1305 <= 1 e15409: b1303 - b1304 + b1305 <= 1 e15410: b1303 - b1304 + b1306 <= 1 e15411: b1304 - b1305 + b1306 <= 1 e15412: b1304 - b1305 + b1307 <= 1 e15413: b1305 - b1306 + b1307 <= 1 e15414: b1305 - b1306 + b1308 <= 1 e15415: b1306 - b1307 + b1308 <= 1 e15416: b1306 - b1307 + b1309 <= 1 e15417: b1307 - b1308 + b1309 <= 1 e15418: b1307 - b1308 + b1310 <= 1 e15419: b1308 - b1309 + b1310 <= 1 e15420: b1308 - b1309 + b1311 <= 1 e15421: b1309 - b1310 + b1311 <= 1 e15422: b1309 - b1310 + b1312 <= 1 e15423: b1310 - b1311 + b1312 <= 1 e15424: b1310 - b1311 + b1313 <= 1 e15425: b1311 - b1312 + b1313 <= 1 e15426: b1311 - b1312 + b1314 <= 1 e15427: b1312 - b1313 + b1314 <= 1 e15428: b1312 - b1313 + b1315 <= 1 e15429: b1313 - b1314 + b1315 <= 1 e15430: b1313 - b1314 + b1316 <= 1 e15431: b1314 - b1315 + b1316 <= 1 e15432: b1314 - b1315 + b1317 <= 1 e15433: b1315 - b1316 + b1317 <= 1 e15434: b1315 - b1316 + b1318 <= 1 e15435: b1316 - b1317 + b1318 <= 1 e15436: b1316 - b1317 + b1319 <= 1 e15437: b1317 - b1318 + b1319 <= 1 e15438: b1317 - b1318 + b1320 <= 1 e15439: b1318 - b1319 + b1320 <= 1 e15440: b1318 - b1319 + b1321 <= 1 e15441: b1319 - b1320 + b1321 <= 1 e15442: b1298 - b1299 + b1300 >= 0 e15443: b1298 - b1299 + b1301 >= 0 e15444: b1298 - b1299 + b1302 >= 0 e15445: b1299 - b1300 + b1301 >= 0 e15446: b1299 - b1300 + b1302 >= 0 e15447: b1299 - b1300 + b1303 >= 0 e15448: b1300 - b1301 + b1302 >= 0 e15449: b1300 - b1301 + b1303 >= 0 e15450: b1300 - b1301 + b1304 >= 0 e15451: b1301 - b1302 + b1303 >= 0 e15452: b1301 - b1302 + b1304 >= 0 e15453: b1301 - b1302 + b1305 >= 0 e15454: b1302 - b1303 + b1304 >= 0 e15455: b1302 - b1303 + b1305 >= 0 e15456: b1302 - b1303 + b1306 >= 0 e15457: b1303 - b1304 + b1305 >= 0 e15458: b1303 - b1304 + b1306 >= 0 e15459: b1303 - b1304 + b1307 >= 0 e15460: b1304 - b1305 + b1306 >= 0 e15461: b1304 - b1305 + b1307 >= 0 e15462: b1304 - b1305 + b1308 >= 0 e15463: b1305 - b1306 + b1307 >= 0 e15464: b1305 - b1306 + b1308 >= 0 e15465: b1305 - b1306 + b1309 >= 0 e15466: b1306 - b1307 + b1308 >= 0 e15467: b1306 - b1307 + b1309 >= 0 e15468: b1306 - b1307 + b1310 >= 0 e15469: b1307 - b1308 + b1309 >= 0 e15470: b1307 - b1308 + b1310 >= 0 e15471: b1307 - b1308 + b1311 >= 0 e15472: b1308 - b1309 + b1310 >= 0 e15473: b1308 - b1309 + b1311 >= 0 e15474: b1308 - b1309 + b1312 >= 0 e15475: b1309 - b1310 + b1311 >= 0 e15476: b1309 - b1310 + b1312 >= 0 e15477: b1309 - b1310 + b1313 >= 0 e15478: b1310 - b1311 + b1312 >= 0 e15479: b1310 - b1311 + b1313 >= 0 e15480: b1310 - b1311 + b1314 >= 0 e15481: b1311 - b1312 + b1313 >= 0 e15482: b1311 - b1312 + b1314 >= 0 e15483: b1311 - b1312 + b1315 >= 0 e15484: b1312 - b1313 + b1314 >= 0 e15485: b1312 - b1313 + b1315 >= 0 e15486: b1312 - b1313 + b1316 >= 0 e15487: b1313 - b1314 + b1315 >= 0 e15488: b1313 - b1314 + b1316 >= 0 e15489: b1313 - b1314 + b1317 >= 0 e15490: b1314 - b1315 + b1316 >= 0 e15491: b1314 - b1315 + b1317 >= 0 e15492: b1314 - b1315 + b1318 >= 0 e15493: b1315 - b1316 + b1317 >= 0 e15494: b1315 - b1316 + b1318 >= 0 e15495: b1315 - b1316 + b1319 >= 0 e15496: b1316 - b1317 + b1318 >= 0 e15497: b1316 - b1317 + b1319 >= 0 e15498: b1316 - b1317 + b1320 >= 0 e15499: b1317 - b1318 + b1319 >= 0 e15500: b1317 - b1318 + b1320 >= 0 e15501: b1317 - b1318 + b1321 >= 0 e15502: b1318 - b1319 + b1320 >= 0 e15503: b1318 - b1319 + b1321 >= 0 e15504: b1319 - b1320 + b1321 >= 0 e15505: - b1322 + b1323 + x19236 <= 1 e15506: - b1322 + b1324 + x19236 <= 1 e15507: - b1322 + b1325 + x19236 <= 1 e15508: b1322 - b1323 + b1324 <= 1 e15509: b1322 - b1323 + b1325 <= 1 e15510: b1322 - b1323 + b1326 <= 1 e15511: b1323 - b1324 + b1325 <= 1 e15512: b1323 - b1324 + b1326 <= 1 e15513: b1323 - b1324 + b1327 <= 1 e15514: b1324 - b1325 + b1326 <= 1 e15515: b1324 - b1325 + b1327 <= 1 e15516: b1324 - b1325 + b1328 <= 1 e15517: b1325 - b1326 + b1327 <= 1 e15518: b1325 - b1326 + b1328 <= 1 e15519: b1325 - b1326 + b1329 <= 1 e15520: b1326 - b1327 + b1328 <= 1 e15521: b1326 - b1327 + b1329 <= 1 e15522: b1326 - b1327 + b1330 <= 1 e15523: b1327 - b1328 + b1329 <= 1 e15524: b1327 - b1328 + b1330 <= 1 e15525: b1327 - b1328 + b1331 <= 1 e15526: b1328 - b1329 + b1330 <= 1 e15527: b1328 - b1329 + b1331 <= 1 e15528: b1328 - b1329 + b1332 <= 1 e15529: b1329 - b1330 + b1331 <= 1 e15530: b1329 - b1330 + b1332 <= 1 e15531: b1329 - b1330 + b1333 <= 1 e15532: b1330 - b1331 + b1332 <= 1 e15533: b1330 - b1331 + b1333 <= 1 e15534: b1330 - b1331 + b1334 <= 1 e15535: b1331 - b1332 + b1333 <= 1 e15536: b1331 - b1332 + b1334 <= 1 e15537: b1331 - b1332 + b1335 <= 1 e15538: b1332 - b1333 + b1334 <= 1 e15539: b1332 - b1333 + b1335 <= 1 e15540: b1332 - b1333 + b1336 <= 1 e15541: b1333 - b1334 + b1335 <= 1 e15542: b1333 - b1334 + b1336 <= 1 e15543: b1333 - b1334 + b1337 <= 1 e15544: b1334 - b1335 + b1336 <= 1 e15545: b1334 - b1335 + b1337 <= 1 e15546: b1334 - b1335 + b1338 <= 1 e15547: b1335 - b1336 + b1337 <= 1 e15548: b1335 - b1336 + b1338 <= 1 e15549: b1335 - b1336 + b1339 <= 1 e15550: b1336 - b1337 + b1338 <= 1 e15551: b1336 - b1337 + b1339 <= 1 e15552: b1336 - b1337 + b1340 <= 1 e15553: b1337 - b1338 + b1339 <= 1 e15554: b1337 - b1338 + b1340 <= 1 e15555: b1337 - b1338 + b1341 <= 1 e15556: b1338 - b1339 + b1340 <= 1 e15557: b1338 - b1339 + b1341 <= 1 e15558: b1338 - b1339 + b1342 <= 1 e15559: b1339 - b1340 + b1341 <= 1 e15560: b1339 - b1340 + b1342 <= 1 e15561: b1339 - b1340 + b1343 <= 1 e15562: b1340 - b1341 + b1342 <= 1 e15563: b1340 - b1341 + b1343 <= 1 e15564: b1340 - b1341 + b1344 <= 1 e15565: b1341 - b1342 + b1343 <= 1 e15566: b1341 - b1342 + b1344 <= 1 e15567: b1341 - b1342 + b1345 <= 1 e15568: b1342 - b1343 + b1344 <= 1 e15569: b1342 - b1343 + b1345 <= 1 e15570: b1343 - b1344 + b1345 <= 1 e15571: - b1322 + b1323 + x19236 >= 0 e15572: - b1322 + b1324 + x19236 >= 0 e15573: - b1322 + b1325 + x19236 >= 0 e15574: b1322 - b1323 + b1324 >= 0 e15575: b1322 - b1323 + b1325 >= 0 e15576: b1322 - b1323 + b1326 >= 0 e15577: b1323 - b1324 + b1325 >= 0 e15578: b1323 - b1324 + b1326 >= 0 e15579: b1323 - b1324 + b1327 >= 0 e15580: b1324 - b1325 + b1326 >= 0 e15581: b1324 - b1325 + b1327 >= 0 e15582: b1324 - b1325 + b1328 >= 0 e15583: b1325 - b1326 + b1327 >= 0 e15584: b1325 - b1326 + b1328 >= 0 e15585: b1325 - b1326 + b1329 >= 0 e15586: b1326 - b1327 + b1328 >= 0 e15587: b1326 - b1327 + b1329 >= 0 e15588: b1326 - b1327 + b1330 >= 0 e15589: b1327 - b1328 + b1329 >= 0 e15590: b1327 - b1328 + b1330 >= 0 e15591: b1327 - b1328 + b1331 >= 0 e15592: b1328 - b1329 + b1330 >= 0 e15593: b1328 - b1329 + b1331 >= 0 e15594: b1328 - b1329 + b1332 >= 0 e15595: b1329 - b1330 + b1331 >= 0 e15596: b1329 - b1330 + b1332 >= 0 e15597: b1329 - b1330 + b1333 >= 0 e15598: b1330 - b1331 + b1332 >= 0 e15599: b1330 - b1331 + b1333 >= 0 e15600: b1330 - b1331 + b1334 >= 0 e15601: b1331 - b1332 + b1333 >= 0 e15602: b1331 - b1332 + b1334 >= 0 e15603: b1331 - b1332 + b1335 >= 0 e15604: b1332 - b1333 + b1334 >= 0 e15605: b1332 - b1333 + b1335 >= 0 e15606: b1332 - b1333 + b1336 >= 0 e15607: b1333 - b1334 + b1335 >= 0 e15608: b1333 - b1334 + b1336 >= 0 e15609: b1333 - b1334 + b1337 >= 0 e15610: b1334 - b1335 + b1336 >= 0 e15611: b1334 - b1335 + b1337 >= 0 e15612: b1334 - b1335 + b1338 >= 0 e15613: b1335 - b1336 + b1337 >= 0 e15614: b1335 - b1336 + b1338 >= 0 e15615: b1335 - b1336 + b1339 >= 0 e15616: b1336 - b1337 + b1338 >= 0 e15617: b1336 - b1337 + b1339 >= 0 e15618: b1336 - b1337 + b1340 >= 0 e15619: b1337 - b1338 + b1339 >= 0 e15620: b1337 - b1338 + b1340 >= 0 e15621: b1337 - b1338 + b1341 >= 0 e15622: b1338 - b1339 + b1340 >= 0 e15623: b1338 - b1339 + b1341 >= 0 e15624: b1338 - b1339 + b1342 >= 0 e15625: b1339 - b1340 + b1341 >= 0 e15626: b1339 - b1340 + b1342 >= 0 e15627: b1339 - b1340 + b1343 >= 0 e15628: b1340 - b1341 + b1342 >= 0 e15629: b1340 - b1341 + b1343 >= 0 e15630: b1340 - b1341 + b1344 >= 0 e15631: b1341 - b1342 + b1343 >= 0 e15632: b1341 - b1342 + b1344 >= 0 e15633: b1341 - b1342 + b1345 >= 0 e15634: b1342 - b1343 + b1344 >= 0 e15635: b1342 - b1343 + b1345 >= 0 e15636: b1343 - b1344 + b1345 >= 0 e15637: b1346 = 0 e15638: b1347 = 0 e15639: b1347 - b1348 + b1349 <= 1 e15640: b1347 - b1348 + b1350 <= 1 e15641: b1348 - b1349 + b1350 <= 1 e15642: b1348 - b1349 + b1351 <= 1 e15643: b1349 - b1350 + b1351 <= 1 e15644: b1349 - b1350 + b1352 <= 1 e15645: b1350 - b1351 + b1352 <= 1 e15646: b1350 - b1351 + b1353 <= 1 e15647: b1351 - b1352 + b1353 <= 1 e15648: b1351 - b1352 + b1354 <= 1 e15649: b1352 - b1353 + b1354 <= 1 e15650: b1352 - b1353 + b1355 <= 1 e15651: b1353 - b1354 + b1355 <= 1 e15652: b1353 - b1354 + b1356 <= 1 e15653: b1354 - b1355 + b1356 <= 1 e15654: b1354 - b1355 + b1357 <= 1 e15655: b1355 - b1356 + b1357 <= 1 e15656: b1355 - b1356 + b1358 <= 1 e15657: b1356 - b1357 + b1358 <= 1 e15658: b1356 - b1357 + b1359 <= 1 e15659: b1357 - b1358 + b1359 <= 1 e15660: b1357 - b1358 + b1360 <= 1 e15661: b1358 - b1359 + b1360 <= 1 e15662: b1358 - b1359 + b1361 <= 1 e15663: b1359 - b1360 + b1361 <= 1 e15664: b1359 - b1360 + b1362 <= 1 e15665: b1360 - b1361 + b1362 <= 1 e15666: b1360 - b1361 + b1363 <= 1 e15667: b1361 - b1362 + b1363 <= 1 e15668: b1361 - b1362 + b1364 <= 1 e15669: b1362 - b1363 + b1364 <= 1 e15670: b1362 - b1363 + b1365 <= 1 e15671: b1363 - b1364 + b1365 <= 1 e15672: b1363 - b1364 + b1366 <= 1 e15673: b1364 - b1365 + b1366 <= 1 e15674: b1364 - b1365 + b1367 <= 1 e15675: b1365 - b1366 + b1367 <= 1 e15676: b1365 - b1366 + b1368 <= 1 e15677: b1366 - b1367 + b1368 <= 1 e15678: b1366 - b1367 + b1369 <= 1 e15679: b1367 - b1368 + b1369 <= 1 e15680: b1347 - b1348 + b1349 >= 0 e15681: b1347 - b1348 + b1350 >= 0 e15682: b1347 - b1348 + b1351 >= 0 e15683: b1348 - b1349 + b1350 >= 0 e15684: b1348 - b1349 + b1351 >= 0 e15685: b1348 - b1349 + b1352 >= 0 e15686: b1349 - b1350 + b1351 >= 0 e15687: b1349 - b1350 + b1352 >= 0 e15688: b1349 - b1350 + b1353 >= 0 e15689: b1350 - b1351 + b1352 >= 0 e15690: b1350 - b1351 + b1353 >= 0 e15691: b1350 - b1351 + b1354 >= 0 e15692: b1351 - b1352 + b1353 >= 0 e15693: b1351 - b1352 + b1354 >= 0 e15694: b1351 - b1352 + b1355 >= 0 e15695: b1352 - b1353 + b1354 >= 0 e15696: b1352 - b1353 + b1355 >= 0 e15697: b1352 - b1353 + b1356 >= 0 e15698: b1353 - b1354 + b1355 >= 0 e15699: b1353 - b1354 + b1356 >= 0 e15700: b1353 - b1354 + b1357 >= 0 e15701: b1354 - b1355 + b1356 >= 0 e15702: b1354 - b1355 + b1357 >= 0 e15703: b1354 - b1355 + b1358 >= 0 e15704: b1355 - b1356 + b1357 >= 0 e15705: b1355 - b1356 + b1358 >= 0 e15706: b1355 - b1356 + b1359 >= 0 e15707: b1356 - b1357 + b1358 >= 0 e15708: b1356 - b1357 + b1359 >= 0 e15709: b1356 - b1357 + b1360 >= 0 e15710: b1357 - b1358 + b1359 >= 0 e15711: b1357 - b1358 + b1360 >= 0 e15712: b1357 - b1358 + b1361 >= 0 e15713: b1358 - b1359 + b1360 >= 0 e15714: b1358 - b1359 + b1361 >= 0 e15715: b1358 - b1359 + b1362 >= 0 e15716: b1359 - b1360 + b1361 >= 0 e15717: b1359 - b1360 + b1362 >= 0 e15718: b1359 - b1360 + b1363 >= 0 e15719: b1360 - b1361 + b1362 >= 0 e15720: b1360 - b1361 + b1363 >= 0 e15721: b1360 - b1361 + b1364 >= 0 e15722: b1361 - b1362 + b1363 >= 0 e15723: b1361 - b1362 + b1364 >= 0 e15724: b1361 - b1362 + b1365 >= 0 e15725: b1362 - b1363 + b1364 >= 0 e15726: b1362 - b1363 + b1365 >= 0 e15727: b1362 - b1363 + b1366 >= 0 e15728: b1363 - b1364 + b1365 >= 0 e15729: b1363 - b1364 + b1366 >= 0 e15730: b1363 - b1364 + b1367 >= 0 e15731: b1364 - b1365 + b1366 >= 0 e15732: b1364 - b1365 + b1367 >= 0 e15733: b1364 - b1365 + b1368 >= 0 e15734: b1365 - b1366 + b1367 >= 0 e15735: b1365 - b1366 + b1368 >= 0 e15736: b1365 - b1366 + b1369 >= 0 e15737: b1366 - b1367 + b1368 >= 0 e15738: b1366 - b1367 + b1369 >= 0 e15739: b1367 - b1368 + b1369 >= 0 e15740: - b1370 + b1371 + x19237 <= 1 e15741: - b1370 + b1372 + x19237 <= 1 e15742: b1370 - b1371 + b1372 <= 1 e15743: b1370 - b1371 + b1373 <= 1 e15744: b1371 - b1372 + b1373 <= 1 e15745: b1371 - b1372 + b1374 <= 1 e15746: b1372 - b1373 + b1374 <= 1 e15747: b1372 - b1373 + b1375 <= 1 e15748: b1373 - b1374 + b1375 <= 1 e15749: b1373 - b1374 + b1376 <= 1 e15750: b1374 - b1375 + b1376 <= 1 e15751: b1374 - b1375 + b1377 <= 1 e15752: b1375 - b1376 + b1377 <= 1 e15753: b1375 - b1376 + b1378 <= 1 e15754: b1376 - b1377 + b1378 <= 1 e15755: b1376 - b1377 + b1379 <= 1 e15756: b1377 - b1378 + b1379 <= 1 e15757: b1377 - b1378 + b1380 <= 1 e15758: b1378 - b1379 + b1380 <= 1 e15759: b1378 - b1379 + b1381 <= 1 e15760: b1379 - b1380 + b1381 <= 1 e15761: b1379 - b1380 + b1382 <= 1 e15762: b1380 - b1381 + b1382 <= 1 e15763: b1380 - b1381 + b1383 <= 1 e15764: b1381 - b1382 + b1383 <= 1 e15765: b1381 - b1382 + b1384 <= 1 e15766: b1382 - b1383 + b1384 <= 1 e15767: b1382 - b1383 + b1385 <= 1 e15768: b1383 - b1384 + b1385 <= 1 e15769: b1383 - b1384 + b1386 <= 1 e15770: b1384 - b1385 + b1386 <= 1 e15771: b1384 - b1385 + b1387 <= 1 e15772: b1385 - b1386 + b1387 <= 1 e15773: b1385 - b1386 + b1388 <= 1 e15774: b1386 - b1387 + b1388 <= 1 e15775: b1386 - b1387 + b1389 <= 1 e15776: b1387 - b1388 + b1389 <= 1 e15777: b1387 - b1388 + b1390 <= 1 e15778: b1388 - b1389 + b1390 <= 1 e15779: b1388 - b1389 + b1391 <= 1 e15780: b1389 - b1390 + b1391 <= 1 e15781: b1389 - b1390 + b1392 <= 1 e15782: b1390 - b1391 + b1392 <= 1 e15783: b1390 - b1391 + b1393 <= 1 e15784: b1391 - b1392 + b1393 <= 1 e15785: - b1370 + b1371 + x19237 >= 0 e15786: - b1370 + b1372 + x19237 >= 0 e15787: b1370 - b1371 + b1372 >= 0 e15788: b1370 - b1371 + b1373 >= 0 e15789: b1371 - b1372 + b1373 >= 0 e15790: b1371 - b1372 + b1374 >= 0 e15791: b1372 - b1373 + b1374 >= 0 e15792: b1372 - b1373 + b1375 >= 0 e15793: b1373 - b1374 + b1375 >= 0 e15794: b1373 - b1374 + b1376 >= 0 e15795: b1374 - b1375 + b1376 >= 0 e15796: b1374 - b1375 + b1377 >= 0 e15797: b1375 - b1376 + b1377 >= 0 e15798: b1375 - b1376 + b1378 >= 0 e15799: b1376 - b1377 + b1378 >= 0 e15800: b1376 - b1377 + b1379 >= 0 e15801: b1377 - b1378 + b1379 >= 0 e15802: b1377 - b1378 + b1380 >= 0 e15803: b1378 - b1379 + b1380 >= 0 e15804: b1378 - b1379 + b1381 >= 0 e15805: b1379 - b1380 + b1381 >= 0 e15806: b1379 - b1380 + b1382 >= 0 e15807: b1380 - b1381 + b1382 >= 0 e15808: b1380 - b1381 + b1383 >= 0 e15809: b1381 - b1382 + b1383 >= 0 e15810: b1381 - b1382 + b1384 >= 0 e15811: b1382 - b1383 + b1384 >= 0 e15812: b1382 - b1383 + b1385 >= 0 e15813: b1383 - b1384 + b1385 >= 0 e15814: b1383 - b1384 + b1386 >= 0 e15815: b1384 - b1385 + b1386 >= 0 e15816: b1384 - b1385 + b1387 >= 0 e15817: b1385 - b1386 + b1387 >= 0 e15818: b1385 - b1386 + b1388 >= 0 e15819: b1386 - b1387 + b1388 >= 0 e15820: b1386 - b1387 + b1389 >= 0 e15821: b1387 - b1388 + b1389 >= 0 e15822: b1387 - b1388 + b1390 >= 0 e15823: b1388 - b1389 + b1390 >= 0 e15824: b1388 - b1389 + b1391 >= 0 e15825: b1389 - b1390 + b1391 >= 0 e15826: b1389 - b1390 + b1392 >= 0 e15827: b1390 - b1391 + b1392 >= 0 e15828: b1390 - b1391 + b1393 >= 0 e15829: b1391 - b1392 + b1393 >= 0 e15830: - b1394 + b1395 + x19238 <= 1 e15831: - b1394 + b1396 + x19238 <= 1 e15832: - b1394 + b1397 + x19238 <= 1 e15833: b1394 - b1395 + b1396 <= 1 e15834: b1394 - b1395 + b1397 <= 1 e15835: b1394 - b1395 + b1398 <= 1 e15836: b1395 - b1396 + b1397 <= 1 e15837: b1395 - b1396 + b1398 <= 1 e15838: b1395 - b1396 + b1399 <= 1 e15839: b1396 - b1397 + b1398 <= 1 e15840: b1396 - b1397 + b1399 <= 1 e15841: b1396 - b1397 + b1400 <= 1 e15842: b1397 - b1398 + b1399 <= 1 e15843: b1397 - b1398 + b1400 <= 1 e15844: b1397 - b1398 + b1401 <= 1 e15845: b1398 - b1399 + b1400 <= 1 e15846: b1398 - b1399 + b1401 <= 1 e15847: b1398 - b1399 + b1402 <= 1 e15848: b1399 - b1400 + b1401 <= 1 e15849: b1399 - b1400 + b1402 <= 1 e15850: b1399 - b1400 + b1403 <= 1 e15851: b1400 - b1401 + b1402 <= 1 e15852: b1400 - b1401 + b1403 <= 1 e15853: b1400 - b1401 + b1404 <= 1 e15854: b1401 - b1402 + b1403 <= 1 e15855: b1401 - b1402 + b1404 <= 1 e15856: b1401 - b1402 + b1405 <= 1 e15857: b1402 - b1403 + b1404 <= 1 e15858: b1402 - b1403 + b1405 <= 1 e15859: b1402 - b1403 + b1406 <= 1 e15860: b1403 - b1404 + b1405 <= 1 e15861: b1403 - b1404 + b1406 <= 1 e15862: b1403 - b1404 + b1407 <= 1 e15863: b1404 - b1405 + b1406 <= 1 e15864: b1404 - b1405 + b1407 <= 1 e15865: b1404 - b1405 + b1408 <= 1 e15866: b1405 - b1406 + b1407 <= 1 e15867: b1405 - b1406 + b1408 <= 1 e15868: b1405 - b1406 + b1409 <= 1 e15869: b1406 - b1407 + b1408 <= 1 e15870: b1406 - b1407 + b1409 <= 1 e15871: b1406 - b1407 + b1410 <= 1 e15872: b1407 - b1408 + b1409 <= 1 e15873: b1407 - b1408 + b1410 <= 1 e15874: b1407 - b1408 + b1411 <= 1 e15875: b1408 - b1409 + b1410 <= 1 e15876: b1408 - b1409 + b1411 <= 1 e15877: b1408 - b1409 + b1412 <= 1 e15878: b1409 - b1410 + b1411 <= 1 e15879: b1409 - b1410 + b1412 <= 1 e15880: b1409 - b1410 + b1413 <= 1 e15881: b1410 - b1411 + b1412 <= 1 e15882: b1410 - b1411 + b1413 <= 1 e15883: b1410 - b1411 + b1414 <= 1 e15884: b1411 - b1412 + b1413 <= 1 e15885: b1411 - b1412 + b1414 <= 1 e15886: b1411 - b1412 + b1415 <= 1 e15887: b1412 - b1413 + b1414 <= 1 e15888: b1412 - b1413 + b1415 <= 1 e15889: b1412 - b1413 + b1416 <= 1 e15890: b1413 - b1414 + b1415 <= 1 e15891: b1413 - b1414 + b1416 <= 1 e15892: b1413 - b1414 + b1417 <= 1 e15893: b1414 - b1415 + b1416 <= 1 e15894: b1414 - b1415 + b1417 <= 1 e15895: b1415 - b1416 + b1417 <= 1 e15896: - b1394 + b1395 + x19238 >= 0 e15897: - b1394 + b1396 + x19238 >= 0 e15898: b1394 - b1395 + b1396 >= 0 e15899: b1394 - b1395 + b1397 >= 0 e15900: b1395 - b1396 + b1397 >= 0 e15901: b1395 - b1396 + b1398 >= 0 e15902: b1396 - b1397 + b1398 >= 0 e15903: b1396 - b1397 + b1399 >= 0 e15904: b1397 - b1398 + b1399 >= 0 e15905: b1397 - b1398 + b1400 >= 0 e15906: b1398 - b1399 + b1400 >= 0 e15907: b1398 - b1399 + b1401 >= 0 e15908: b1399 - b1400 + b1401 >= 0 e15909: b1399 - b1400 + b1402 >= 0 e15910: b1400 - b1401 + b1402 >= 0 e15911: b1400 - b1401 + b1403 >= 0 e15912: b1401 - b1402 + b1403 >= 0 e15913: b1401 - b1402 + b1404 >= 0 e15914: b1402 - b1403 + b1404 >= 0 e15915: b1402 - b1403 + b1405 >= 0 e15916: b1403 - b1404 + b1405 >= 0 e15917: b1403 - b1404 + b1406 >= 0 e15918: b1404 - b1405 + b1406 >= 0 e15919: b1404 - b1405 + b1407 >= 0 e15920: b1405 - b1406 + b1407 >= 0 e15921: b1405 - b1406 + b1408 >= 0 e15922: b1406 - b1407 + b1408 >= 0 e15923: b1406 - b1407 + b1409 >= 0 e15924: b1407 - b1408 + b1409 >= 0 e15925: b1407 - b1408 + b1410 >= 0 e15926: b1408 - b1409 + b1410 >= 0 e15927: b1408 - b1409 + b1411 >= 0 e15928: b1409 - b1410 + b1411 >= 0 e15929: b1409 - b1410 + b1412 >= 0 e15930: b1410 - b1411 + b1412 >= 0 e15931: b1410 - b1411 + b1413 >= 0 e15932: b1411 - b1412 + b1413 >= 0 e15933: b1411 - b1412 + b1414 >= 0 e15934: b1412 - b1413 + b1414 >= 0 e15935: b1412 - b1413 + b1415 >= 0 e15936: b1413 - b1414 + b1415 >= 0 e15937: b1413 - b1414 + b1416 >= 0 e15938: b1414 - b1415 + b1416 >= 0 e15939: b1414 - b1415 + b1417 >= 0 e15940: b1415 - b1416 + b1417 >= 0 e15941: - b1418 + b1419 + x19239 <= 1 e15942: - b1418 + b1420 + x19239 <= 1 e15943: b1418 - b1419 + b1420 <= 1 e15944: b1418 - b1419 + b1421 <= 1 e15945: b1419 - b1420 + b1421 <= 1 e15946: b1419 - b1420 + b1422 <= 1 e15947: b1420 - b1421 + b1422 <= 1 e15948: b1420 - b1421 + b1423 <= 1 e15949: b1421 - b1422 + b1423 <= 1 e15950: b1421 - b1422 + b1424 <= 1 e15951: b1422 - b1423 + b1424 <= 1 e15952: b1422 - b1423 + b1425 <= 1 e15953: b1423 - b1424 + b1425 <= 1 e15954: b1423 - b1424 + b1426 <= 1 e15955: b1424 - b1425 + b1426 <= 1 e15956: b1424 - b1425 + b1427 <= 1 e15957: b1425 - b1426 + b1427 <= 1 e15958: b1425 - b1426 + b1428 <= 1 e15959: b1426 - b1427 + b1428 <= 1 e15960: b1426 - b1427 + b1429 <= 1 e15961: b1427 - b1428 + b1429 <= 1 e15962: b1427 - b1428 + b1430 <= 1 e15963: b1428 - b1429 + b1430 <= 1 e15964: b1428 - b1429 + b1431 <= 1 e15965: b1429 - b1430 + b1431 <= 1 e15966: b1429 - b1430 + b1432 <= 1 e15967: b1430 - b1431 + b1432 <= 1 e15968: b1430 - b1431 + b1433 <= 1 e15969: b1431 - b1432 + b1433 <= 1 e15970: b1431 - b1432 + b1434 <= 1 e15971: b1432 - b1433 + b1434 <= 1 e15972: b1432 - b1433 + b1435 <= 1 e15973: b1433 - b1434 + b1435 <= 1 e15974: b1433 - b1434 + b1436 <= 1 e15975: b1434 - b1435 + b1436 <= 1 e15976: b1434 - b1435 + b1437 <= 1 e15977: b1435 - b1436 + b1437 <= 1 e15978: b1435 - b1436 + b1438 <= 1 e15979: b1436 - b1437 + b1438 <= 1 e15980: b1436 - b1437 + b1439 <= 1 e15981: b1437 - b1438 + b1439 <= 1 e15982: b1437 - b1438 + b1440 <= 1 e15983: b1438 - b1439 + b1440 <= 1 e15984: b1438 - b1439 + b1441 <= 1 e15985: b1439 - b1440 + b1441 <= 1 e15986: - b1418 + b1419 + x19239 >= 0 e15987: - b1418 + b1420 + x19239 >= 0 e15988: b1418 - b1419 + b1420 >= 0 e15989: b1418 - b1419 + b1421 >= 0 e15990: b1419 - b1420 + b1421 >= 0 e15991: b1419 - b1420 + b1422 >= 0 e15992: b1420 - b1421 + b1422 >= 0 e15993: b1420 - b1421 + b1423 >= 0 e15994: b1421 - b1422 + b1423 >= 0 e15995: b1421 - b1422 + b1424 >= 0 e15996: b1422 - b1423 + b1424 >= 0 e15997: b1422 - b1423 + b1425 >= 0 e15998: b1423 - b1424 + b1425 >= 0 e15999: b1423 - b1424 + b1426 >= 0 e16000: b1424 - b1425 + b1426 >= 0 e16001: b1424 - b1425 + b1427 >= 0 e16002: b1425 - b1426 + b1427 >= 0 e16003: b1425 - b1426 + b1428 >= 0 e16004: b1426 - b1427 + b1428 >= 0 e16005: b1426 - b1427 + b1429 >= 0 e16006: b1427 - b1428 + b1429 >= 0 e16007: b1427 - b1428 + b1430 >= 0 e16008: b1428 - b1429 + b1430 >= 0 e16009: b1428 - b1429 + b1431 >= 0 e16010: b1429 - b1430 + b1431 >= 0 e16011: b1429 - b1430 + b1432 >= 0 e16012: b1430 - b1431 + b1432 >= 0 e16013: b1430 - b1431 + b1433 >= 0 e16014: b1431 - b1432 + b1433 >= 0 e16015: b1431 - b1432 + b1434 >= 0 e16016: b1432 - b1433 + b1434 >= 0 e16017: b1432 - b1433 + b1435 >= 0 e16018: b1433 - b1434 + b1435 >= 0 e16019: b1433 - b1434 + b1436 >= 0 e16020: b1434 - b1435 + b1436 >= 0 e16021: b1434 - b1435 + b1437 >= 0 e16022: b1435 - b1436 + b1437 >= 0 e16023: b1435 - b1436 + b1438 >= 0 e16024: b1436 - b1437 + b1438 >= 0 e16025: b1436 - b1437 + b1439 >= 0 e16026: b1437 - b1438 + b1439 >= 0 e16027: b1437 - b1438 + b1440 >= 0 e16028: b1438 - b1439 + b1440 >= 0 e16029: b1438 - b1439 + b1441 >= 0 e16030: b1439 - b1440 + b1441 >= 0 e16031: b1442 = 0 e16032: b1442 - b1443 + b1444 <= 1 e16033: b1442 - b1443 + b1445 <= 1 e16034: b1442 - b1443 + b1446 <= 1 e16035: b1443 - b1444 + b1445 <= 1 e16036: b1443 - b1444 + b1446 <= 1 e16037: b1443 - b1444 + b1447 <= 1 e16038: b1444 - b1445 + b1446 <= 1 e16039: b1444 - b1445 + b1447 <= 1 e16040: b1444 - b1445 + b1448 <= 1 e16041: b1445 - b1446 + b1447 <= 1 e16042: b1445 - b1446 + b1448 <= 1 e16043: b1445 - b1446 + b1449 <= 1 e16044: b1446 - b1447 + b1448 <= 1 e16045: b1446 - b1447 + b1449 <= 1 e16046: b1446 - b1447 + b1450 <= 1 e16047: b1447 - b1448 + b1449 <= 1 e16048: b1447 - b1448 + b1450 <= 1 e16049: b1447 - b1448 + b1451 <= 1 e16050: b1448 - b1449 + b1450 <= 1 e16051: b1448 - b1449 + b1451 <= 1 e16052: b1448 - b1449 + b1452 <= 1 e16053: b1449 - b1450 + b1451 <= 1 e16054: b1449 - b1450 + b1452 <= 1 e16055: b1449 - b1450 + b1453 <= 1 e16056: b1450 - b1451 + b1452 <= 1 e16057: b1450 - b1451 + b1453 <= 1 e16058: b1450 - b1451 + b1454 <= 1 e16059: b1451 - b1452 + b1453 <= 1 e16060: b1451 - b1452 + b1454 <= 1 e16061: b1451 - b1452 + b1455 <= 1 e16062: b1452 - b1453 + b1454 <= 1 e16063: b1452 - b1453 + b1455 <= 1 e16064: b1452 - b1453 + b1456 <= 1 e16065: b1453 - b1454 + b1455 <= 1 e16066: b1453 - b1454 + b1456 <= 1 e16067: b1453 - b1454 + b1457 <= 1 e16068: b1454 - b1455 + b1456 <= 1 e16069: b1454 - b1455 + b1457 <= 1 e16070: b1454 - b1455 + b1458 <= 1 e16071: b1455 - b1456 + b1457 <= 1 e16072: b1455 - b1456 + b1458 <= 1 e16073: b1455 - b1456 + b1459 <= 1 e16074: b1456 - b1457 + b1458 <= 1 e16075: b1456 - b1457 + b1459 <= 1 e16076: b1456 - b1457 + b1460 <= 1 e16077: b1457 - b1458 + b1459 <= 1 e16078: b1457 - b1458 + b1460 <= 1 e16079: b1457 - b1458 + b1461 <= 1 e16080: b1458 - b1459 + b1460 <= 1 e16081: b1458 - b1459 + b1461 <= 1 e16082: b1458 - b1459 + b1462 <= 1 e16083: b1459 - b1460 + b1461 <= 1 e16084: b1459 - b1460 + b1462 <= 1 e16085: b1459 - b1460 + b1463 <= 1 e16086: b1460 - b1461 + b1462 <= 1 e16087: b1460 - b1461 + b1463 <= 1 e16088: b1460 - b1461 + b1464 <= 1 e16089: b1461 - b1462 + b1463 <= 1 e16090: b1461 - b1462 + b1464 <= 1 e16091: b1461 - b1462 + b1465 <= 1 e16092: b1462 - b1463 + b1464 <= 1 e16093: b1462 - b1463 + b1465 <= 1 e16094: b1463 - b1464 + b1465 <= 1 e16095: b1442 - b1443 + b1444 >= 0 e16096: b1442 - b1443 + b1445 >= 0 e16097: b1443 - b1444 + b1445 >= 0 e16098: b1443 - b1444 + b1446 >= 0 e16099: b1444 - b1445 + b1446 >= 0 e16100: b1444 - b1445 + b1447 >= 0 e16101: b1445 - b1446 + b1447 >= 0 e16102: b1445 - b1446 + b1448 >= 0 e16103: b1446 - b1447 + b1448 >= 0 e16104: b1446 - b1447 + b1449 >= 0 e16105: b1447 - b1448 + b1449 >= 0 e16106: b1447 - b1448 + b1450 >= 0 e16107: b1448 - b1449 + b1450 >= 0 e16108: b1448 - b1449 + b1451 >= 0 e16109: b1449 - b1450 + b1451 >= 0 e16110: b1449 - b1450 + b1452 >= 0 e16111: b1450 - b1451 + b1452 >= 0 e16112: b1450 - b1451 + b1453 >= 0 e16113: b1451 - b1452 + b1453 >= 0 e16114: b1451 - b1452 + b1454 >= 0 e16115: b1452 - b1453 + b1454 >= 0 e16116: b1452 - b1453 + b1455 >= 0 e16117: b1453 - b1454 + b1455 >= 0 e16118: b1453 - b1454 + b1456 >= 0 e16119: b1454 - b1455 + b1456 >= 0 e16120: b1454 - b1455 + b1457 >= 0 e16121: b1455 - b1456 + b1457 >= 0 e16122: b1455 - b1456 + b1458 >= 0 e16123: b1456 - b1457 + b1458 >= 0 e16124: b1456 - b1457 + b1459 >= 0 e16125: b1457 - b1458 + b1459 >= 0 e16126: b1457 - b1458 + b1460 >= 0 e16127: b1458 - b1459 + b1460 >= 0 e16128: b1458 - b1459 + b1461 >= 0 e16129: b1459 - b1460 + b1461 >= 0 e16130: b1459 - b1460 + b1462 >= 0 e16131: b1460 - b1461 + b1462 >= 0 e16132: b1460 - b1461 + b1463 >= 0 e16133: b1461 - b1462 + b1463 >= 0 e16134: b1461 - b1462 + b1464 >= 0 e16135: b1462 - b1463 + b1464 >= 0 e16136: b1462 - b1463 + b1465 >= 0 e16137: b1463 - b1464 + b1465 >= 0 e16138: - b1466 + b1467 + x19240 <= 1 e16139: - b1466 + b1468 + x19240 <= 1 e16140: - b1466 + b1469 + x19240 <= 1 e16141: b1466 - b1467 + b1468 <= 1 e16142: b1466 - b1467 + b1469 <= 1 e16143: b1466 - b1467 + b1470 <= 1 e16144: b1467 - b1468 + b1469 <= 1 e16145: b1467 - b1468 + b1470 <= 1 e16146: b1467 - b1468 + b1471 <= 1 e16147: b1468 - b1469 + b1470 <= 1 e16148: b1468 - b1469 + b1471 <= 1 e16149: b1468 - b1469 + b1472 <= 1 e16150: b1469 - b1470 + b1471 <= 1 e16151: b1469 - b1470 + b1472 <= 1 e16152: b1469 - b1470 + b1473 <= 1 e16153: b1470 - b1471 + b1472 <= 1 e16154: b1470 - b1471 + b1473 <= 1 e16155: b1470 - b1471 + b1474 <= 1 e16156: b1471 - b1472 + b1473 <= 1 e16157: b1471 - b1472 + b1474 <= 1 e16158: b1471 - b1472 + b1475 <= 1 e16159: b1472 - b1473 + b1474 <= 1 e16160: b1472 - b1473 + b1475 <= 1 e16161: b1472 - b1473 + b1476 <= 1 e16162: b1473 - b1474 + b1475 <= 1 e16163: b1473 - b1474 + b1476 <= 1 e16164: b1473 - b1474 + b1477 <= 1 e16165: b1474 - b1475 + b1476 <= 1 e16166: b1474 - b1475 + b1477 <= 1 e16167: b1474 - b1475 + b1478 <= 1 e16168: b1475 - b1476 + b1477 <= 1 e16169: b1475 - b1476 + b1478 <= 1 e16170: b1475 - b1476 + b1479 <= 1 e16171: b1476 - b1477 + b1478 <= 1 e16172: b1476 - b1477 + b1479 <= 1 e16173: b1476 - b1477 + b1480 <= 1 e16174: b1477 - b1478 + b1479 <= 1 e16175: b1477 - b1478 + b1480 <= 1 e16176: b1477 - b1478 + b1481 <= 1 e16177: b1478 - b1479 + b1480 <= 1 e16178: b1478 - b1479 + b1481 <= 1 e16179: b1478 - b1479 + b1482 <= 1 e16180: b1479 - b1480 + b1481 <= 1 e16181: b1479 - b1480 + b1482 <= 1 e16182: b1479 - b1480 + b1483 <= 1 e16183: b1480 - b1481 + b1482 <= 1 e16184: b1480 - b1481 + b1483 <= 1 e16185: b1480 - b1481 + b1484 <= 1 e16186: b1481 - b1482 + b1483 <= 1 e16187: b1481 - b1482 + b1484 <= 1 e16188: b1481 - b1482 + b1485 <= 1 e16189: b1482 - b1483 + b1484 <= 1 e16190: b1482 - b1483 + b1485 <= 1 e16191: b1482 - b1483 + b1486 <= 1 e16192: b1483 - b1484 + b1485 <= 1 e16193: b1483 - b1484 + b1486 <= 1 e16194: b1483 - b1484 + b1487 <= 1 e16195: b1484 - b1485 + b1486 <= 1 e16196: b1484 - b1485 + b1487 <= 1 e16197: b1484 - b1485 + b1488 <= 1 e16198: b1485 - b1486 + b1487 <= 1 e16199: b1485 - b1486 + b1488 <= 1 e16200: b1485 - b1486 + b1489 <= 1 e16201: b1486 - b1487 + b1488 <= 1 e16202: b1486 - b1487 + b1489 <= 1 e16203: b1487 - b1488 + b1489 <= 1 e16204: - b1466 + b1467 + x19240 >= 0 e16205: - b1466 + b1468 + x19240 >= 0 e16206: b1466 - b1467 + b1468 >= 0 e16207: b1466 - b1467 + b1469 >= 0 e16208: b1467 - b1468 + b1469 >= 0 e16209: b1467 - b1468 + b1470 >= 0 e16210: b1468 - b1469 + b1470 >= 0 e16211: b1468 - b1469 + b1471 >= 0 e16212: b1469 - b1470 + b1471 >= 0 e16213: b1469 - b1470 + b1472 >= 0 e16214: b1470 - b1471 + b1472 >= 0 e16215: b1470 - b1471 + b1473 >= 0 e16216: b1471 - b1472 + b1473 >= 0 e16217: b1471 - b1472 + b1474 >= 0 e16218: b1472 - b1473 + b1474 >= 0 e16219: b1472 - b1473 + b1475 >= 0 e16220: b1473 - b1474 + b1475 >= 0 e16221: b1473 - b1474 + b1476 >= 0 e16222: b1474 - b1475 + b1476 >= 0 e16223: b1474 - b1475 + b1477 >= 0 e16224: b1475 - b1476 + b1477 >= 0 e16225: b1475 - b1476 + b1478 >= 0 e16226: b1476 - b1477 + b1478 >= 0 e16227: b1476 - b1477 + b1479 >= 0 e16228: b1477 - b1478 + b1479 >= 0 e16229: b1477 - b1478 + b1480 >= 0 e16230: b1478 - b1479 + b1480 >= 0 e16231: b1478 - b1479 + b1481 >= 0 e16232: b1479 - b1480 + b1481 >= 0 e16233: b1479 - b1480 + b1482 >= 0 e16234: b1480 - b1481 + b1482 >= 0 e16235: b1480 - b1481 + b1483 >= 0 e16236: b1481 - b1482 + b1483 >= 0 e16237: b1481 - b1482 + b1484 >= 0 e16238: b1482 - b1483 + b1484 >= 0 e16239: b1482 - b1483 + b1485 >= 0 e16240: b1483 - b1484 + b1485 >= 0 e16241: b1483 - b1484 + b1486 >= 0 e16242: b1484 - b1485 + b1486 >= 0 e16243: b1484 - b1485 + b1487 >= 0 e16244: b1485 - b1486 + b1487 >= 0 e16245: b1485 - b1486 + b1488 >= 0 e16246: b1486 - b1487 + b1488 >= 0 e16247: b1486 - b1487 + b1489 >= 0 e16248: b1487 - b1488 + b1489 >= 0 e16249: - b1490 + b1491 + x19241 <= 1 e16250: - b1490 + b1492 + x19241 <= 1 e16251: b1490 - b1491 + b1492 <= 1 e16252: b1490 - b1491 + b1493 <= 1 e16253: b1491 - b1492 + b1493 <= 1 e16254: b1491 - b1492 + b1494 <= 1 e16255: b1492 - b1493 + b1494 <= 1 e16256: b1492 - b1493 + b1495 <= 1 e16257: b1493 - b1494 + b1495 <= 1 e16258: b1493 - b1494 + b1496 <= 1 e16259: b1494 - b1495 + b1496 <= 1 e16260: b1494 - b1495 + b1497 <= 1 e16261: b1495 - b1496 + b1497 <= 1 e16262: b1495 - b1496 + b1498 <= 1 e16263: b1496 - b1497 + b1498 <= 1 e16264: b1496 - b1497 + b1499 <= 1 e16265: b1497 - b1498 + b1499 <= 1 e16266: b1497 - b1498 + b1500 <= 1 e16267: b1498 - b1499 + b1500 <= 1 e16268: b1498 - b1499 + b1501 <= 1 e16269: b1499 - b1500 + b1501 <= 1 e16270: b1499 - b1500 + b1502 <= 1 e16271: b1500 - b1501 + b1502 <= 1 e16272: b1500 - b1501 + b1503 <= 1 e16273: b1501 - b1502 + b1503 <= 1 e16274: b1501 - b1502 + b1504 <= 1 e16275: b1502 - b1503 + b1504 <= 1 e16276: b1502 - b1503 + b1505 <= 1 e16277: b1503 - b1504 + b1505 <= 1 e16278: b1503 - b1504 + b1506 <= 1 e16279: b1504 - b1505 + b1506 <= 1 e16280: b1504 - b1505 + b1507 <= 1 e16281: b1505 - b1506 + b1507 <= 1 e16282: b1505 - b1506 + b1508 <= 1 e16283: b1506 - b1507 + b1508 <= 1 e16284: b1506 - b1507 + b1509 <= 1 e16285: b1507 - b1508 + b1509 <= 1 e16286: b1507 - b1508 + b1510 <= 1 e16287: b1508 - b1509 + b1510 <= 1 e16288: b1508 - b1509 + b1511 <= 1 e16289: b1509 - b1510 + b1511 <= 1 e16290: b1509 - b1510 + b1512 <= 1 e16291: b1510 - b1511 + b1512 <= 1 e16292: b1510 - b1511 + b1513 <= 1 e16293: b1511 - b1512 + b1513 <= 1 e16294: - b1490 + b1491 + x19241 >= 0 e16295: - b1490 + b1492 + x19241 >= 0 e16296: - b1490 + b1493 + x19241 >= 0 e16297: b1490 - b1491 + b1492 >= 0 e16298: b1490 - b1491 + b1493 >= 0 e16299: b1490 - b1491 + b1494 >= 0 e16300: b1491 - b1492 + b1493 >= 0 e16301: b1491 - b1492 + b1494 >= 0 e16302: b1491 - b1492 + b1495 >= 0 e16303: b1492 - b1493 + b1494 >= 0 e16304: b1492 - b1493 + b1495 >= 0 e16305: b1492 - b1493 + b1496 >= 0 e16306: b1493 - b1494 + b1495 >= 0 e16307: b1493 - b1494 + b1496 >= 0 e16308: b1493 - b1494 + b1497 >= 0 e16309: b1494 - b1495 + b1496 >= 0 e16310: b1494 - b1495 + b1497 >= 0 e16311: b1494 - b1495 + b1498 >= 0 e16312: b1495 - b1496 + b1497 >= 0 e16313: b1495 - b1496 + b1498 >= 0 e16314: b1495 - b1496 + b1499 >= 0 e16315: b1496 - b1497 + b1498 >= 0 e16316: b1496 - b1497 + b1499 >= 0 e16317: b1496 - b1497 + b1500 >= 0 e16318: b1497 - b1498 + b1499 >= 0 e16319: b1497 - b1498 + b1500 >= 0 e16320: b1497 - b1498 + b1501 >= 0 e16321: b1498 - b1499 + b1500 >= 0 e16322: b1498 - b1499 + b1501 >= 0 e16323: b1498 - b1499 + b1502 >= 0 e16324: b1499 - b1500 + b1501 >= 0 e16325: b1499 - b1500 + b1502 >= 0 e16326: b1499 - b1500 + b1503 >= 0 e16327: b1500 - b1501 + b1502 >= 0 e16328: b1500 - b1501 + b1503 >= 0 e16329: b1500 - b1501 + b1504 >= 0 e16330: b1501 - b1502 + b1503 >= 0 e16331: b1501 - b1502 + b1504 >= 0 e16332: b1501 - b1502 + b1505 >= 0 e16333: b1502 - b1503 + b1504 >= 0 e16334: b1502 - b1503 + b1505 >= 0 e16335: b1502 - b1503 + b1506 >= 0 e16336: b1503 - b1504 + b1505 >= 0 e16337: b1503 - b1504 + b1506 >= 0 e16338: b1503 - b1504 + b1507 >= 0 e16339: b1504 - b1505 + b1506 >= 0 e16340: b1504 - b1505 + b1507 >= 0 e16341: b1504 - b1505 + b1508 >= 0 e16342: b1505 - b1506 + b1507 >= 0 e16343: b1505 - b1506 + b1508 >= 0 e16344: b1505 - b1506 + b1509 >= 0 e16345: b1506 - b1507 + b1508 >= 0 e16346: b1506 - b1507 + b1509 >= 0 e16347: b1506 - b1507 + b1510 >= 0 e16348: b1507 - b1508 + b1509 >= 0 e16349: b1507 - b1508 + b1510 >= 0 e16350: b1507 - b1508 + b1511 >= 0 e16351: b1508 - b1509 + b1510 >= 0 e16352: b1508 - b1509 + b1511 >= 0 e16353: b1508 - b1509 + b1512 >= 0 e16354: b1509 - b1510 + b1511 >= 0 e16355: b1509 - b1510 + b1512 >= 0 e16356: b1509 - b1510 + b1513 >= 0 e16357: b1510 - b1511 + b1512 >= 0 e16358: b1510 - b1511 + b1513 >= 0 e16359: b1511 - b1512 + b1513 >= 0 e16360: - b1514 + b1515 + x19242 <= 1 e16361: - b1514 + b1516 + x19242 <= 1 e16362: b1514 - b1515 + b1516 <= 1 e16363: b1514 - b1515 + b1517 <= 1 e16364: b1515 - b1516 + b1517 <= 1 e16365: b1515 - b1516 + b1518 <= 1 e16366: b1516 - b1517 + b1518 <= 1 e16367: b1516 - b1517 + b1519 <= 1 e16368: b1517 - b1518 + b1519 <= 1 e16369: b1517 - b1518 + b1520 <= 1 e16370: b1518 - b1519 + b1520 <= 1 e16371: b1518 - b1519 + b1521 <= 1 e16372: b1519 - b1520 + b1521 <= 1 e16373: b1519 - b1520 + b1522 <= 1 e16374: b1520 - b1521 + b1522 <= 1 e16375: b1520 - b1521 + b1523 <= 1 e16376: b1521 - b1522 + b1523 <= 1 e16377: b1521 - b1522 + b1524 <= 1 e16378: b1522 - b1523 + b1524 <= 1 e16379: b1522 - b1523 + b1525 <= 1 e16380: b1523 - b1524 + b1525 <= 1 e16381: b1523 - b1524 + b1526 <= 1 e16382: b1524 - b1525 + b1526 <= 1 e16383: b1524 - b1525 + b1527 <= 1 e16384: b1525 - b1526 + b1527 <= 1 e16385: b1525 - b1526 + b1528 <= 1 e16386: b1526 - b1527 + b1528 <= 1 e16387: b1526 - b1527 + b1529 <= 1 e16388: b1527 - b1528 + b1529 <= 1 e16389: b1527 - b1528 + b1530 <= 1 e16390: b1528 - b1529 + b1530 <= 1 e16391: b1528 - b1529 + b1531 <= 1 e16392: b1529 - b1530 + b1531 <= 1 e16393: b1529 - b1530 + b1532 <= 1 e16394: b1530 - b1531 + b1532 <= 1 e16395: b1530 - b1531 + b1533 <= 1 e16396: b1531 - b1532 + b1533 <= 1 e16397: b1531 - b1532 + b1534 <= 1 e16398: b1532 - b1533 + b1534 <= 1 e16399: b1532 - b1533 + b1535 <= 1 e16400: b1533 - b1534 + b1535 <= 1 e16401: b1533 - b1534 + b1536 <= 1 e16402: b1534 - b1535 + b1536 <= 1 e16403: b1534 - b1535 + b1537 <= 1 e16404: b1535 - b1536 + b1537 <= 1 e16405: - b1514 + b1515 + x19242 >= 0 e16406: - b1514 + b1516 + x19242 >= 0 e16407: b1514 - b1515 + b1516 >= 0 e16408: b1514 - b1515 + b1517 >= 0 e16409: b1515 - b1516 + b1517 >= 0 e16410: b1515 - b1516 + b1518 >= 0 e16411: b1516 - b1517 + b1518 >= 0 e16412: b1516 - b1517 + b1519 >= 0 e16413: b1517 - b1518 + b1519 >= 0 e16414: b1517 - b1518 + b1520 >= 0 e16415: b1518 - b1519 + b1520 >= 0 e16416: b1518 - b1519 + b1521 >= 0 e16417: b1519 - b1520 + b1521 >= 0 e16418: b1519 - b1520 + b1522 >= 0 e16419: b1520 - b1521 + b1522 >= 0 e16420: b1520 - b1521 + b1523 >= 0 e16421: b1521 - b1522 + b1523 >= 0 e16422: b1521 - b1522 + b1524 >= 0 e16423: b1522 - b1523 + b1524 >= 0 e16424: b1522 - b1523 + b1525 >= 0 e16425: b1523 - b1524 + b1525 >= 0 e16426: b1523 - b1524 + b1526 >= 0 e16427: b1524 - b1525 + b1526 >= 0 e16428: b1524 - b1525 + b1527 >= 0 e16429: b1525 - b1526 + b1527 >= 0 e16430: b1525 - b1526 + b1528 >= 0 e16431: b1526 - b1527 + b1528 >= 0 e16432: b1526 - b1527 + b1529 >= 0 e16433: b1527 - b1528 + b1529 >= 0 e16434: b1527 - b1528 + b1530 >= 0 e16435: b1528 - b1529 + b1530 >= 0 e16436: b1528 - b1529 + b1531 >= 0 e16437: b1529 - b1530 + b1531 >= 0 e16438: b1529 - b1530 + b1532 >= 0 e16439: b1530 - b1531 + b1532 >= 0 e16440: b1530 - b1531 + b1533 >= 0 e16441: b1531 - b1532 + b1533 >= 0 e16442: b1531 - b1532 + b1534 >= 0 e16443: b1532 - b1533 + b1534 >= 0 e16444: b1532 - b1533 + b1535 >= 0 e16445: b1533 - b1534 + b1535 >= 0 e16446: b1533 - b1534 + b1536 >= 0 e16447: b1534 - b1535 + b1536 >= 0 e16448: b1534 - b1535 + b1537 >= 0 e16449: b1535 - b1536 + b1537 >= 0 e16450: b1538 = 1 e16451: b1538 - b1539 + b1540 <= 1 e16452: b1538 - b1539 + b1541 <= 1 e16453: b1539 - b1540 + b1541 <= 1 e16454: b1539 - b1540 + b1542 <= 1 e16455: b1540 - b1541 + b1542 <= 1 e16456: b1540 - b1541 + b1543 <= 1 e16457: b1541 - b1542 + b1543 <= 1 e16458: b1541 - b1542 + b1544 <= 1 e16459: b1542 - b1543 + b1544 <= 1 e16460: b1542 - b1543 + b1545 <= 1 e16461: b1543 - b1544 + b1545 <= 1 e16462: b1543 - b1544 + b1546 <= 1 e16463: b1544 - b1545 + b1546 <= 1 e16464: b1544 - b1545 + b1547 <= 1 e16465: b1545 - b1546 + b1547 <= 1 e16466: b1545 - b1546 + b1548 <= 1 e16467: b1546 - b1547 + b1548 <= 1 e16468: b1546 - b1547 + b1549 <= 1 e16469: b1547 - b1548 + b1549 <= 1 e16470: b1547 - b1548 + b1550 <= 1 e16471: b1548 - b1549 + b1550 <= 1 e16472: b1548 - b1549 + b1551 <= 1 e16473: b1549 - b1550 + b1551 <= 1 e16474: b1549 - b1550 + b1552 <= 1 e16475: b1550 - b1551 + b1552 <= 1 e16476: b1550 - b1551 + b1553 <= 1 e16477: b1551 - b1552 + b1553 <= 1 e16478: b1551 - b1552 + b1554 <= 1 e16479: b1552 - b1553 + b1554 <= 1 e16480: b1552 - b1553 + b1555 <= 1 e16481: b1553 - b1554 + b1555 <= 1 e16482: b1553 - b1554 + b1556 <= 1 e16483: b1554 - b1555 + b1556 <= 1 e16484: b1554 - b1555 + b1557 <= 1 e16485: b1555 - b1556 + b1557 <= 1 e16486: b1555 - b1556 + b1558 <= 1 e16487: b1556 - b1557 + b1558 <= 1 e16488: b1556 - b1557 + b1559 <= 1 e16489: b1557 - b1558 + b1559 <= 1 e16490: b1557 - b1558 + b1560 <= 1 e16491: b1558 - b1559 + b1560 <= 1 e16492: b1558 - b1559 + b1561 <= 1 e16493: b1559 - b1560 + b1561 <= 1 e16494: b1538 - b1539 + b1540 >= 0 e16495: b1538 - b1539 + b1541 >= 0 e16496: b1538 - b1539 + b1542 >= 0 e16497: b1539 - b1540 + b1541 >= 0 e16498: b1539 - b1540 + b1542 >= 0 e16499: b1539 - b1540 + b1543 >= 0 e16500: b1540 - b1541 + b1542 >= 0 e16501: b1540 - b1541 + b1543 >= 0 e16502: b1540 - b1541 + b1544 >= 0 e16503: b1541 - b1542 + b1543 >= 0 e16504: b1541 - b1542 + b1544 >= 0 e16505: b1541 - b1542 + b1545 >= 0 e16506: b1542 - b1543 + b1544 >= 0 e16507: b1542 - b1543 + b1545 >= 0 e16508: b1542 - b1543 + b1546 >= 0 e16509: b1543 - b1544 + b1545 >= 0 e16510: b1543 - b1544 + b1546 >= 0 e16511: b1543 - b1544 + b1547 >= 0 e16512: b1544 - b1545 + b1546 >= 0 e16513: b1544 - b1545 + b1547 >= 0 e16514: b1544 - b1545 + b1548 >= 0 e16515: b1545 - b1546 + b1547 >= 0 e16516: b1545 - b1546 + b1548 >= 0 e16517: b1545 - b1546 + b1549 >= 0 e16518: b1546 - b1547 + b1548 >= 0 e16519: b1546 - b1547 + b1549 >= 0 e16520: b1546 - b1547 + b1550 >= 0 e16521: b1547 - b1548 + b1549 >= 0 e16522: b1547 - b1548 + b1550 >= 0 e16523: b1547 - b1548 + b1551 >= 0 e16524: b1548 - b1549 + b1550 >= 0 e16525: b1548 - b1549 + b1551 >= 0 e16526: b1548 - b1549 + b1552 >= 0 e16527: b1549 - b1550 + b1551 >= 0 e16528: b1549 - b1550 + b1552 >= 0 e16529: b1549 - b1550 + b1553 >= 0 e16530: b1550 - b1551 + b1552 >= 0 e16531: b1550 - b1551 + b1553 >= 0 e16532: b1550 - b1551 + b1554 >= 0 e16533: b1551 - b1552 + b1553 >= 0 e16534: b1551 - b1552 + b1554 >= 0 e16535: b1551 - b1552 + b1555 >= 0 e16536: b1552 - b1553 + b1554 >= 0 e16537: b1552 - b1553 + b1555 >= 0 e16538: b1552 - b1553 + b1556 >= 0 e16539: b1553 - b1554 + b1555 >= 0 e16540: b1553 - b1554 + b1556 >= 0 e16541: b1553 - b1554 + b1557 >= 0 e16542: b1554 - b1555 + b1556 >= 0 e16543: b1554 - b1555 + b1557 >= 0 e16544: b1554 - b1555 + b1558 >= 0 e16545: b1555 - b1556 + b1557 >= 0 e16546: b1555 - b1556 + b1558 >= 0 e16547: b1555 - b1556 + b1559 >= 0 e16548: b1556 - b1557 + b1558 >= 0 e16549: b1556 - b1557 + b1559 >= 0 e16550: b1556 - b1557 + b1560 >= 0 e16551: b1557 - b1558 + b1559 >= 0 e16552: b1557 - b1558 + b1560 >= 0 e16553: b1557 - b1558 + b1561 >= 0 e16554: b1558 - b1559 + b1560 >= 0 e16555: b1558 - b1559 + b1561 >= 0 e16556: b1559 - b1560 + b1561 >= 0 e16557: - b1562 + b1563 + x19243 <= 1 e16558: - b1562 + b1564 + x19243 <= 1 e16559: - b1562 + b1565 + x19243 <= 1 e16560: b1562 - b1563 + b1564 <= 1 e16561: b1562 - b1563 + b1565 <= 1 e16562: b1562 - b1563 + b1566 <= 1 e16563: b1563 - b1564 + b1565 <= 1 e16564: b1563 - b1564 + b1566 <= 1 e16565: b1563 - b1564 + b1567 <= 1 e16566: b1564 - b1565 + b1566 <= 1 e16567: b1564 - b1565 + b1567 <= 1 e16568: b1564 - b1565 + b1568 <= 1 e16569: b1565 - b1566 + b1567 <= 1 e16570: b1565 - b1566 + b1568 <= 1 e16571: b1565 - b1566 + b1569 <= 1 e16572: b1566 - b1567 + b1568 <= 1 e16573: b1566 - b1567 + b1569 <= 1 e16574: b1566 - b1567 + b1570 <= 1 e16575: b1567 - b1568 + b1569 <= 1 e16576: b1567 - b1568 + b1570 <= 1 e16577: b1567 - b1568 + b1571 <= 1 e16578: b1568 - b1569 + b1570 <= 1 e16579: b1568 - b1569 + b1571 <= 1 e16580: b1568 - b1569 + b1572 <= 1 e16581: b1569 - b1570 + b1571 <= 1 e16582: b1569 - b1570 + b1572 <= 1 e16583: b1569 - b1570 + b1573 <= 1 e16584: b1570 - b1571 + b1572 <= 1 e16585: b1570 - b1571 + b1573 <= 1 e16586: b1570 - b1571 + b1574 <= 1 e16587: b1571 - b1572 + b1573 <= 1 e16588: b1571 - b1572 + b1574 <= 1 e16589: b1571 - b1572 + b1575 <= 1 e16590: b1572 - b1573 + b1574 <= 1 e16591: b1572 - b1573 + b1575 <= 1 e16592: b1572 - b1573 + b1576 <= 1 e16593: b1573 - b1574 + b1575 <= 1 e16594: b1573 - b1574 + b1576 <= 1 e16595: b1573 - b1574 + b1577 <= 1 e16596: b1574 - b1575 + b1576 <= 1 e16597: b1574 - b1575 + b1577 <= 1 e16598: b1574 - b1575 + b1578 <= 1 e16599: b1575 - b1576 + b1577 <= 1 e16600: b1575 - b1576 + b1578 <= 1 e16601: b1575 - b1576 + b1579 <= 1 e16602: b1576 - b1577 + b1578 <= 1 e16603: b1576 - b1577 + b1579 <= 1 e16604: b1576 - b1577 + b1580 <= 1 e16605: b1577 - b1578 + b1579 <= 1 e16606: b1577 - b1578 + b1580 <= 1 e16607: b1577 - b1578 + b1581 <= 1 e16608: b1578 - b1579 + b1580 <= 1 e16609: b1578 - b1579 + b1581 <= 1 e16610: b1578 - b1579 + b1582 <= 1 e16611: b1579 - b1580 + b1581 <= 1 e16612: b1579 - b1580 + b1582 <= 1 e16613: b1579 - b1580 + b1583 <= 1 e16614: b1580 - b1581 + b1582 <= 1 e16615: b1580 - b1581 + b1583 <= 1 e16616: b1580 - b1581 + b1584 <= 1 e16617: b1581 - b1582 + b1583 <= 1 e16618: b1581 - b1582 + b1584 <= 1 e16619: b1581 - b1582 + b1585 <= 1 e16620: b1582 - b1583 + b1584 <= 1 e16621: b1582 - b1583 + b1585 <= 1 e16622: b1583 - b1584 + b1585 <= 1 e16623: - b1562 + b1563 + x19243 >= 0 e16624: - b1562 + b1564 + x19243 >= 0 e16625: b1562 - b1563 + b1564 >= 0 e16626: b1562 - b1563 + b1565 >= 0 e16627: b1563 - b1564 + b1565 >= 0 e16628: b1563 - b1564 + b1566 >= 0 e16629: b1564 - b1565 + b1566 >= 0 e16630: b1564 - b1565 + b1567 >= 0 e16631: b1565 - b1566 + b1567 >= 0 e16632: b1565 - b1566 + b1568 >= 0 e16633: b1566 - b1567 + b1568 >= 0 e16634: b1566 - b1567 + b1569 >= 0 e16635: b1567 - b1568 + b1569 >= 0 e16636: b1567 - b1568 + b1570 >= 0 e16637: b1568 - b1569 + b1570 >= 0 e16638: b1568 - b1569 + b1571 >= 0 e16639: b1569 - b1570 + b1571 >= 0 e16640: b1569 - b1570 + b1572 >= 0 e16641: b1570 - b1571 + b1572 >= 0 e16642: b1570 - b1571 + b1573 >= 0 e16643: b1571 - b1572 + b1573 >= 0 e16644: b1571 - b1572 + b1574 >= 0 e16645: b1572 - b1573 + b1574 >= 0 e16646: b1572 - b1573 + b1575 >= 0 e16647: b1573 - b1574 + b1575 >= 0 e16648: b1573 - b1574 + b1576 >= 0 e16649: b1574 - b1575 + b1576 >= 0 e16650: b1574 - b1575 + b1577 >= 0 e16651: b1575 - b1576 + b1577 >= 0 e16652: b1575 - b1576 + b1578 >= 0 e16653: b1576 - b1577 + b1578 >= 0 e16654: b1576 - b1577 + b1579 >= 0 e16655: b1577 - b1578 + b1579 >= 0 e16656: b1577 - b1578 + b1580 >= 0 e16657: b1578 - b1579 + b1580 >= 0 e16658: b1578 - b1579 + b1581 >= 0 e16659: b1579 - b1580 + b1581 >= 0 e16660: b1579 - b1580 + b1582 >= 0 e16661: b1580 - b1581 + b1582 >= 0 e16662: b1580 - b1581 + b1583 >= 0 e16663: b1581 - b1582 + b1583 >= 0 e16664: b1581 - b1582 + b1584 >= 0 e16665: b1582 - b1583 + b1584 >= 0 e16666: b1582 - b1583 + b1585 >= 0 e16667: b1583 - b1584 + b1585 >= 0 e16668: b1586 = 1 e16669: b1587 = 1 e16670: b1587 - b1588 + b1589 <= 1 e16671: b1587 - b1588 + b1590 <= 1 e16672: b1587 - b1588 + b1591 <= 1 e16673: b1588 - b1589 + b1590 <= 1 e16674: b1588 - b1589 + b1591 <= 1 e16675: b1588 - b1589 + b1592 <= 1 e16676: b1589 - b1590 + b1591 <= 1 e16677: b1589 - b1590 + b1592 <= 1 e16678: b1589 - b1590 + b1593 <= 1 e16679: b1590 - b1591 + b1592 <= 1 e16680: b1590 - b1591 + b1593 <= 1 e16681: b1590 - b1591 + b1594 <= 1 e16682: b1591 - b1592 + b1593 <= 1 e16683: b1591 - b1592 + b1594 <= 1 e16684: b1591 - b1592 + b1595 <= 1 e16685: b1592 - b1593 + b1594 <= 1 e16686: b1592 - b1593 + b1595 <= 1 e16687: b1592 - b1593 + b1596 <= 1 e16688: b1593 - b1594 + b1595 <= 1 e16689: b1593 - b1594 + b1596 <= 1 e16690: b1593 - b1594 + b1597 <= 1 e16691: b1594 - b1595 + b1596 <= 1 e16692: b1594 - b1595 + b1597 <= 1 e16693: b1594 - b1595 + b1598 <= 1 e16694: b1595 - b1596 + b1597 <= 1 e16695: b1595 - b1596 + b1598 <= 1 e16696: b1595 - b1596 + b1599 <= 1 e16697: b1596 - b1597 + b1598 <= 1 e16698: b1596 - b1597 + b1599 <= 1 e16699: b1596 - b1597 + b1600 <= 1 e16700: b1597 - b1598 + b1599 <= 1 e16701: b1597 - b1598 + b1600 <= 1 e16702: b1597 - b1598 + b1601 <= 1 e16703: b1598 - b1599 + b1600 <= 1 e16704: b1598 - b1599 + b1601 <= 1 e16705: b1598 - b1599 + b1602 <= 1 e16706: b1599 - b1600 + b1601 <= 1 e16707: b1599 - b1600 + b1602 <= 1 e16708: b1599 - b1600 + b1603 <= 1 e16709: b1600 - b1601 + b1602 <= 1 e16710: b1600 - b1601 + b1603 <= 1 e16711: b1600 - b1601 + b1604 <= 1 e16712: b1601 - b1602 + b1603 <= 1 e16713: b1601 - b1602 + b1604 <= 1 e16714: b1601 - b1602 + b1605 <= 1 e16715: b1602 - b1603 + b1604 <= 1 e16716: b1602 - b1603 + b1605 <= 1 e16717: b1602 - b1603 + b1606 <= 1 e16718: b1603 - b1604 + b1605 <= 1 e16719: b1603 - b1604 + b1606 <= 1 e16720: b1603 - b1604 + b1607 <= 1 e16721: b1604 - b1605 + b1606 <= 1 e16722: b1604 - b1605 + b1607 <= 1 e16723: b1604 - b1605 + b1608 <= 1 e16724: b1605 - b1606 + b1607 <= 1 e16725: b1605 - b1606 + b1608 <= 1 e16726: b1605 - b1606 + b1609 <= 1 e16727: b1606 - b1607 + b1608 <= 1 e16728: b1606 - b1607 + b1609 <= 1 e16729: b1607 - b1608 + b1609 <= 1 e16730: b1587 - b1588 + b1589 >= 0 e16731: b1587 - b1588 + b1590 >= 0 e16732: b1588 - b1589 + b1590 >= 0 e16733: b1588 - b1589 + b1591 >= 0 e16734: b1589 - b1590 + b1591 >= 0 e16735: b1589 - b1590 + b1592 >= 0 e16736: b1590 - b1591 + b1592 >= 0 e16737: b1590 - b1591 + b1593 >= 0 e16738: b1591 - b1592 + b1593 >= 0 e16739: b1591 - b1592 + b1594 >= 0 e16740: b1592 - b1593 + b1594 >= 0 e16741: b1592 - b1593 + b1595 >= 0 e16742: b1593 - b1594 + b1595 >= 0 e16743: b1593 - b1594 + b1596 >= 0 e16744: b1594 - b1595 + b1596 >= 0 e16745: b1594 - b1595 + b1597 >= 0 e16746: b1595 - b1596 + b1597 >= 0 e16747: b1595 - b1596 + b1598 >= 0 e16748: b1596 - b1597 + b1598 >= 0 e16749: b1596 - b1597 + b1599 >= 0 e16750: b1597 - b1598 + b1599 >= 0 e16751: b1597 - b1598 + b1600 >= 0 e16752: b1598 - b1599 + b1600 >= 0 e16753: b1598 - b1599 + b1601 >= 0 e16754: b1599 - b1600 + b1601 >= 0 e16755: b1599 - b1600 + b1602 >= 0 e16756: b1600 - b1601 + b1602 >= 0 e16757: b1600 - b1601 + b1603 >= 0 e16758: b1601 - b1602 + b1603 >= 0 e16759: b1601 - b1602 + b1604 >= 0 e16760: b1602 - b1603 + b1604 >= 0 e16761: b1602 - b1603 + b1605 >= 0 e16762: b1603 - b1604 + b1605 >= 0 e16763: b1603 - b1604 + b1606 >= 0 e16764: b1604 - b1605 + b1606 >= 0 e16765: b1604 - b1605 + b1607 >= 0 e16766: b1605 - b1606 + b1607 >= 0 e16767: b1605 - b1606 + b1608 >= 0 e16768: b1606 - b1607 + b1608 >= 0 e16769: b1606 - b1607 + b1609 >= 0 e16770: b1607 - b1608 + b1609 >= 0 e16771: b1610 = 1 e16772: b1610 - b1611 + b1612 <= 1 e16773: b1610 - b1611 + b1613 <= 1 e16774: b1610 - b1611 + b1614 <= 1 e16775: b1611 - b1612 + b1613 <= 1 e16776: b1611 - b1612 + b1614 <= 1 e16777: b1611 - b1612 + b1615 <= 1 e16778: b1612 - b1613 + b1614 <= 1 e16779: b1612 - b1613 + b1615 <= 1 e16780: b1612 - b1613 + b1616 <= 1 e16781: b1613 - b1614 + b1615 <= 1 e16782: b1613 - b1614 + b1616 <= 1 e16783: b1613 - b1614 + b1617 <= 1 e16784: b1614 - b1615 + b1616 <= 1 e16785: b1614 - b1615 + b1617 <= 1 e16786: b1614 - b1615 + b1618 <= 1 e16787: b1615 - b1616 + b1617 <= 1 e16788: b1615 - b1616 + b1618 <= 1 e16789: b1615 - b1616 + b1619 <= 1 e16790: b1616 - b1617 + b1618 <= 1 e16791: b1616 - b1617 + b1619 <= 1 e16792: b1616 - b1617 + b1620 <= 1 e16793: b1617 - b1618 + b1619 <= 1 e16794: b1617 - b1618 + b1620 <= 1 e16795: b1617 - b1618 + b1621 <= 1 e16796: b1618 - b1619 + b1620 <= 1 e16797: b1618 - b1619 + b1621 <= 1 e16798: b1618 - b1619 + b1622 <= 1 e16799: b1619 - b1620 + b1621 <= 1 e16800: b1619 - b1620 + b1622 <= 1 e16801: b1619 - b1620 + b1623 <= 1 e16802: b1620 - b1621 + b1622 <= 1 e16803: b1620 - b1621 + b1623 <= 1 e16804: b1620 - b1621 + b1624 <= 1 e16805: b1621 - b1622 + b1623 <= 1 e16806: b1621 - b1622 + b1624 <= 1 e16807: b1621 - b1622 + b1625 <= 1 e16808: b1622 - b1623 + b1624 <= 1 e16809: b1622 - b1623 + b1625 <= 1 e16810: b1622 - b1623 + b1626 <= 1 e16811: b1623 - b1624 + b1625 <= 1 e16812: b1623 - b1624 + b1626 <= 1 e16813: b1623 - b1624 + b1627 <= 1 e16814: b1624 - b1625 + b1626 <= 1 e16815: b1624 - b1625 + b1627 <= 1 e16816: b1624 - b1625 + b1628 <= 1 e16817: b1625 - b1626 + b1627 <= 1 e16818: b1625 - b1626 + b1628 <= 1 e16819: b1625 - b1626 + b1629 <= 1 e16820: b1626 - b1627 + b1628 <= 1 e16821: b1626 - b1627 + b1629 <= 1 e16822: b1626 - b1627 + b1630 <= 1 e16823: b1627 - b1628 + b1629 <= 1 e16824: b1627 - b1628 + b1630 <= 1 e16825: b1627 - b1628 + b1631 <= 1 e16826: b1628 - b1629 + b1630 <= 1 e16827: b1628 - b1629 + b1631 <= 1 e16828: b1628 - b1629 + b1632 <= 1 e16829: b1629 - b1630 + b1631 <= 1 e16830: b1629 - b1630 + b1632 <= 1 e16831: b1629 - b1630 + b1633 <= 1 e16832: b1630 - b1631 + b1632 <= 1 e16833: b1630 - b1631 + b1633 <= 1 e16834: b1631 - b1632 + b1633 <= 1 e16835: b1610 - b1611 + b1612 >= 0 e16836: b1610 - b1611 + b1613 >= 0 e16837: b1610 - b1611 + b1614 >= 0 e16838: b1611 - b1612 + b1613 >= 0 e16839: b1611 - b1612 + b1614 >= 0 e16840: b1611 - b1612 + b1615 >= 0 e16841: b1612 - b1613 + b1614 >= 0 e16842: b1612 - b1613 + b1615 >= 0 e16843: b1612 - b1613 + b1616 >= 0 e16844: b1613 - b1614 + b1615 >= 0 e16845: b1613 - b1614 + b1616 >= 0 e16846: b1613 - b1614 + b1617 >= 0 e16847: b1614 - b1615 + b1616 >= 0 e16848: b1614 - b1615 + b1617 >= 0 e16849: b1614 - b1615 + b1618 >= 0 e16850: b1615 - b1616 + b1617 >= 0 e16851: b1615 - b1616 + b1618 >= 0 e16852: b1615 - b1616 + b1619 >= 0 e16853: b1616 - b1617 + b1618 >= 0 e16854: b1616 - b1617 + b1619 >= 0 e16855: b1616 - b1617 + b1620 >= 0 e16856: b1617 - b1618 + b1619 >= 0 e16857: b1617 - b1618 + b1620 >= 0 e16858: b1617 - b1618 + b1621 >= 0 e16859: b1618 - b1619 + b1620 >= 0 e16860: b1618 - b1619 + b1621 >= 0 e16861: b1618 - b1619 + b1622 >= 0 e16862: b1619 - b1620 + b1621 >= 0 e16863: b1619 - b1620 + b1622 >= 0 e16864: b1619 - b1620 + b1623 >= 0 e16865: b1620 - b1621 + b1622 >= 0 e16866: b1620 - b1621 + b1623 >= 0 e16867: b1620 - b1621 + b1624 >= 0 e16868: b1621 - b1622 + b1623 >= 0 e16869: b1621 - b1622 + b1624 >= 0 e16870: b1621 - b1622 + b1625 >= 0 e16871: b1622 - b1623 + b1624 >= 0 e16872: b1622 - b1623 + b1625 >= 0 e16873: b1622 - b1623 + b1626 >= 0 e16874: b1623 - b1624 + b1625 >= 0 e16875: b1623 - b1624 + b1626 >= 0 e16876: b1623 - b1624 + b1627 >= 0 e16877: b1624 - b1625 + b1626 >= 0 e16878: b1624 - b1625 + b1627 >= 0 e16879: b1624 - b1625 + b1628 >= 0 e16880: b1625 - b1626 + b1627 >= 0 e16881: b1625 - b1626 + b1628 >= 0 e16882: b1625 - b1626 + b1629 >= 0 e16883: b1626 - b1627 + b1628 >= 0 e16884: b1626 - b1627 + b1629 >= 0 e16885: b1626 - b1627 + b1630 >= 0 e16886: b1627 - b1628 + b1629 >= 0 e16887: b1627 - b1628 + b1630 >= 0 e16888: b1627 - b1628 + b1631 >= 0 e16889: b1628 - b1629 + b1630 >= 0 e16890: b1628 - b1629 + b1631 >= 0 e16891: b1628 - b1629 + b1632 >= 0 e16892: b1629 - b1630 + b1631 >= 0 e16893: b1629 - b1630 + b1632 >= 0 e16894: b1629 - b1630 + b1633 >= 0 e16895: b1630 - b1631 + b1632 >= 0 e16896: b1630 - b1631 + b1633 >= 0 e16897: b1631 - b1632 + b1633 >= 0 e16898: b1634 = 1 e16899: b1634 - b1635 + b1636 <= 1 e16900: b1634 - b1635 + b1637 <= 1 e16901: b1635 - b1636 + b1637 <= 1 e16902: b1635 - b1636 + b1638 <= 1 e16903: b1636 - b1637 + b1638 <= 1 e16904: b1636 - b1637 + b1639 <= 1 e16905: b1637 - b1638 + b1639 <= 1 e16906: b1637 - b1638 + b1640 <= 1 e16907: b1638 - b1639 + b1640 <= 1 e16908: b1638 - b1639 + b1641 <= 1 e16909: b1639 - b1640 + b1641 <= 1 e16910: b1639 - b1640 + b1642 <= 1 e16911: b1640 - b1641 + b1642 <= 1 e16912: b1640 - b1641 + b1643 <= 1 e16913: b1641 - b1642 + b1643 <= 1 e16914: b1641 - b1642 + b1644 <= 1 e16915: b1642 - b1643 + b1644 <= 1 e16916: b1642 - b1643 + b1645 <= 1 e16917: b1643 - b1644 + b1645 <= 1 e16918: b1643 - b1644 + b1646 <= 1 e16919: b1644 - b1645 + b1646 <= 1 e16920: b1644 - b1645 + b1647 <= 1 e16921: b1645 - b1646 + b1647 <= 1 e16922: b1645 - b1646 + b1648 <= 1 e16923: b1646 - b1647 + b1648 <= 1 e16924: b1646 - b1647 + b1649 <= 1 e16925: b1647 - b1648 + b1649 <= 1 e16926: b1647 - b1648 + b1650 <= 1 e16927: b1648 - b1649 + b1650 <= 1 e16928: b1648 - b1649 + b1651 <= 1 e16929: b1649 - b1650 + b1651 <= 1 e16930: b1649 - b1650 + b1652 <= 1 e16931: b1650 - b1651 + b1652 <= 1 e16932: b1650 - b1651 + b1653 <= 1 e16933: b1651 - b1652 + b1653 <= 1 e16934: b1651 - b1652 + b1654 <= 1 e16935: b1652 - b1653 + b1654 <= 1 e16936: b1652 - b1653 + b1655 <= 1 e16937: b1653 - b1654 + b1655 <= 1 e16938: b1653 - b1654 + b1656 <= 1 e16939: b1654 - b1655 + b1656 <= 1 e16940: b1654 - b1655 + b1657 <= 1 e16941: b1655 - b1656 + b1657 <= 1 e16942: b1634 - b1635 + b1636 >= 0 e16943: b1634 - b1635 + b1637 >= 0 e16944: b1635 - b1636 + b1637 >= 0 e16945: b1635 - b1636 + b1638 >= 0 e16946: b1636 - b1637 + b1638 >= 0 e16947: b1636 - b1637 + b1639 >= 0 e16948: b1637 - b1638 + b1639 >= 0 e16949: b1637 - b1638 + b1640 >= 0 e16950: b1638 - b1639 + b1640 >= 0 e16951: b1638 - b1639 + b1641 >= 0 e16952: b1639 - b1640 + b1641 >= 0 e16953: b1639 - b1640 + b1642 >= 0 e16954: b1640 - b1641 + b1642 >= 0 e16955: b1640 - b1641 + b1643 >= 0 e16956: b1641 - b1642 + b1643 >= 0 e16957: b1641 - b1642 + b1644 >= 0 e16958: b1642 - b1643 + b1644 >= 0 e16959: b1642 - b1643 + b1645 >= 0 e16960: b1643 - b1644 + b1645 >= 0 e16961: b1643 - b1644 + b1646 >= 0 e16962: b1644 - b1645 + b1646 >= 0 e16963: b1644 - b1645 + b1647 >= 0 e16964: b1645 - b1646 + b1647 >= 0 e16965: b1645 - b1646 + b1648 >= 0 e16966: b1646 - b1647 + b1648 >= 0 e16967: b1646 - b1647 + b1649 >= 0 e16968: b1647 - b1648 + b1649 >= 0 e16969: b1647 - b1648 + b1650 >= 0 e16970: b1648 - b1649 + b1650 >= 0 e16971: b1648 - b1649 + b1651 >= 0 e16972: b1649 - b1650 + b1651 >= 0 e16973: b1649 - b1650 + b1652 >= 0 e16974: b1650 - b1651 + b1652 >= 0 e16975: b1650 - b1651 + b1653 >= 0 e16976: b1651 - b1652 + b1653 >= 0 e16977: b1651 - b1652 + b1654 >= 0 e16978: b1652 - b1653 + b1654 >= 0 e16979: b1652 - b1653 + b1655 >= 0 e16980: b1653 - b1654 + b1655 >= 0 e16981: b1653 - b1654 + b1656 >= 0 e16982: b1654 - b1655 + b1656 >= 0 e16983: b1654 - b1655 + b1657 >= 0 e16984: b1655 - b1656 + b1657 >= 0 e16985: b1658 = 1 e16986: b1658 - b1659 + b1660 <= 1 e16987: b1658 - b1659 + b1661 <= 1 e16988: b1658 - b1659 + b1662 <= 1 e16989: b1659 - b1660 + b1661 <= 1 e16990: b1659 - b1660 + b1662 <= 1 e16991: b1659 - b1660 + b1663 <= 1 e16992: b1660 - b1661 + b1662 <= 1 e16993: b1660 - b1661 + b1663 <= 1 e16994: b1660 - b1661 + b1664 <= 1 e16995: b1661 - b1662 + b1663 <= 1 e16996: b1661 - b1662 + b1664 <= 1 e16997: b1661 - b1662 + b1665 <= 1 e16998: b1662 - b1663 + b1664 <= 1 e16999: b1662 - b1663 + b1665 <= 1 e17000: b1662 - b1663 + b1666 <= 1 e17001: b1663 - b1664 + b1665 <= 1 e17002: b1663 - b1664 + b1666 <= 1 e17003: b1663 - b1664 + b1667 <= 1 e17004: b1664 - b1665 + b1666 <= 1 e17005: b1664 - b1665 + b1667 <= 1 e17006: b1664 - b1665 + b1668 <= 1 e17007: b1665 - b1666 + b1667 <= 1 e17008: b1665 - b1666 + b1668 <= 1 e17009: b1665 - b1666 + b1669 <= 1 e17010: b1666 - b1667 + b1668 <= 1 e17011: b1666 - b1667 + b1669 <= 1 e17012: b1666 - b1667 + b1670 <= 1 e17013: b1667 - b1668 + b1669 <= 1 e17014: b1667 - b1668 + b1670 <= 1 e17015: b1667 - b1668 + b1671 <= 1 e17016: b1668 - b1669 + b1670 <= 1 e17017: b1668 - b1669 + b1671 <= 1 e17018: b1668 - b1669 + b1672 <= 1 e17019: b1669 - b1670 + b1671 <= 1 e17020: b1669 - b1670 + b1672 <= 1 e17021: b1669 - b1670 + b1673 <= 1 e17022: b1670 - b1671 + b1672 <= 1 e17023: b1670 - b1671 + b1673 <= 1 e17024: b1670 - b1671 + b1674 <= 1 e17025: b1671 - b1672 + b1673 <= 1 e17026: b1671 - b1672 + b1674 <= 1 e17027: b1671 - b1672 + b1675 <= 1 e17028: b1672 - b1673 + b1674 <= 1 e17029: b1672 - b1673 + b1675 <= 1 e17030: b1672 - b1673 + b1676 <= 1 e17031: b1673 - b1674 + b1675 <= 1 e17032: b1673 - b1674 + b1676 <= 1 e17033: b1673 - b1674 + b1677 <= 1 e17034: b1674 - b1675 + b1676 <= 1 e17035: b1674 - b1675 + b1677 <= 1 e17036: b1674 - b1675 + b1678 <= 1 e17037: b1675 - b1676 + b1677 <= 1 e17038: b1675 - b1676 + b1678 <= 1 e17039: b1675 - b1676 + b1679 <= 1 e17040: b1676 - b1677 + b1678 <= 1 e17041: b1676 - b1677 + b1679 <= 1 e17042: b1676 - b1677 + b1680 <= 1 e17043: b1677 - b1678 + b1679 <= 1 e17044: b1677 - b1678 + b1680 <= 1 e17045: b1677 - b1678 + b1681 <= 1 e17046: b1678 - b1679 + b1680 <= 1 e17047: b1678 - b1679 + b1681 <= 1 e17048: b1679 - b1680 + b1681 <= 1 e17049: b1658 - b1659 + b1660 >= 0 e17050: b1658 - b1659 + b1661 >= 0 e17051: b1659 - b1660 + b1661 >= 0 e17052: b1659 - b1660 + b1662 >= 0 e17053: b1660 - b1661 + b1662 >= 0 e17054: b1660 - b1661 + b1663 >= 0 e17055: b1661 - b1662 + b1663 >= 0 e17056: b1661 - b1662 + b1664 >= 0 e17057: b1662 - b1663 + b1664 >= 0 e17058: b1662 - b1663 + b1665 >= 0 e17059: b1663 - b1664 + b1665 >= 0 e17060: b1663 - b1664 + b1666 >= 0 e17061: b1664 - b1665 + b1666 >= 0 e17062: b1664 - b1665 + b1667 >= 0 e17063: b1665 - b1666 + b1667 >= 0 e17064: b1665 - b1666 + b1668 >= 0 e17065: b1666 - b1667 + b1668 >= 0 e17066: b1666 - b1667 + b1669 >= 0 e17067: b1667 - b1668 + b1669 >= 0 e17068: b1667 - b1668 + b1670 >= 0 e17069: b1668 - b1669 + b1670 >= 0 e17070: b1668 - b1669 + b1671 >= 0 e17071: b1669 - b1670 + b1671 >= 0 e17072: b1669 - b1670 + b1672 >= 0 e17073: b1670 - b1671 + b1672 >= 0 e17074: b1670 - b1671 + b1673 >= 0 e17075: b1671 - b1672 + b1673 >= 0 e17076: b1671 - b1672 + b1674 >= 0 e17077: b1672 - b1673 + b1674 >= 0 e17078: b1672 - b1673 + b1675 >= 0 e17079: b1673 - b1674 + b1675 >= 0 e17080: b1673 - b1674 + b1676 >= 0 e17081: b1674 - b1675 + b1676 >= 0 e17082: b1674 - b1675 + b1677 >= 0 e17083: b1675 - b1676 + b1677 >= 0 e17084: b1675 - b1676 + b1678 >= 0 e17085: b1676 - b1677 + b1678 >= 0 e17086: b1676 - b1677 + b1679 >= 0 e17087: b1677 - b1678 + b1679 >= 0 e17088: b1677 - b1678 + b1680 >= 0 e17089: b1678 - b1679 + b1680 >= 0 e17090: b1678 - b1679 + b1681 >= 0 e17091: b1679 - b1680 + b1681 >= 0 e17092: - b1682 + b1683 + x19244 <= 1 e17093: - b1682 + b1684 + x19244 <= 1 e17094: - b1682 + b1685 + x19244 <= 1 e17095: b1682 - b1683 + b1684 <= 1 e17096: b1682 - b1683 + b1685 <= 1 e17097: b1682 - b1683 + b1686 <= 1 e17098: b1683 - b1684 + b1685 <= 1 e17099: b1683 - b1684 + b1686 <= 1 e17100: b1683 - b1684 + b1687 <= 1 e17101: b1684 - b1685 + b1686 <= 1 e17102: b1684 - b1685 + b1687 <= 1 e17103: b1684 - b1685 + b1688 <= 1 e17104: b1685 - b1686 + b1687 <= 1 e17105: b1685 - b1686 + b1688 <= 1 e17106: b1685 - b1686 + b1689 <= 1 e17107: b1686 - b1687 + b1688 <= 1 e17108: b1686 - b1687 + b1689 <= 1 e17109: b1686 - b1687 + b1690 <= 1 e17110: b1687 - b1688 + b1689 <= 1 e17111: b1687 - b1688 + b1690 <= 1 e17112: b1687 - b1688 + b1691 <= 1 e17113: b1688 - b1689 + b1690 <= 1 e17114: b1688 - b1689 + b1691 <= 1 e17115: b1688 - b1689 + b1692 <= 1 e17116: b1689 - b1690 + b1691 <= 1 e17117: b1689 - b1690 + b1692 <= 1 e17118: b1689 - b1690 + b1693 <= 1 e17119: b1690 - b1691 + b1692 <= 1 e17120: b1690 - b1691 + b1693 <= 1 e17121: b1690 - b1691 + b1694 <= 1 e17122: b1691 - b1692 + b1693 <= 1 e17123: b1691 - b1692 + b1694 <= 1 e17124: b1691 - b1692 + b1695 <= 1 e17125: b1692 - b1693 + b1694 <= 1 e17126: b1692 - b1693 + b1695 <= 1 e17127: b1692 - b1693 + b1696 <= 1 e17128: b1693 - b1694 + b1695 <= 1 e17129: b1693 - b1694 + b1696 <= 1 e17130: b1693 - b1694 + b1697 <= 1 e17131: b1694 - b1695 + b1696 <= 1 e17132: b1694 - b1695 + b1697 <= 1 e17133: b1694 - b1695 + b1698 <= 1 e17134: b1695 - b1696 + b1697 <= 1 e17135: b1695 - b1696 + b1698 <= 1 e17136: b1695 - b1696 + b1699 <= 1 e17137: b1696 - b1697 + b1698 <= 1 e17138: b1696 - b1697 + b1699 <= 1 e17139: b1696 - b1697 + b1700 <= 1 e17140: b1697 - b1698 + b1699 <= 1 e17141: b1697 - b1698 + b1700 <= 1 e17142: b1697 - b1698 + b1701 <= 1 e17143: b1698 - b1699 + b1700 <= 1 e17144: b1698 - b1699 + b1701 <= 1 e17145: b1698 - b1699 + b1702 <= 1 e17146: b1699 - b1700 + b1701 <= 1 e17147: b1699 - b1700 + b1702 <= 1 e17148: b1699 - b1700 + b1703 <= 1 e17149: b1700 - b1701 + b1702 <= 1 e17150: b1700 - b1701 + b1703 <= 1 e17151: b1700 - b1701 + b1704 <= 1 e17152: b1701 - b1702 + b1703 <= 1 e17153: b1701 - b1702 + b1704 <= 1 e17154: b1701 - b1702 + b1705 <= 1 e17155: b1702 - b1703 + b1704 <= 1 e17156: b1702 - b1703 + b1705 <= 1 e17157: b1703 - b1704 + b1705 <= 1 e17158: - b1682 + b1683 + x19244 >= 0 e17159: - b1682 + b1684 + x19244 >= 0 e17160: - b1682 + b1685 + x19244 >= 0 e17161: b1682 - b1683 + b1684 >= 0 e17162: b1682 - b1683 + b1685 >= 0 e17163: b1682 - b1683 + b1686 >= 0 e17164: b1683 - b1684 + b1685 >= 0 e17165: b1683 - b1684 + b1686 >= 0 e17166: b1683 - b1684 + b1687 >= 0 e17167: b1684 - b1685 + b1686 >= 0 e17168: b1684 - b1685 + b1687 >= 0 e17169: b1684 - b1685 + b1688 >= 0 e17170: b1685 - b1686 + b1687 >= 0 e17171: b1685 - b1686 + b1688 >= 0 e17172: b1685 - b1686 + b1689 >= 0 e17173: b1686 - b1687 + b1688 >= 0 e17174: b1686 - b1687 + b1689 >= 0 e17175: b1686 - b1687 + b1690 >= 0 e17176: b1687 - b1688 + b1689 >= 0 e17177: b1687 - b1688 + b1690 >= 0 e17178: b1687 - b1688 + b1691 >= 0 e17179: b1688 - b1689 + b1690 >= 0 e17180: b1688 - b1689 + b1691 >= 0 e17181: b1688 - b1689 + b1692 >= 0 e17182: b1689 - b1690 + b1691 >= 0 e17183: b1689 - b1690 + b1692 >= 0 e17184: b1689 - b1690 + b1693 >= 0 e17185: b1690 - b1691 + b1692 >= 0 e17186: b1690 - b1691 + b1693 >= 0 e17187: b1690 - b1691 + b1694 >= 0 e17188: b1691 - b1692 + b1693 >= 0 e17189: b1691 - b1692 + b1694 >= 0 e17190: b1691 - b1692 + b1695 >= 0 e17191: b1692 - b1693 + b1694 >= 0 e17192: b1692 - b1693 + b1695 >= 0 e17193: b1692 - b1693 + b1696 >= 0 e17194: b1693 - b1694 + b1695 >= 0 e17195: b1693 - b1694 + b1696 >= 0 e17196: b1693 - b1694 + b1697 >= 0 e17197: b1694 - b1695 + b1696 >= 0 e17198: b1694 - b1695 + b1697 >= 0 e17199: b1694 - b1695 + b1698 >= 0 e17200: b1695 - b1696 + b1697 >= 0 e17201: b1695 - b1696 + b1698 >= 0 e17202: b1695 - b1696 + b1699 >= 0 e17203: b1696 - b1697 + b1698 >= 0 e17204: b1696 - b1697 + b1699 >= 0 e17205: b1696 - b1697 + b1700 >= 0 e17206: b1697 - b1698 + b1699 >= 0 e17207: b1697 - b1698 + b1700 >= 0 e17208: b1697 - b1698 + b1701 >= 0 e17209: b1698 - b1699 + b1700 >= 0 e17210: b1698 - b1699 + b1701 >= 0 e17211: b1698 - b1699 + b1702 >= 0 e17212: b1699 - b1700 + b1701 >= 0 e17213: b1699 - b1700 + b1702 >= 0 e17214: b1699 - b1700 + b1703 >= 0 e17215: b1700 - b1701 + b1702 >= 0 e17216: b1700 - b1701 + b1703 >= 0 e17217: b1700 - b1701 + b1704 >= 0 e17218: b1701 - b1702 + b1703 >= 0 e17219: b1701 - b1702 + b1704 >= 0 e17220: b1701 - b1702 + b1705 >= 0 e17221: b1702 - b1703 + b1704 >= 0 e17222: b1702 - b1703 + b1705 >= 0 e17223: b1703 - b1704 + b1705 >= 0 e17224: - b1706 + b1707 + x19245 <= 1 e17225: - b1706 + b1708 + x19245 <= 1 e17226: b1706 - b1707 + b1708 <= 1 e17227: b1706 - b1707 + b1709 <= 1 e17228: b1707 - b1708 + b1709 <= 1 e17229: b1707 - b1708 + b1710 <= 1 e17230: b1708 - b1709 + b1710 <= 1 e17231: b1708 - b1709 + b1711 <= 1 e17232: b1709 - b1710 + b1711 <= 1 e17233: b1709 - b1710 + b1712 <= 1 e17234: b1710 - b1711 + b1712 <= 1 e17235: b1710 - b1711 + b1713 <= 1 e17236: b1711 - b1712 + b1713 <= 1 e17237: b1711 - b1712 + b1714 <= 1 e17238: b1712 - b1713 + b1714 <= 1 e17239: b1712 - b1713 + b1715 <= 1 e17240: b1713 - b1714 + b1715 <= 1 e17241: b1713 - b1714 + b1716 <= 1 e17242: b1714 - b1715 + b1716 <= 1 e17243: b1714 - b1715 + b1717 <= 1 e17244: b1715 - b1716 + b1717 <= 1 e17245: b1715 - b1716 + b1718 <= 1 e17246: b1716 - b1717 + b1718 <= 1 e17247: b1716 - b1717 + b1719 <= 1 e17248: b1717 - b1718 + b1719 <= 1 e17249: b1717 - b1718 + b1720 <= 1 e17250: b1718 - b1719 + b1720 <= 1 e17251: b1718 - b1719 + b1721 <= 1 e17252: b1719 - b1720 + b1721 <= 1 e17253: b1719 - b1720 + b1722 <= 1 e17254: b1720 - b1721 + b1722 <= 1 e17255: b1720 - b1721 + b1723 <= 1 e17256: b1721 - b1722 + b1723 <= 1 e17257: b1721 - b1722 + b1724 <= 1 e17258: b1722 - b1723 + b1724 <= 1 e17259: b1722 - b1723 + b1725 <= 1 e17260: b1723 - b1724 + b1725 <= 1 e17261: b1723 - b1724 + b1726 <= 1 e17262: b1724 - b1725 + b1726 <= 1 e17263: b1724 - b1725 + b1727 <= 1 e17264: b1725 - b1726 + b1727 <= 1 e17265: b1725 - b1726 + b1728 <= 1 e17266: b1726 - b1727 + b1728 <= 1 e17267: b1726 - b1727 + b1729 <= 1 e17268: b1727 - b1728 + b1729 <= 1 e17269: - b1706 + b1707 + x19245 >= 0 e17270: - b1706 + b1708 + x19245 >= 0 e17271: - b1706 + b1709 + x19245 >= 0 e17272: b1706 - b1707 + b1708 >= 0 e17273: b1706 - b1707 + b1709 >= 0 e17274: b1706 - b1707 + b1710 >= 0 e17275: b1707 - b1708 + b1709 >= 0 e17276: b1707 - b1708 + b1710 >= 0 e17277: b1707 - b1708 + b1711 >= 0 e17278: b1708 - b1709 + b1710 >= 0 e17279: b1708 - b1709 + b1711 >= 0 e17280: b1708 - b1709 + b1712 >= 0 e17281: b1709 - b1710 + b1711 >= 0 e17282: b1709 - b1710 + b1712 >= 0 e17283: b1709 - b1710 + b1713 >= 0 e17284: b1710 - b1711 + b1712 >= 0 e17285: b1710 - b1711 + b1713 >= 0 e17286: b1710 - b1711 + b1714 >= 0 e17287: b1711 - b1712 + b1713 >= 0 e17288: b1711 - b1712 + b1714 >= 0 e17289: b1711 - b1712 + b1715 >= 0 e17290: b1712 - b1713 + b1714 >= 0 e17291: b1712 - b1713 + b1715 >= 0 e17292: b1712 - b1713 + b1716 >= 0 e17293: b1713 - b1714 + b1715 >= 0 e17294: b1713 - b1714 + b1716 >= 0 e17295: b1713 - b1714 + b1717 >= 0 e17296: b1714 - b1715 + b1716 >= 0 e17297: b1714 - b1715 + b1717 >= 0 e17298: b1714 - b1715 + b1718 >= 0 e17299: b1715 - b1716 + b1717 >= 0 e17300: b1715 - b1716 + b1718 >= 0 e17301: b1715 - b1716 + b1719 >= 0 e17302: b1716 - b1717 + b1718 >= 0 e17303: b1716 - b1717 + b1719 >= 0 e17304: b1716 - b1717 + b1720 >= 0 e17305: b1717 - b1718 + b1719 >= 0 e17306: b1717 - b1718 + b1720 >= 0 e17307: b1717 - b1718 + b1721 >= 0 e17308: b1718 - b1719 + b1720 >= 0 e17309: b1718 - b1719 + b1721 >= 0 e17310: b1718 - b1719 + b1722 >= 0 e17311: b1719 - b1720 + b1721 >= 0 e17312: b1719 - b1720 + b1722 >= 0 e17313: b1719 - b1720 + b1723 >= 0 e17314: b1720 - b1721 + b1722 >= 0 e17315: b1720 - b1721 + b1723 >= 0 e17316: b1720 - b1721 + b1724 >= 0 e17317: b1721 - b1722 + b1723 >= 0 e17318: b1721 - b1722 + b1724 >= 0 e17319: b1721 - b1722 + b1725 >= 0 e17320: b1722 - b1723 + b1724 >= 0 e17321: b1722 - b1723 + b1725 >= 0 e17322: b1722 - b1723 + b1726 >= 0 e17323: b1723 - b1724 + b1725 >= 0 e17324: b1723 - b1724 + b1726 >= 0 e17325: b1723 - b1724 + b1727 >= 0 e17326: b1724 - b1725 + b1726 >= 0 e17327: b1724 - b1725 + b1727 >= 0 e17328: b1724 - b1725 + b1728 >= 0 e17329: b1725 - b1726 + b1727 >= 0 e17330: b1725 - b1726 + b1728 >= 0 e17331: b1725 - b1726 + b1729 >= 0 e17332: b1726 - b1727 + b1728 >= 0 e17333: b1726 - b1727 + b1729 >= 0 e17334: b1727 - b1728 + b1729 >= 0 e17335: - b1730 + b1731 + x19246 <= 1 e17336: - b1730 + b1732 + x19246 <= 1 e17337: - b1730 + b1733 + x19246 <= 1 e17338: b1730 - b1731 + b1732 <= 1 e17339: b1730 - b1731 + b1733 <= 1 e17340: b1730 - b1731 + b1734 <= 1 e17341: b1731 - b1732 + b1733 <= 1 e17342: b1731 - b1732 + b1734 <= 1 e17343: b1731 - b1732 + b1735 <= 1 e17344: b1732 - b1733 + b1734 <= 1 e17345: b1732 - b1733 + b1735 <= 1 e17346: b1732 - b1733 + b1736 <= 1 e17347: b1733 - b1734 + b1735 <= 1 e17348: b1733 - b1734 + b1736 <= 1 e17349: b1733 - b1734 + b1737 <= 1 e17350: b1734 - b1735 + b1736 <= 1 e17351: b1734 - b1735 + b1737 <= 1 e17352: b1734 - b1735 + b1738 <= 1 e17353: b1735 - b1736 + b1737 <= 1 e17354: b1735 - b1736 + b1738 <= 1 e17355: b1735 - b1736 + b1739 <= 1 e17356: b1736 - b1737 + b1738 <= 1 e17357: b1736 - b1737 + b1739 <= 1 e17358: b1736 - b1737 + b1740 <= 1 e17359: b1737 - b1738 + b1739 <= 1 e17360: b1737 - b1738 + b1740 <= 1 e17361: b1737 - b1738 + b1741 <= 1 e17362: b1738 - b1739 + b1740 <= 1 e17363: b1738 - b1739 + b1741 <= 1 e17364: b1738 - b1739 + b1742 <= 1 e17365: b1739 - b1740 + b1741 <= 1 e17366: b1739 - b1740 + b1742 <= 1 e17367: b1739 - b1740 + b1743 <= 1 e17368: b1740 - b1741 + b1742 <= 1 e17369: b1740 - b1741 + b1743 <= 1 e17370: b1740 - b1741 + b1744 <= 1 e17371: b1741 - b1742 + b1743 <= 1 e17372: b1741 - b1742 + b1744 <= 1 e17373: b1741 - b1742 + b1745 <= 1 e17374: b1742 - b1743 + b1744 <= 1 e17375: b1742 - b1743 + b1745 <= 1 e17376: b1742 - b1743 + b1746 <= 1 e17377: b1743 - b1744 + b1745 <= 1 e17378: b1743 - b1744 + b1746 <= 1 e17379: b1743 - b1744 + b1747 <= 1 e17380: b1744 - b1745 + b1746 <= 1 e17381: b1744 - b1745 + b1747 <= 1 e17382: b1744 - b1745 + b1748 <= 1 e17383: b1745 - b1746 + b1747 <= 1 e17384: b1745 - b1746 + b1748 <= 1 e17385: b1745 - b1746 + b1749 <= 1 e17386: b1746 - b1747 + b1748 <= 1 e17387: b1746 - b1747 + b1749 <= 1 e17388: b1746 - b1747 + b1750 <= 1 e17389: b1747 - b1748 + b1749 <= 1 e17390: b1747 - b1748 + b1750 <= 1 e17391: b1747 - b1748 + b1751 <= 1 e17392: b1748 - b1749 + b1750 <= 1 e17393: b1748 - b1749 + b1751 <= 1 e17394: b1748 - b1749 + b1752 <= 1 e17395: b1749 - b1750 + b1751 <= 1 e17396: b1749 - b1750 + b1752 <= 1 e17397: b1749 - b1750 + b1753 <= 1 e17398: b1750 - b1751 + b1752 <= 1 e17399: b1750 - b1751 + b1753 <= 1 e17400: b1751 - b1752 + b1753 <= 1 e17401: - b1730 + b1731 + x19246 >= 0 e17402: - b1730 + b1732 + x19246 >= 0 e17403: - b1730 + b1733 + x19246 >= 0 e17404: b1730 - b1731 + b1732 >= 0 e17405: b1730 - b1731 + b1733 >= 0 e17406: b1730 - b1731 + b1734 >= 0 e17407: b1731 - b1732 + b1733 >= 0 e17408: b1731 - b1732 + b1734 >= 0 e17409: b1731 - b1732 + b1735 >= 0 e17410: b1732 - b1733 + b1734 >= 0 e17411: b1732 - b1733 + b1735 >= 0 e17412: b1732 - b1733 + b1736 >= 0 e17413: b1733 - b1734 + b1735 >= 0 e17414: b1733 - b1734 + b1736 >= 0 e17415: b1733 - b1734 + b1737 >= 0 e17416: b1734 - b1735 + b1736 >= 0 e17417: b1734 - b1735 + b1737 >= 0 e17418: b1734 - b1735 + b1738 >= 0 e17419: b1735 - b1736 + b1737 >= 0 e17420: b1735 - b1736 + b1738 >= 0 e17421: b1735 - b1736 + b1739 >= 0 e17422: b1736 - b1737 + b1738 >= 0 e17423: b1736 - b1737 + b1739 >= 0 e17424: b1736 - b1737 + b1740 >= 0 e17425: b1737 - b1738 + b1739 >= 0 e17426: b1737 - b1738 + b1740 >= 0 e17427: b1737 - b1738 + b1741 >= 0 e17428: b1738 - b1739 + b1740 >= 0 e17429: b1738 - b1739 + b1741 >= 0 e17430: b1738 - b1739 + b1742 >= 0 e17431: b1739 - b1740 + b1741 >= 0 e17432: b1739 - b1740 + b1742 >= 0 e17433: b1739 - b1740 + b1743 >= 0 e17434: b1740 - b1741 + b1742 >= 0 e17435: b1740 - b1741 + b1743 >= 0 e17436: b1740 - b1741 + b1744 >= 0 e17437: b1741 - b1742 + b1743 >= 0 e17438: b1741 - b1742 + b1744 >= 0 e17439: b1741 - b1742 + b1745 >= 0 e17440: b1742 - b1743 + b1744 >= 0 e17441: b1742 - b1743 + b1745 >= 0 e17442: b1742 - b1743 + b1746 >= 0 e17443: b1743 - b1744 + b1745 >= 0 e17444: b1743 - b1744 + b1746 >= 0 e17445: b1743 - b1744 + b1747 >= 0 e17446: b1744 - b1745 + b1746 >= 0 e17447: b1744 - b1745 + b1747 >= 0 e17448: b1744 - b1745 + b1748 >= 0 e17449: b1745 - b1746 + b1747 >= 0 e17450: b1745 - b1746 + b1748 >= 0 e17451: b1745 - b1746 + b1749 >= 0 e17452: b1746 - b1747 + b1748 >= 0 e17453: b1746 - b1747 + b1749 >= 0 e17454: b1746 - b1747 + b1750 >= 0 e17455: b1747 - b1748 + b1749 >= 0 e17456: b1747 - b1748 + b1750 >= 0 e17457: b1747 - b1748 + b1751 >= 0 e17458: b1748 - b1749 + b1750 >= 0 e17459: b1748 - b1749 + b1751 >= 0 e17460: b1748 - b1749 + b1752 >= 0 e17461: b1749 - b1750 + b1751 >= 0 e17462: b1749 - b1750 + b1752 >= 0 e17463: b1749 - b1750 + b1753 >= 0 e17464: b1750 - b1751 + b1752 >= 0 e17465: b1750 - b1751 + b1753 >= 0 e17466: b1751 - b1752 + b1753 >= 0 e17467: - b1754 + b1755 + x19247 <= 1 e17468: - b1754 + b1756 + x19247 <= 1 e17469: - b1754 + b1757 + x19247 <= 1 e17470: b1754 - b1755 + b1756 <= 1 e17471: b1754 - b1755 + b1757 <= 1 e17472: b1754 - b1755 + b1758 <= 1 e17473: b1755 - b1756 + b1757 <= 1 e17474: b1755 - b1756 + b1758 <= 1 e17475: b1755 - b1756 + b1759 <= 1 e17476: b1756 - b1757 + b1758 <= 1 e17477: b1756 - b1757 + b1759 <= 1 e17478: b1756 - b1757 + b1760 <= 1 e17479: b1757 - b1758 + b1759 <= 1 e17480: b1757 - b1758 + b1760 <= 1 e17481: b1757 - b1758 + b1761 <= 1 e17482: b1758 - b1759 + b1760 <= 1 e17483: b1758 - b1759 + b1761 <= 1 e17484: b1758 - b1759 + b1762 <= 1 e17485: b1759 - b1760 + b1761 <= 1 e17486: b1759 - b1760 + b1762 <= 1 e17487: b1759 - b1760 + b1763 <= 1 e17488: b1760 - b1761 + b1762 <= 1 e17489: b1760 - b1761 + b1763 <= 1 e17490: b1760 - b1761 + b1764 <= 1 e17491: b1761 - b1762 + b1763 <= 1 e17492: b1761 - b1762 + b1764 <= 1 e17493: b1761 - b1762 + b1765 <= 1 e17494: b1762 - b1763 + b1764 <= 1 e17495: b1762 - b1763 + b1765 <= 1 e17496: b1762 - b1763 + b1766 <= 1 e17497: b1763 - b1764 + b1765 <= 1 e17498: b1763 - b1764 + b1766 <= 1 e17499: b1763 - b1764 + b1767 <= 1 e17500: b1764 - b1765 + b1766 <= 1 e17501: b1764 - b1765 + b1767 <= 1 e17502: b1764 - b1765 + b1768 <= 1 e17503: b1765 - b1766 + b1767 <= 1 e17504: b1765 - b1766 + b1768 <= 1 e17505: b1765 - b1766 + b1769 <= 1 e17506: b1766 - b1767 + b1768 <= 1 e17507: b1766 - b1767 + b1769 <= 1 e17508: b1766 - b1767 + b1770 <= 1 e17509: b1767 - b1768 + b1769 <= 1 e17510: b1767 - b1768 + b1770 <= 1 e17511: b1767 - b1768 + b1771 <= 1 e17512: b1768 - b1769 + b1770 <= 1 e17513: b1768 - b1769 + b1771 <= 1 e17514: b1768 - b1769 + b1772 <= 1 e17515: b1769 - b1770 + b1771 <= 1 e17516: b1769 - b1770 + b1772 <= 1 e17517: b1769 - b1770 + b1773 <= 1 e17518: b1770 - b1771 + b1772 <= 1 e17519: b1770 - b1771 + b1773 <= 1 e17520: b1770 - b1771 + b1774 <= 1 e17521: b1771 - b1772 + b1773 <= 1 e17522: b1771 - b1772 + b1774 <= 1 e17523: b1771 - b1772 + b1775 <= 1 e17524: b1772 - b1773 + b1774 <= 1 e17525: b1772 - b1773 + b1775 <= 1 e17526: b1772 - b1773 + b1776 <= 1 e17527: b1773 - b1774 + b1775 <= 1 e17528: b1773 - b1774 + b1776 <= 1 e17529: b1773 - b1774 + b1777 <= 1 e17530: b1774 - b1775 + b1776 <= 1 e17531: b1774 - b1775 + b1777 <= 1 e17532: b1775 - b1776 + b1777 <= 1 e17533: - b1754 + b1755 + x19247 >= 0 e17534: - b1754 + b1756 + x19247 >= 0 e17535: - b1754 + b1757 + x19247 >= 0 e17536: b1754 - b1755 + b1756 >= 0 e17537: b1754 - b1755 + b1757 >= 0 e17538: b1754 - b1755 + b1758 >= 0 e17539: b1755 - b1756 + b1757 >= 0 e17540: b1755 - b1756 + b1758 >= 0 e17541: b1755 - b1756 + b1759 >= 0 e17542: b1756 - b1757 + b1758 >= 0 e17543: b1756 - b1757 + b1759 >= 0 e17544: b1756 - b1757 + b1760 >= 0 e17545: b1757 - b1758 + b1759 >= 0 e17546: b1757 - b1758 + b1760 >= 0 e17547: b1757 - b1758 + b1761 >= 0 e17548: b1758 - b1759 + b1760 >= 0 e17549: b1758 - b1759 + b1761 >= 0 e17550: b1758 - b1759 + b1762 >= 0 e17551: b1759 - b1760 + b1761 >= 0 e17552: b1759 - b1760 + b1762 >= 0 e17553: b1759 - b1760 + b1763 >= 0 e17554: b1760 - b1761 + b1762 >= 0 e17555: b1760 - b1761 + b1763 >= 0 e17556: b1760 - b1761 + b1764 >= 0 e17557: b1761 - b1762 + b1763 >= 0 e17558: b1761 - b1762 + b1764 >= 0 e17559: b1761 - b1762 + b1765 >= 0 e17560: b1762 - b1763 + b1764 >= 0 e17561: b1762 - b1763 + b1765 >= 0 e17562: b1762 - b1763 + b1766 >= 0 e17563: b1763 - b1764 + b1765 >= 0 e17564: b1763 - b1764 + b1766 >= 0 e17565: b1763 - b1764 + b1767 >= 0 e17566: b1764 - b1765 + b1766 >= 0 e17567: b1764 - b1765 + b1767 >= 0 e17568: b1764 - b1765 + b1768 >= 0 e17569: b1765 - b1766 + b1767 >= 0 e17570: b1765 - b1766 + b1768 >= 0 e17571: b1765 - b1766 + b1769 >= 0 e17572: b1766 - b1767 + b1768 >= 0 e17573: b1766 - b1767 + b1769 >= 0 e17574: b1766 - b1767 + b1770 >= 0 e17575: b1767 - b1768 + b1769 >= 0 e17576: b1767 - b1768 + b1770 >= 0 e17577: b1767 - b1768 + b1771 >= 0 e17578: b1768 - b1769 + b1770 >= 0 e17579: b1768 - b1769 + b1771 >= 0 e17580: b1768 - b1769 + b1772 >= 0 e17581: b1769 - b1770 + b1771 >= 0 e17582: b1769 - b1770 + b1772 >= 0 e17583: b1769 - b1770 + b1773 >= 0 e17584: b1770 - b1771 + b1772 >= 0 e17585: b1770 - b1771 + b1773 >= 0 e17586: b1770 - b1771 + b1774 >= 0 e17587: b1771 - b1772 + b1773 >= 0 e17588: b1771 - b1772 + b1774 >= 0 e17589: b1771 - b1772 + b1775 >= 0 e17590: b1772 - b1773 + b1774 >= 0 e17591: b1772 - b1773 + b1775 >= 0 e17592: b1772 - b1773 + b1776 >= 0 e17593: b1773 - b1774 + b1775 >= 0 e17594: b1773 - b1774 + b1776 >= 0 e17595: b1773 - b1774 + b1777 >= 0 e17596: b1774 - b1775 + b1776 >= 0 e17597: b1774 - b1775 + b1777 >= 0 e17598: b1775 - b1776 + b1777 >= 0 e17599: - b1778 + b1779 + x19248 <= 1 e17600: - b1778 + b1780 + x19248 <= 1 e17601: - b1778 + b1781 + x19248 <= 1 e17602: b1778 - b1779 + b1780 <= 1 e17603: b1778 - b1779 + b1781 <= 1 e17604: b1778 - b1779 + b1782 <= 1 e17605: b1779 - b1780 + b1781 <= 1 e17606: b1779 - b1780 + b1782 <= 1 e17607: b1779 - b1780 + b1783 <= 1 e17608: b1780 - b1781 + b1782 <= 1 e17609: b1780 - b1781 + b1783 <= 1 e17610: b1780 - b1781 + b1784 <= 1 e17611: b1781 - b1782 + b1783 <= 1 e17612: b1781 - b1782 + b1784 <= 1 e17613: b1781 - b1782 + b1785 <= 1 e17614: b1782 - b1783 + b1784 <= 1 e17615: b1782 - b1783 + b1785 <= 1 e17616: b1782 - b1783 + b1786 <= 1 e17617: b1783 - b1784 + b1785 <= 1 e17618: b1783 - b1784 + b1786 <= 1 e17619: b1783 - b1784 + b1787 <= 1 e17620: b1784 - b1785 + b1786 <= 1 e17621: b1784 - b1785 + b1787 <= 1 e17622: b1784 - b1785 + b1788 <= 1 e17623: b1785 - b1786 + b1787 <= 1 e17624: b1785 - b1786 + b1788 <= 1 e17625: b1785 - b1786 + b1789 <= 1 e17626: b1786 - b1787 + b1788 <= 1 e17627: b1786 - b1787 + b1789 <= 1 e17628: b1786 - b1787 + b1790 <= 1 e17629: b1787 - b1788 + b1789 <= 1 e17630: b1787 - b1788 + b1790 <= 1 e17631: b1787 - b1788 + b1791 <= 1 e17632: b1788 - b1789 + b1790 <= 1 e17633: b1788 - b1789 + b1791 <= 1 e17634: b1788 - b1789 + b1792 <= 1 e17635: b1789 - b1790 + b1791 <= 1 e17636: b1789 - b1790 + b1792 <= 1 e17637: b1789 - b1790 + b1793 <= 1 e17638: b1790 - b1791 + b1792 <= 1 e17639: b1790 - b1791 + b1793 <= 1 e17640: b1790 - b1791 + b1794 <= 1 e17641: b1791 - b1792 + b1793 <= 1 e17642: b1791 - b1792 + b1794 <= 1 e17643: b1791 - b1792 + b1795 <= 1 e17644: b1792 - b1793 + b1794 <= 1 e17645: b1792 - b1793 + b1795 <= 1 e17646: b1792 - b1793 + b1796 <= 1 e17647: b1793 - b1794 + b1795 <= 1 e17648: b1793 - b1794 + b1796 <= 1 e17649: b1793 - b1794 + b1797 <= 1 e17650: b1794 - b1795 + b1796 <= 1 e17651: b1794 - b1795 + b1797 <= 1 e17652: b1794 - b1795 + b1798 <= 1 e17653: b1795 - b1796 + b1797 <= 1 e17654: b1795 - b1796 + b1798 <= 1 e17655: b1795 - b1796 + b1799 <= 1 e17656: b1796 - b1797 + b1798 <= 1 e17657: b1796 - b1797 + b1799 <= 1 e17658: b1796 - b1797 + b1800 <= 1 e17659: b1797 - b1798 + b1799 <= 1 e17660: b1797 - b1798 + b1800 <= 1 e17661: b1797 - b1798 + b1801 <= 1 e17662: b1798 - b1799 + b1800 <= 1 e17663: b1798 - b1799 + b1801 <= 1 e17664: b1799 - b1800 + b1801 <= 1 e17665: - b1778 + b1779 + x19248 >= 0 e17666: - b1778 + b1780 + x19248 >= 0 e17667: b1778 - b1779 + b1780 >= 0 e17668: b1778 - b1779 + b1781 >= 0 e17669: b1779 - b1780 + b1781 >= 0 e17670: b1779 - b1780 + b1782 >= 0 e17671: b1780 - b1781 + b1782 >= 0 e17672: b1780 - b1781 + b1783 >= 0 e17673: b1781 - b1782 + b1783 >= 0 e17674: b1781 - b1782 + b1784 >= 0 e17675: b1782 - b1783 + b1784 >= 0 e17676: b1782 - b1783 + b1785 >= 0 e17677: b1783 - b1784 + b1785 >= 0 e17678: b1783 - b1784 + b1786 >= 0 e17679: b1784 - b1785 + b1786 >= 0 e17680: b1784 - b1785 + b1787 >= 0 e17681: b1785 - b1786 + b1787 >= 0 e17682: b1785 - b1786 + b1788 >= 0 e17683: b1786 - b1787 + b1788 >= 0 e17684: b1786 - b1787 + b1789 >= 0 e17685: b1787 - b1788 + b1789 >= 0 e17686: b1787 - b1788 + b1790 >= 0 e17687: b1788 - b1789 + b1790 >= 0 e17688: b1788 - b1789 + b1791 >= 0 e17689: b1789 - b1790 + b1791 >= 0 e17690: b1789 - b1790 + b1792 >= 0 e17691: b1790 - b1791 + b1792 >= 0 e17692: b1790 - b1791 + b1793 >= 0 e17693: b1791 - b1792 + b1793 >= 0 e17694: b1791 - b1792 + b1794 >= 0 e17695: b1792 - b1793 + b1794 >= 0 e17696: b1792 - b1793 + b1795 >= 0 e17697: b1793 - b1794 + b1795 >= 0 e17698: b1793 - b1794 + b1796 >= 0 e17699: b1794 - b1795 + b1796 >= 0 e17700: b1794 - b1795 + b1797 >= 0 e17701: b1795 - b1796 + b1797 >= 0 e17702: b1795 - b1796 + b1798 >= 0 e17703: b1796 - b1797 + b1798 >= 0 e17704: b1796 - b1797 + b1799 >= 0 e17705: b1797 - b1798 + b1799 >= 0 e17706: b1797 - b1798 + b1800 >= 0 e17707: b1798 - b1799 + b1800 >= 0 e17708: b1798 - b1799 + b1801 >= 0 e17709: b1799 - b1800 + b1801 >= 0 e17710: - b1802 + b1803 + x19249 <= 1 e17711: - b1802 + b1804 + x19249 <= 1 e17712: b1802 - b1803 + b1804 <= 1 e17713: b1802 - b1803 + b1805 <= 1 e17714: b1803 - b1804 + b1805 <= 1 e17715: b1803 - b1804 + b1806 <= 1 e17716: b1804 - b1805 + b1806 <= 1 e17717: b1804 - b1805 + b1807 <= 1 e17718: b1805 - b1806 + b1807 <= 1 e17719: b1805 - b1806 + b1808 <= 1 e17720: b1806 - b1807 + b1808 <= 1 e17721: b1806 - b1807 + b1809 <= 1 e17722: b1807 - b1808 + b1809 <= 1 e17723: b1807 - b1808 + b1810 <= 1 e17724: b1808 - b1809 + b1810 <= 1 e17725: b1808 - b1809 + b1811 <= 1 e17726: b1809 - b1810 + b1811 <= 1 e17727: b1809 - b1810 + b1812 <= 1 e17728: b1810 - b1811 + b1812 <= 1 e17729: b1810 - b1811 + b1813 <= 1 e17730: b1811 - b1812 + b1813 <= 1 e17731: b1811 - b1812 + b1814 <= 1 e17732: b1812 - b1813 + b1814 <= 1 e17733: b1812 - b1813 + b1815 <= 1 e17734: b1813 - b1814 + b1815 <= 1 e17735: b1813 - b1814 + b1816 <= 1 e17736: b1814 - b1815 + b1816 <= 1 e17737: b1814 - b1815 + b1817 <= 1 e17738: b1815 - b1816 + b1817 <= 1 e17739: b1815 - b1816 + b1818 <= 1 e17740: b1816 - b1817 + b1818 <= 1 e17741: b1816 - b1817 + b1819 <= 1 e17742: b1817 - b1818 + b1819 <= 1 e17743: b1817 - b1818 + b1820 <= 1 e17744: b1818 - b1819 + b1820 <= 1 e17745: b1818 - b1819 + b1821 <= 1 e17746: b1819 - b1820 + b1821 <= 1 e17747: b1819 - b1820 + b1822 <= 1 e17748: b1820 - b1821 + b1822 <= 1 e17749: b1820 - b1821 + b1823 <= 1 e17750: b1821 - b1822 + b1823 <= 1 e17751: b1821 - b1822 + b1824 <= 1 e17752: b1822 - b1823 + b1824 <= 1 e17753: b1822 - b1823 + b1825 <= 1 e17754: b1823 - b1824 + b1825 <= 1 e17755: - b1802 + b1803 + x19249 >= 0 e17756: - b1802 + b1804 + x19249 >= 0 e17757: - b1802 + b1805 + x19249 >= 0 e17758: b1802 - b1803 + b1804 >= 0 e17759: b1802 - b1803 + b1805 >= 0 e17760: b1802 - b1803 + b1806 >= 0 e17761: b1803 - b1804 + b1805 >= 0 e17762: b1803 - b1804 + b1806 >= 0 e17763: b1803 - b1804 + b1807 >= 0 e17764: b1804 - b1805 + b1806 >= 0 e17765: b1804 - b1805 + b1807 >= 0 e17766: b1804 - b1805 + b1808 >= 0 e17767: b1805 - b1806 + b1807 >= 0 e17768: b1805 - b1806 + b1808 >= 0 e17769: b1805 - b1806 + b1809 >= 0 e17770: b1806 - b1807 + b1808 >= 0 e17771: b1806 - b1807 + b1809 >= 0 e17772: b1806 - b1807 + b1810 >= 0 e17773: b1807 - b1808 + b1809 >= 0 e17774: b1807 - b1808 + b1810 >= 0 e17775: b1807 - b1808 + b1811 >= 0 e17776: b1808 - b1809 + b1810 >= 0 e17777: b1808 - b1809 + b1811 >= 0 e17778: b1808 - b1809 + b1812 >= 0 e17779: b1809 - b1810 + b1811 >= 0 e17780: b1809 - b1810 + b1812 >= 0 e17781: b1809 - b1810 + b1813 >= 0 e17782: b1810 - b1811 + b1812 >= 0 e17783: b1810 - b1811 + b1813 >= 0 e17784: b1810 - b1811 + b1814 >= 0 e17785: b1811 - b1812 + b1813 >= 0 e17786: b1811 - b1812 + b1814 >= 0 e17787: b1811 - b1812 + b1815 >= 0 e17788: b1812 - b1813 + b1814 >= 0 e17789: b1812 - b1813 + b1815 >= 0 e17790: b1812 - b1813 + b1816 >= 0 e17791: b1813 - b1814 + b1815 >= 0 e17792: b1813 - b1814 + b1816 >= 0 e17793: b1813 - b1814 + b1817 >= 0 e17794: b1814 - b1815 + b1816 >= 0 e17795: b1814 - b1815 + b1817 >= 0 e17796: b1814 - b1815 + b1818 >= 0 e17797: b1815 - b1816 + b1817 >= 0 e17798: b1815 - b1816 + b1818 >= 0 e17799: b1815 - b1816 + b1819 >= 0 e17800: b1816 - b1817 + b1818 >= 0 e17801: b1816 - b1817 + b1819 >= 0 e17802: b1816 - b1817 + b1820 >= 0 e17803: b1817 - b1818 + b1819 >= 0 e17804: b1817 - b1818 + b1820 >= 0 e17805: b1817 - b1818 + b1821 >= 0 e17806: b1818 - b1819 + b1820 >= 0 e17807: b1818 - b1819 + b1821 >= 0 e17808: b1818 - b1819 + b1822 >= 0 e17809: b1819 - b1820 + b1821 >= 0 e17810: b1819 - b1820 + b1822 >= 0 e17811: b1819 - b1820 + b1823 >= 0 e17812: b1820 - b1821 + b1822 >= 0 e17813: b1820 - b1821 + b1823 >= 0 e17814: b1820 - b1821 + b1824 >= 0 e17815: b1821 - b1822 + b1823 >= 0 e17816: b1821 - b1822 + b1824 >= 0 e17817: b1821 - b1822 + b1825 >= 0 e17818: b1822 - b1823 + b1824 >= 0 e17819: b1822 - b1823 + b1825 >= 0 e17820: b1823 - b1824 + b1825 >= 0 e17821: b1826 = 0 e17822: b1827 = 0 e17823: b1827 - b1828 + b1829 <= 1 e17824: b1827 - b1828 + b1830 <= 1 e17825: b1827 - b1828 + b1831 <= 1 e17826: b1828 - b1829 + b1830 <= 1 e17827: b1828 - b1829 + b1831 <= 1 e17828: b1828 - b1829 + b1832 <= 1 e17829: b1829 - b1830 + b1831 <= 1 e17830: b1829 - b1830 + b1832 <= 1 e17831: b1829 - b1830 + b1833 <= 1 e17832: b1830 - b1831 + b1832 <= 1 e17833: b1830 - b1831 + b1833 <= 1 e17834: b1830 - b1831 + b1834 <= 1 e17835: b1831 - b1832 + b1833 <= 1 e17836: b1831 - b1832 + b1834 <= 1 e17837: b1831 - b1832 + b1835 <= 1 e17838: b1832 - b1833 + b1834 <= 1 e17839: b1832 - b1833 + b1835 <= 1 e17840: b1832 - b1833 + b1836 <= 1 e17841: b1833 - b1834 + b1835 <= 1 e17842: b1833 - b1834 + b1836 <= 1 e17843: b1833 - b1834 + b1837 <= 1 e17844: b1834 - b1835 + b1836 <= 1 e17845: b1834 - b1835 + b1837 <= 1 e17846: b1834 - b1835 + b1838 <= 1 e17847: b1835 - b1836 + b1837 <= 1 e17848: b1835 - b1836 + b1838 <= 1 e17849: b1835 - b1836 + b1839 <= 1 e17850: b1836 - b1837 + b1838 <= 1 e17851: b1836 - b1837 + b1839 <= 1 e17852: b1836 - b1837 + b1840 <= 1 e17853: b1837 - b1838 + b1839 <= 1 e17854: b1837 - b1838 + b1840 <= 1 e17855: b1837 - b1838 + b1841 <= 1 e17856: b1838 - b1839 + b1840 <= 1 e17857: b1838 - b1839 + b1841 <= 1 e17858: b1838 - b1839 + b1842 <= 1 e17859: b1839 - b1840 + b1841 <= 1 e17860: b1839 - b1840 + b1842 <= 1 e17861: b1839 - b1840 + b1843 <= 1 e17862: b1840 - b1841 + b1842 <= 1 e17863: b1840 - b1841 + b1843 <= 1 e17864: b1840 - b1841 + b1844 <= 1 e17865: b1841 - b1842 + b1843 <= 1 e17866: b1841 - b1842 + b1844 <= 1 e17867: b1841 - b1842 + b1845 <= 1 e17868: b1842 - b1843 + b1844 <= 1 e17869: b1842 - b1843 + b1845 <= 1 e17870: b1842 - b1843 + b1846 <= 1 e17871: b1843 - b1844 + b1845 <= 1 e17872: b1843 - b1844 + b1846 <= 1 e17873: b1843 - b1844 + b1847 <= 1 e17874: b1844 - b1845 + b1846 <= 1 e17875: b1844 - b1845 + b1847 <= 1 e17876: b1844 - b1845 + b1848 <= 1 e17877: b1845 - b1846 + b1847 <= 1 e17878: b1845 - b1846 + b1848 <= 1 e17879: b1845 - b1846 + b1849 <= 1 e17880: b1846 - b1847 + b1848 <= 1 e17881: b1846 - b1847 + b1849 <= 1 e17882: b1847 - b1848 + b1849 <= 1 e17883: b1827 - b1828 + b1829 >= 0 e17884: b1827 - b1828 + b1830 >= 0 e17885: b1828 - b1829 + b1830 >= 0 e17886: b1828 - b1829 + b1831 >= 0 e17887: b1829 - b1830 + b1831 >= 0 e17888: b1829 - b1830 + b1832 >= 0 e17889: b1830 - b1831 + b1832 >= 0 e17890: b1830 - b1831 + b1833 >= 0 e17891: b1831 - b1832 + b1833 >= 0 e17892: b1831 - b1832 + b1834 >= 0 e17893: b1832 - b1833 + b1834 >= 0 e17894: b1832 - b1833 + b1835 >= 0 e17895: b1833 - b1834 + b1835 >= 0 e17896: b1833 - b1834 + b1836 >= 0 e17897: b1834 - b1835 + b1836 >= 0 e17898: b1834 - b1835 + b1837 >= 0 e17899: b1835 - b1836 + b1837 >= 0 e17900: b1835 - b1836 + b1838 >= 0 e17901: b1836 - b1837 + b1838 >= 0 e17902: b1836 - b1837 + b1839 >= 0 e17903: b1837 - b1838 + b1839 >= 0 e17904: b1837 - b1838 + b1840 >= 0 e17905: b1838 - b1839 + b1840 >= 0 e17906: b1838 - b1839 + b1841 >= 0 e17907: b1839 - b1840 + b1841 >= 0 e17908: b1839 - b1840 + b1842 >= 0 e17909: b1840 - b1841 + b1842 >= 0 e17910: b1840 - b1841 + b1843 >= 0 e17911: b1841 - b1842 + b1843 >= 0 e17912: b1841 - b1842 + b1844 >= 0 e17913: b1842 - b1843 + b1844 >= 0 e17914: b1842 - b1843 + b1845 >= 0 e17915: b1843 - b1844 + b1845 >= 0 e17916: b1843 - b1844 + b1846 >= 0 e17917: b1844 - b1845 + b1846 >= 0 e17918: b1844 - b1845 + b1847 >= 0 e17919: b1845 - b1846 + b1847 >= 0 e17920: b1845 - b1846 + b1848 >= 0 e17921: b1846 - b1847 + b1848 >= 0 e17922: b1846 - b1847 + b1849 >= 0 e17923: b1847 - b1848 + b1849 >= 0 e17924: - b1850 + b1851 + x19250 <= 1 e17925: - b1850 + b1852 + x19250 <= 1 e17926: - b1850 + b1853 + x19250 <= 1 e17927: b1850 - b1851 + b1852 <= 1 e17928: b1850 - b1851 + b1853 <= 1 e17929: b1850 - b1851 + b1854 <= 1 e17930: b1851 - b1852 + b1853 <= 1 e17931: b1851 - b1852 + b1854 <= 1 e17932: b1851 - b1852 + b1855 <= 1 e17933: b1852 - b1853 + b1854 <= 1 e17934: b1852 - b1853 + b1855 <= 1 e17935: b1852 - b1853 + b1856 <= 1 e17936: b1853 - b1854 + b1855 <= 1 e17937: b1853 - b1854 + b1856 <= 1 e17938: b1853 - b1854 + b1857 <= 1 e17939: b1854 - b1855 + b1856 <= 1 e17940: b1854 - b1855 + b1857 <= 1 e17941: b1854 - b1855 + b1858 <= 1 e17942: b1855 - b1856 + b1857 <= 1 e17943: b1855 - b1856 + b1858 <= 1 e17944: b1855 - b1856 + b1859 <= 1 e17945: b1856 - b1857 + b1858 <= 1 e17946: b1856 - b1857 + b1859 <= 1 e17947: b1856 - b1857 + b1860 <= 1 e17948: b1857 - b1858 + b1859 <= 1 e17949: b1857 - b1858 + b1860 <= 1 e17950: b1857 - b1858 + b1861 <= 1 e17951: b1858 - b1859 + b1860 <= 1 e17952: b1858 - b1859 + b1861 <= 1 e17953: b1858 - b1859 + b1862 <= 1 e17954: b1859 - b1860 + b1861 <= 1 e17955: b1859 - b1860 + b1862 <= 1 e17956: b1859 - b1860 + b1863 <= 1 e17957: b1860 - b1861 + b1862 <= 1 e17958: b1860 - b1861 + b1863 <= 1 e17959: b1860 - b1861 + b1864 <= 1 e17960: b1861 - b1862 + b1863 <= 1 e17961: b1861 - b1862 + b1864 <= 1 e17962: b1861 - b1862 + b1865 <= 1 e17963: b1862 - b1863 + b1864 <= 1 e17964: b1862 - b1863 + b1865 <= 1 e17965: b1862 - b1863 + b1866 <= 1 e17966: b1863 - b1864 + b1865 <= 1 e17967: b1863 - b1864 + b1866 <= 1 e17968: b1863 - b1864 + b1867 <= 1 e17969: b1864 - b1865 + b1866 <= 1 e17970: b1864 - b1865 + b1867 <= 1 e17971: b1864 - b1865 + b1868 <= 1 e17972: b1865 - b1866 + b1867 <= 1 e17973: b1865 - b1866 + b1868 <= 1 e17974: b1865 - b1866 + b1869 <= 1 e17975: b1866 - b1867 + b1868 <= 1 e17976: b1866 - b1867 + b1869 <= 1 e17977: b1866 - b1867 + b1870 <= 1 e17978: b1867 - b1868 + b1869 <= 1 e17979: b1867 - b1868 + b1870 <= 1 e17980: b1867 - b1868 + b1871 <= 1 e17981: b1868 - b1869 + b1870 <= 1 e17982: b1868 - b1869 + b1871 <= 1 e17983: b1868 - b1869 + b1872 <= 1 e17984: b1869 - b1870 + b1871 <= 1 e17985: b1869 - b1870 + b1872 <= 1 e17986: b1869 - b1870 + b1873 <= 1 e17987: b1870 - b1871 + b1872 <= 1 e17988: b1870 - b1871 + b1873 <= 1 e17989: b1871 - b1872 + b1873 <= 1 e17990: - b1850 + b1851 + x19250 >= 0 e17991: - b1850 + b1852 + x19250 >= 0 e17992: b1850 - b1851 + b1852 >= 0 e17993: b1850 - b1851 + b1853 >= 0 e17994: b1851 - b1852 + b1853 >= 0 e17995: b1851 - b1852 + b1854 >= 0 e17996: b1852 - b1853 + b1854 >= 0 e17997: b1852 - b1853 + b1855 >= 0 e17998: b1853 - b1854 + b1855 >= 0 e17999: b1853 - b1854 + b1856 >= 0 e18000: b1854 - b1855 + b1856 >= 0 e18001: b1854 - b1855 + b1857 >= 0 e18002: b1855 - b1856 + b1857 >= 0 e18003: b1855 - b1856 + b1858 >= 0 e18004: b1856 - b1857 + b1858 >= 0 e18005: b1856 - b1857 + b1859 >= 0 e18006: b1857 - b1858 + b1859 >= 0 e18007: b1857 - b1858 + b1860 >= 0 e18008: b1858 - b1859 + b1860 >= 0 e18009: b1858 - b1859 + b1861 >= 0 e18010: b1859 - b1860 + b1861 >= 0 e18011: b1859 - b1860 + b1862 >= 0 e18012: b1860 - b1861 + b1862 >= 0 e18013: b1860 - b1861 + b1863 >= 0 e18014: b1861 - b1862 + b1863 >= 0 e18015: b1861 - b1862 + b1864 >= 0 e18016: b1862 - b1863 + b1864 >= 0 e18017: b1862 - b1863 + b1865 >= 0 e18018: b1863 - b1864 + b1865 >= 0 e18019: b1863 - b1864 + b1866 >= 0 e18020: b1864 - b1865 + b1866 >= 0 e18021: b1864 - b1865 + b1867 >= 0 e18022: b1865 - b1866 + b1867 >= 0 e18023: b1865 - b1866 + b1868 >= 0 e18024: b1866 - b1867 + b1868 >= 0 e18025: b1866 - b1867 + b1869 >= 0 e18026: b1867 - b1868 + b1869 >= 0 e18027: b1867 - b1868 + b1870 >= 0 e18028: b1868 - b1869 + b1870 >= 0 e18029: b1868 - b1869 + b1871 >= 0 e18030: b1869 - b1870 + b1871 >= 0 e18031: b1869 - b1870 + b1872 >= 0 e18032: b1870 - b1871 + b1872 >= 0 e18033: b1870 - b1871 + b1873 >= 0 e18034: b1871 - b1872 + b1873 >= 0 e18035: b1874 = 0 e18036: b1875 = 0 e18037: b1875 - b1876 + b1877 <= 1 e18038: b1875 - b1876 + b1878 <= 1 e18039: b1875 - b1876 + b1879 <= 1 e18040: b1876 - b1877 + b1878 <= 1 e18041: b1876 - b1877 + b1879 <= 1 e18042: b1876 - b1877 + b1880 <= 1 e18043: b1877 - b1878 + b1879 <= 1 e18044: b1877 - b1878 + b1880 <= 1 e18045: b1877 - b1878 + b1881 <= 1 e18046: b1878 - b1879 + b1880 <= 1 e18047: b1878 - b1879 + b1881 <= 1 e18048: b1878 - b1879 + b1882 <= 1 e18049: b1879 - b1880 + b1881 <= 1 e18050: b1879 - b1880 + b1882 <= 1 e18051: b1879 - b1880 + b1883 <= 1 e18052: b1880 - b1881 + b1882 <= 1 e18053: b1880 - b1881 + b1883 <= 1 e18054: b1880 - b1881 + b1884 <= 1 e18055: b1881 - b1882 + b1883 <= 1 e18056: b1881 - b1882 + b1884 <= 1 e18057: b1881 - b1882 + b1885 <= 1 e18058: b1882 - b1883 + b1884 <= 1 e18059: b1882 - b1883 + b1885 <= 1 e18060: b1882 - b1883 + b1886 <= 1 e18061: b1883 - b1884 + b1885 <= 1 e18062: b1883 - b1884 + b1886 <= 1 e18063: b1883 - b1884 + b1887 <= 1 e18064: b1884 - b1885 + b1886 <= 1 e18065: b1884 - b1885 + b1887 <= 1 e18066: b1884 - b1885 + b1888 <= 1 e18067: b1885 - b1886 + b1887 <= 1 e18068: b1885 - b1886 + b1888 <= 1 e18069: b1885 - b1886 + b1889 <= 1 e18070: b1886 - b1887 + b1888 <= 1 e18071: b1886 - b1887 + b1889 <= 1 e18072: b1886 - b1887 + b1890 <= 1 e18073: b1887 - b1888 + b1889 <= 1 e18074: b1887 - b1888 + b1890 <= 1 e18075: b1887 - b1888 + b1891 <= 1 e18076: b1888 - b1889 + b1890 <= 1 e18077: b1888 - b1889 + b1891 <= 1 e18078: b1888 - b1889 + b1892 <= 1 e18079: b1889 - b1890 + b1891 <= 1 e18080: b1889 - b1890 + b1892 <= 1 e18081: b1889 - b1890 + b1893 <= 1 e18082: b1890 - b1891 + b1892 <= 1 e18083: b1890 - b1891 + b1893 <= 1 e18084: b1890 - b1891 + b1894 <= 1 e18085: b1891 - b1892 + b1893 <= 1 e18086: b1891 - b1892 + b1894 <= 1 e18087: b1891 - b1892 + b1895 <= 1 e18088: b1892 - b1893 + b1894 <= 1 e18089: b1892 - b1893 + b1895 <= 1 e18090: b1892 - b1893 + b1896 <= 1 e18091: b1893 - b1894 + b1895 <= 1 e18092: b1893 - b1894 + b1896 <= 1 e18093: b1893 - b1894 + b1897 <= 1 e18094: b1894 - b1895 + b1896 <= 1 e18095: b1894 - b1895 + b1897 <= 1 e18096: b1895 - b1896 + b1897 <= 1 e18097: b1875 - b1876 + b1877 >= 0 e18098: b1875 - b1876 + b1878 >= 0 e18099: b1875 - b1876 + b1879 >= 0 e18100: b1876 - b1877 + b1878 >= 0 e18101: b1876 - b1877 + b1879 >= 0 e18102: b1876 - b1877 + b1880 >= 0 e18103: b1877 - b1878 + b1879 >= 0 e18104: b1877 - b1878 + b1880 >= 0 e18105: b1877 - b1878 + b1881 >= 0 e18106: b1878 - b1879 + b1880 >= 0 e18107: b1878 - b1879 + b1881 >= 0 e18108: b1878 - b1879 + b1882 >= 0 e18109: b1879 - b1880 + b1881 >= 0 e18110: b1879 - b1880 + b1882 >= 0 e18111: b1879 - b1880 + b1883 >= 0 e18112: b1880 - b1881 + b1882 >= 0 e18113: b1880 - b1881 + b1883 >= 0 e18114: b1880 - b1881 + b1884 >= 0 e18115: b1881 - b1882 + b1883 >= 0 e18116: b1881 - b1882 + b1884 >= 0 e18117: b1881 - b1882 + b1885 >= 0 e18118: b1882 - b1883 + b1884 >= 0 e18119: b1882 - b1883 + b1885 >= 0 e18120: b1882 - b1883 + b1886 >= 0 e18121: b1883 - b1884 + b1885 >= 0 e18122: b1883 - b1884 + b1886 >= 0 e18123: b1883 - b1884 + b1887 >= 0 e18124: b1884 - b1885 + b1886 >= 0 e18125: b1884 - b1885 + b1887 >= 0 e18126: b1884 - b1885 + b1888 >= 0 e18127: b1885 - b1886 + b1887 >= 0 e18128: b1885 - b1886 + b1888 >= 0 e18129: b1885 - b1886 + b1889 >= 0 e18130: b1886 - b1887 + b1888 >= 0 e18131: b1886 - b1887 + b1889 >= 0 e18132: b1886 - b1887 + b1890 >= 0 e18133: b1887 - b1888 + b1889 >= 0 e18134: b1887 - b1888 + b1890 >= 0 e18135: b1887 - b1888 + b1891 >= 0 e18136: b1888 - b1889 + b1890 >= 0 e18137: b1888 - b1889 + b1891 >= 0 e18138: b1888 - b1889 + b1892 >= 0 e18139: b1889 - b1890 + b1891 >= 0 e18140: b1889 - b1890 + b1892 >= 0 e18141: b1889 - b1890 + b1893 >= 0 e18142: b1890 - b1891 + b1892 >= 0 e18143: b1890 - b1891 + b1893 >= 0 e18144: b1890 - b1891 + b1894 >= 0 e18145: b1891 - b1892 + b1893 >= 0 e18146: b1891 - b1892 + b1894 >= 0 e18147: b1891 - b1892 + b1895 >= 0 e18148: b1892 - b1893 + b1894 >= 0 e18149: b1892 - b1893 + b1895 >= 0 e18150: b1892 - b1893 + b1896 >= 0 e18151: b1893 - b1894 + b1895 >= 0 e18152: b1893 - b1894 + b1896 >= 0 e18153: b1893 - b1894 + b1897 >= 0 e18154: b1894 - b1895 + b1896 >= 0 e18155: b1894 - b1895 + b1897 >= 0 e18156: b1895 - b1896 + b1897 >= 0 e18157: - b1898 + b1899 + x19251 <= 1 e18158: - b1898 + b1900 + x19251 <= 1 e18159: - b1898 + b1901 + x19251 <= 1 e18160: b1898 - b1899 + b1900 <= 1 e18161: b1898 - b1899 + b1901 <= 1 e18162: b1898 - b1899 + b1902 <= 1 e18163: b1899 - b1900 + b1901 <= 1 e18164: b1899 - b1900 + b1902 <= 1 e18165: b1899 - b1900 + b1903 <= 1 e18166: b1900 - b1901 + b1902 <= 1 e18167: b1900 - b1901 + b1903 <= 1 e18168: b1900 - b1901 + b1904 <= 1 e18169: b1901 - b1902 + b1903 <= 1 e18170: b1901 - b1902 + b1904 <= 1 e18171: b1901 - b1902 + b1905 <= 1 e18172: b1902 - b1903 + b1904 <= 1 e18173: b1902 - b1903 + b1905 <= 1 e18174: b1902 - b1903 + b1906 <= 1 e18175: b1903 - b1904 + b1905 <= 1 e18176: b1903 - b1904 + b1906 <= 1 e18177: b1903 - b1904 + b1907 <= 1 e18178: b1904 - b1905 + b1906 <= 1 e18179: b1904 - b1905 + b1907 <= 1 e18180: b1904 - b1905 + b1908 <= 1 e18181: b1905 - b1906 + b1907 <= 1 e18182: b1905 - b1906 + b1908 <= 1 e18183: b1905 - b1906 + b1909 <= 1 e18184: b1906 - b1907 + b1908 <= 1 e18185: b1906 - b1907 + b1909 <= 1 e18186: b1906 - b1907 + b1910 <= 1 e18187: b1907 - b1908 + b1909 <= 1 e18188: b1907 - b1908 + b1910 <= 1 e18189: b1907 - b1908 + b1911 <= 1 e18190: b1908 - b1909 + b1910 <= 1 e18191: b1908 - b1909 + b1911 <= 1 e18192: b1908 - b1909 + b1912 <= 1 e18193: b1909 - b1910 + b1911 <= 1 e18194: b1909 - b1910 + b1912 <= 1 e18195: b1909 - b1910 + b1913 <= 1 e18196: b1910 - b1911 + b1912 <= 1 e18197: b1910 - b1911 + b1913 <= 1 e18198: b1910 - b1911 + b1914 <= 1 e18199: b1911 - b1912 + b1913 <= 1 e18200: b1911 - b1912 + b1914 <= 1 e18201: b1911 - b1912 + b1915 <= 1 e18202: b1912 - b1913 + b1914 <= 1 e18203: b1912 - b1913 + b1915 <= 1 e18204: b1912 - b1913 + b1916 <= 1 e18205: b1913 - b1914 + b1915 <= 1 e18206: b1913 - b1914 + b1916 <= 1 e18207: b1913 - b1914 + b1917 <= 1 e18208: b1914 - b1915 + b1916 <= 1 e18209: b1914 - b1915 + b1917 <= 1 e18210: b1914 - b1915 + b1918 <= 1 e18211: b1915 - b1916 + b1917 <= 1 e18212: b1915 - b1916 + b1918 <= 1 e18213: b1915 - b1916 + b1919 <= 1 e18214: b1916 - b1917 + b1918 <= 1 e18215: b1916 - b1917 + b1919 <= 1 e18216: b1916 - b1917 + b1920 <= 1 e18217: b1917 - b1918 + b1919 <= 1 e18218: b1917 - b1918 + b1920 <= 1 e18219: b1917 - b1918 + b1921 <= 1 e18220: b1918 - b1919 + b1920 <= 1 e18221: b1918 - b1919 + b1921 <= 1 e18222: b1919 - b1920 + b1921 <= 1 e18223: - b1898 + b1899 + x19251 >= 0 e18224: - b1898 + b1900 + x19251 >= 0 e18225: b1898 - b1899 + b1900 >= 0 e18226: b1898 - b1899 + b1901 >= 0 e18227: b1899 - b1900 + b1901 >= 0 e18228: b1899 - b1900 + b1902 >= 0 e18229: b1900 - b1901 + b1902 >= 0 e18230: b1900 - b1901 + b1903 >= 0 e18231: b1901 - b1902 + b1903 >= 0 e18232: b1901 - b1902 + b1904 >= 0 e18233: b1902 - b1903 + b1904 >= 0 e18234: b1902 - b1903 + b1905 >= 0 e18235: b1903 - b1904 + b1905 >= 0 e18236: b1903 - b1904 + b1906 >= 0 e18237: b1904 - b1905 + b1906 >= 0 e18238: b1904 - b1905 + b1907 >= 0 e18239: b1905 - b1906 + b1907 >= 0 e18240: b1905 - b1906 + b1908 >= 0 e18241: b1906 - b1907 + b1908 >= 0 e18242: b1906 - b1907 + b1909 >= 0 e18243: b1907 - b1908 + b1909 >= 0 e18244: b1907 - b1908 + b1910 >= 0 e18245: b1908 - b1909 + b1910 >= 0 e18246: b1908 - b1909 + b1911 >= 0 e18247: b1909 - b1910 + b1911 >= 0 e18248: b1909 - b1910 + b1912 >= 0 e18249: b1910 - b1911 + b1912 >= 0 e18250: b1910 - b1911 + b1913 >= 0 e18251: b1911 - b1912 + b1913 >= 0 e18252: b1911 - b1912 + b1914 >= 0 e18253: b1912 - b1913 + b1914 >= 0 e18254: b1912 - b1913 + b1915 >= 0 e18255: b1913 - b1914 + b1915 >= 0 e18256: b1913 - b1914 + b1916 >= 0 e18257: b1914 - b1915 + b1916 >= 0 e18258: b1914 - b1915 + b1917 >= 0 e18259: b1915 - b1916 + b1917 >= 0 e18260: b1915 - b1916 + b1918 >= 0 e18261: b1916 - b1917 + b1918 >= 0 e18262: b1916 - b1917 + b1919 >= 0 e18263: b1917 - b1918 + b1919 >= 0 e18264: b1917 - b1918 + b1920 >= 0 e18265: b1918 - b1919 + b1920 >= 0 e18266: b1918 - b1919 + b1921 >= 0 e18267: b1919 - b1920 + b1921 >= 0 e18268: - b1922 + b1923 + x19252 <= 1 e18269: - b1922 + b1924 + x19252 <= 1 e18270: b1922 - b1923 + b1924 <= 1 e18271: b1922 - b1923 + b1925 <= 1 e18272: b1923 - b1924 + b1925 <= 1 e18273: b1923 - b1924 + b1926 <= 1 e18274: b1924 - b1925 + b1926 <= 1 e18275: b1924 - b1925 + b1927 <= 1 e18276: b1925 - b1926 + b1927 <= 1 e18277: b1925 - b1926 + b1928 <= 1 e18278: b1926 - b1927 + b1928 <= 1 e18279: b1926 - b1927 + b1929 <= 1 e18280: b1927 - b1928 + b1929 <= 1 e18281: b1927 - b1928 + b1930 <= 1 e18282: b1928 - b1929 + b1930 <= 1 e18283: b1928 - b1929 + b1931 <= 1 e18284: b1929 - b1930 + b1931 <= 1 e18285: b1929 - b1930 + b1932 <= 1 e18286: b1930 - b1931 + b1932 <= 1 e18287: b1930 - b1931 + b1933 <= 1 e18288: b1931 - b1932 + b1933 <= 1 e18289: b1931 - b1932 + b1934 <= 1 e18290: b1932 - b1933 + b1934 <= 1 e18291: b1932 - b1933 + b1935 <= 1 e18292: b1933 - b1934 + b1935 <= 1 e18293: b1933 - b1934 + b1936 <= 1 e18294: b1934 - b1935 + b1936 <= 1 e18295: b1934 - b1935 + b1937 <= 1 e18296: b1935 - b1936 + b1937 <= 1 e18297: b1935 - b1936 + b1938 <= 1 e18298: b1936 - b1937 + b1938 <= 1 e18299: b1936 - b1937 + b1939 <= 1 e18300: b1937 - b1938 + b1939 <= 1 e18301: b1937 - b1938 + b1940 <= 1 e18302: b1938 - b1939 + b1940 <= 1 e18303: b1938 - b1939 + b1941 <= 1 e18304: b1939 - b1940 + b1941 <= 1 e18305: b1939 - b1940 + b1942 <= 1 e18306: b1940 - b1941 + b1942 <= 1 e18307: b1940 - b1941 + b1943 <= 1 e18308: b1941 - b1942 + b1943 <= 1 e18309: b1941 - b1942 + b1944 <= 1 e18310: b1942 - b1943 + b1944 <= 1 e18311: b1942 - b1943 + b1945 <= 1 e18312: b1943 - b1944 + b1945 <= 1 e18313: - b1922 + b1923 + x19252 >= 0 e18314: - b1922 + b1924 + x19252 >= 0 e18315: - b1922 + b1925 + x19252 >= 0 e18316: b1922 - b1923 + b1924 >= 0 e18317: b1922 - b1923 + b1925 >= 0 e18318: b1922 - b1923 + b1926 >= 0 e18319: b1923 - b1924 + b1925 >= 0 e18320: b1923 - b1924 + b1926 >= 0 e18321: b1923 - b1924 + b1927 >= 0 e18322: b1924 - b1925 + b1926 >= 0 e18323: b1924 - b1925 + b1927 >= 0 e18324: b1924 - b1925 + b1928 >= 0 e18325: b1925 - b1926 + b1927 >= 0 e18326: b1925 - b1926 + b1928 >= 0 e18327: b1925 - b1926 + b1929 >= 0 e18328: b1926 - b1927 + b1928 >= 0 e18329: b1926 - b1927 + b1929 >= 0 e18330: b1926 - b1927 + b1930 >= 0 e18331: b1927 - b1928 + b1929 >= 0 e18332: b1927 - b1928 + b1930 >= 0 e18333: b1927 - b1928 + b1931 >= 0 e18334: b1928 - b1929 + b1930 >= 0 e18335: b1928 - b1929 + b1931 >= 0 e18336: b1928 - b1929 + b1932 >= 0 e18337: b1929 - b1930 + b1931 >= 0 e18338: b1929 - b1930 + b1932 >= 0 e18339: b1929 - b1930 + b1933 >= 0 e18340: b1930 - b1931 + b1932 >= 0 e18341: b1930 - b1931 + b1933 >= 0 e18342: b1930 - b1931 + b1934 >= 0 e18343: b1931 - b1932 + b1933 >= 0 e18344: b1931 - b1932 + b1934 >= 0 e18345: b1931 - b1932 + b1935 >= 0 e18346: b1932 - b1933 + b1934 >= 0 e18347: b1932 - b1933 + b1935 >= 0 e18348: b1932 - b1933 + b1936 >= 0 e18349: b1933 - b1934 + b1935 >= 0 e18350: b1933 - b1934 + b1936 >= 0 e18351: b1933 - b1934 + b1937 >= 0 e18352: b1934 - b1935 + b1936 >= 0 e18353: b1934 - b1935 + b1937 >= 0 e18354: b1934 - b1935 + b1938 >= 0 e18355: b1935 - b1936 + b1937 >= 0 e18356: b1935 - b1936 + b1938 >= 0 e18357: b1935 - b1936 + b1939 >= 0 e18358: b1936 - b1937 + b1938 >= 0 e18359: b1936 - b1937 + b1939 >= 0 e18360: b1936 - b1937 + b1940 >= 0 e18361: b1937 - b1938 + b1939 >= 0 e18362: b1937 - b1938 + b1940 >= 0 e18363: b1937 - b1938 + b1941 >= 0 e18364: b1938 - b1939 + b1940 >= 0 e18365: b1938 - b1939 + b1941 >= 0 e18366: b1938 - b1939 + b1942 >= 0 e18367: b1939 - b1940 + b1941 >= 0 e18368: b1939 - b1940 + b1942 >= 0 e18369: b1939 - b1940 + b1943 >= 0 e18370: b1940 - b1941 + b1942 >= 0 e18371: b1940 - b1941 + b1943 >= 0 e18372: b1940 - b1941 + b1944 >= 0 e18373: b1941 - b1942 + b1943 >= 0 e18374: b1941 - b1942 + b1944 >= 0 e18375: b1941 - b1942 + b1945 >= 0 e18376: b1942 - b1943 + b1944 >= 0 e18377: b1942 - b1943 + b1945 >= 0 e18378: b1943 - b1944 + b1945 >= 0 e18379: b1946 = 0 e18380: b1947 = 0 e18381: b1948 = 0 e18382: b1948 - b1949 + b1950 <= 1 e18383: b1948 - b1949 + b1951 <= 1 e18384: b1948 - b1949 + b1952 <= 1 e18385: b1949 - b1950 + b1951 <= 1 e18386: b1949 - b1950 + b1952 <= 1 e18387: b1949 - b1950 + b1953 <= 1 e18388: b1950 - b1951 + b1952 <= 1 e18389: b1950 - b1951 + b1953 <= 1 e18390: b1950 - b1951 + b1954 <= 1 e18391: b1951 - b1952 + b1953 <= 1 e18392: b1951 - b1952 + b1954 <= 1 e18393: b1951 - b1952 + b1955 <= 1 e18394: b1952 - b1953 + b1954 <= 1 e18395: b1952 - b1953 + b1955 <= 1 e18396: b1952 - b1953 + b1956 <= 1 e18397: b1953 - b1954 + b1955 <= 1 e18398: b1953 - b1954 + b1956 <= 1 e18399: b1953 - b1954 + b1957 <= 1 e18400: b1954 - b1955 + b1956 <= 1 e18401: b1954 - b1955 + b1957 <= 1 e18402: b1954 - b1955 + b1958 <= 1 e18403: b1955 - b1956 + b1957 <= 1 e18404: b1955 - b1956 + b1958 <= 1 e18405: b1955 - b1956 + b1959 <= 1 e18406: b1956 - b1957 + b1958 <= 1 e18407: b1956 - b1957 + b1959 <= 1 e18408: b1956 - b1957 + b1960 <= 1 e18409: b1957 - b1958 + b1959 <= 1 e18410: b1957 - b1958 + b1960 <= 1 e18411: b1957 - b1958 + b1961 <= 1 e18412: b1958 - b1959 + b1960 <= 1 e18413: b1958 - b1959 + b1961 <= 1 e18414: b1958 - b1959 + b1962 <= 1 e18415: b1959 - b1960 + b1961 <= 1 e18416: b1959 - b1960 + b1962 <= 1 e18417: b1959 - b1960 + b1963 <= 1 e18418: b1960 - b1961 + b1962 <= 1 e18419: b1960 - b1961 + b1963 <= 1 e18420: b1960 - b1961 + b1964 <= 1 e18421: b1961 - b1962 + b1963 <= 1 e18422: b1961 - b1962 + b1964 <= 1 e18423: b1961 - b1962 + b1965 <= 1 e18424: b1962 - b1963 + b1964 <= 1 e18425: b1962 - b1963 + b1965 <= 1 e18426: b1962 - b1963 + b1966 <= 1 e18427: b1963 - b1964 + b1965 <= 1 e18428: b1963 - b1964 + b1966 <= 1 e18429: b1963 - b1964 + b1967 <= 1 e18430: b1964 - b1965 + b1966 <= 1 e18431: b1964 - b1965 + b1967 <= 1 e18432: b1964 - b1965 + b1968 <= 1 e18433: b1965 - b1966 + b1967 <= 1 e18434: b1965 - b1966 + b1968 <= 1 e18435: b1965 - b1966 + b1969 <= 1 e18436: b1966 - b1967 + b1968 <= 1 e18437: b1966 - b1967 + b1969 <= 1 e18438: b1967 - b1968 + b1969 <= 1 e18439: b1948 - b1949 + b1950 >= 0 e18440: b1948 - b1949 + b1951 >= 0 e18441: b1949 - b1950 + b1951 >= 0 e18442: b1949 - b1950 + b1952 >= 0 e18443: b1950 - b1951 + b1952 >= 0 e18444: b1950 - b1951 + b1953 >= 0 e18445: b1951 - b1952 + b1953 >= 0 e18446: b1951 - b1952 + b1954 >= 0 e18447: b1952 - b1953 + b1954 >= 0 e18448: b1952 - b1953 + b1955 >= 0 e18449: b1953 - b1954 + b1955 >= 0 e18450: b1953 - b1954 + b1956 >= 0 e18451: b1954 - b1955 + b1956 >= 0 e18452: b1954 - b1955 + b1957 >= 0 e18453: b1955 - b1956 + b1957 >= 0 e18454: b1955 - b1956 + b1958 >= 0 e18455: b1956 - b1957 + b1958 >= 0 e18456: b1956 - b1957 + b1959 >= 0 e18457: b1957 - b1958 + b1959 >= 0 e18458: b1957 - b1958 + b1960 >= 0 e18459: b1958 - b1959 + b1960 >= 0 e18460: b1958 - b1959 + b1961 >= 0 e18461: b1959 - b1960 + b1961 >= 0 e18462: b1959 - b1960 + b1962 >= 0 e18463: b1960 - b1961 + b1962 >= 0 e18464: b1960 - b1961 + b1963 >= 0 e18465: b1961 - b1962 + b1963 >= 0 e18466: b1961 - b1962 + b1964 >= 0 e18467: b1962 - b1963 + b1964 >= 0 e18468: b1962 - b1963 + b1965 >= 0 e18469: b1963 - b1964 + b1965 >= 0 e18470: b1963 - b1964 + b1966 >= 0 e18471: b1964 - b1965 + b1966 >= 0 e18472: b1964 - b1965 + b1967 >= 0 e18473: b1965 - b1966 + b1967 >= 0 e18474: b1965 - b1966 + b1968 >= 0 e18475: b1966 - b1967 + b1968 >= 0 e18476: b1966 - b1967 + b1969 >= 0 e18477: b1967 - b1968 + b1969 >= 0 e18478: b1970 = 0 e18479: b1970 - b1971 + b1972 <= 1 e18480: b1970 - b1971 + b1973 <= 1 e18481: b1970 - b1971 + b1974 <= 1 e18482: b1971 - b1972 + b1973 <= 1 e18483: b1971 - b1972 + b1974 <= 1 e18484: b1971 - b1972 + b1975 <= 1 e18485: b1972 - b1973 + b1974 <= 1 e18486: b1972 - b1973 + b1975 <= 1 e18487: b1972 - b1973 + b1976 <= 1 e18488: b1973 - b1974 + b1975 <= 1 e18489: b1973 - b1974 + b1976 <= 1 e18490: b1973 - b1974 + b1977 <= 1 e18491: b1974 - b1975 + b1976 <= 1 e18492: b1974 - b1975 + b1977 <= 1 e18493: b1974 - b1975 + b1978 <= 1 e18494: b1975 - b1976 + b1977 <= 1 e18495: b1975 - b1976 + b1978 <= 1 e18496: b1975 - b1976 + b1979 <= 1 e18497: b1976 - b1977 + b1978 <= 1 e18498: b1976 - b1977 + b1979 <= 1 e18499: b1976 - b1977 + b1980 <= 1 e18500: b1977 - b1978 + b1979 <= 1 e18501: b1977 - b1978 + b1980 <= 1 e18502: b1977 - b1978 + b1981 <= 1 e18503: b1978 - b1979 + b1980 <= 1 e18504: b1978 - b1979 + b1981 <= 1 e18505: b1978 - b1979 + b1982 <= 1 e18506: b1979 - b1980 + b1981 <= 1 e18507: b1979 - b1980 + b1982 <= 1 e18508: b1979 - b1980 + b1983 <= 1 e18509: b1980 - b1981 + b1982 <= 1 e18510: b1980 - b1981 + b1983 <= 1 e18511: b1980 - b1981 + b1984 <= 1 e18512: b1981 - b1982 + b1983 <= 1 e18513: b1981 - b1982 + b1984 <= 1 e18514: b1981 - b1982 + b1985 <= 1 e18515: b1982 - b1983 + b1984 <= 1 e18516: b1982 - b1983 + b1985 <= 1 e18517: b1982 - b1983 + b1986 <= 1 e18518: b1983 - b1984 + b1985 <= 1 e18519: b1983 - b1984 + b1986 <= 1 e18520: b1983 - b1984 + b1987 <= 1 e18521: b1984 - b1985 + b1986 <= 1 e18522: b1984 - b1985 + b1987 <= 1 e18523: b1984 - b1985 + b1988 <= 1 e18524: b1985 - b1986 + b1987 <= 1 e18525: b1985 - b1986 + b1988 <= 1 e18526: b1985 - b1986 + b1989 <= 1 e18527: b1986 - b1987 + b1988 <= 1 e18528: b1986 - b1987 + b1989 <= 1 e18529: b1986 - b1987 + b1990 <= 1 e18530: b1987 - b1988 + b1989 <= 1 e18531: b1987 - b1988 + b1990 <= 1 e18532: b1987 - b1988 + b1991 <= 1 e18533: b1988 - b1989 + b1990 <= 1 e18534: b1988 - b1989 + b1991 <= 1 e18535: b1988 - b1989 + b1992 <= 1 e18536: b1989 - b1990 + b1991 <= 1 e18537: b1989 - b1990 + b1992 <= 1 e18538: b1989 - b1990 + b1993 <= 1 e18539: b1990 - b1991 + b1992 <= 1 e18540: b1990 - b1991 + b1993 <= 1 e18541: b1991 - b1992 + b1993 <= 1 e18542: b1970 - b1971 + b1972 >= 0 e18543: b1970 - b1971 + b1973 >= 0 e18544: b1971 - b1972 + b1973 >= 0 e18545: b1971 - b1972 + b1974 >= 0 e18546: b1972 - b1973 + b1974 >= 0 e18547: b1972 - b1973 + b1975 >= 0 e18548: b1973 - b1974 + b1975 >= 0 e18549: b1973 - b1974 + b1976 >= 0 e18550: b1974 - b1975 + b1976 >= 0 e18551: b1974 - b1975 + b1977 >= 0 e18552: b1975 - b1976 + b1977 >= 0 e18553: b1975 - b1976 + b1978 >= 0 e18554: b1976 - b1977 + b1978 >= 0 e18555: b1976 - b1977 + b1979 >= 0 e18556: b1977 - b1978 + b1979 >= 0 e18557: b1977 - b1978 + b1980 >= 0 e18558: b1978 - b1979 + b1980 >= 0 e18559: b1978 - b1979 + b1981 >= 0 e18560: b1979 - b1980 + b1981 >= 0 e18561: b1979 - b1980 + b1982 >= 0 e18562: b1980 - b1981 + b1982 >= 0 e18563: b1980 - b1981 + b1983 >= 0 e18564: b1981 - b1982 + b1983 >= 0 e18565: b1981 - b1982 + b1984 >= 0 e18566: b1982 - b1983 + b1984 >= 0 e18567: b1982 - b1983 + b1985 >= 0 e18568: b1983 - b1984 + b1985 >= 0 e18569: b1983 - b1984 + b1986 >= 0 e18570: b1984 - b1985 + b1986 >= 0 e18571: b1984 - b1985 + b1987 >= 0 e18572: b1985 - b1986 + b1987 >= 0 e18573: b1985 - b1986 + b1988 >= 0 e18574: b1986 - b1987 + b1988 >= 0 e18575: b1986 - b1987 + b1989 >= 0 e18576: b1987 - b1988 + b1989 >= 0 e18577: b1987 - b1988 + b1990 >= 0 e18578: b1988 - b1989 + b1990 >= 0 e18579: b1988 - b1989 + b1991 >= 0 e18580: b1989 - b1990 + b1991 >= 0 e18581: b1989 - b1990 + b1992 >= 0 e18582: b1990 - b1991 + b1992 >= 0 e18583: b1990 - b1991 + b1993 >= 0 e18584: b1991 - b1992 + b1993 >= 0 e18585: b1994 = 0 e18586: b1994 - b1995 + b1996 <= 1 e18587: b1994 - b1995 + b1997 <= 1 e18588: b1995 - b1996 + b1997 <= 1 e18589: b1995 - b1996 + b1998 <= 1 e18590: b1996 - b1997 + b1998 <= 1 e18591: b1996 - b1997 + b1999 <= 1 e18592: b1997 - b1998 + b1999 <= 1 e18593: b1997 - b1998 + b2000 <= 1 e18594: b1998 - b1999 + b2000 <= 1 e18595: b1998 - b1999 + b2001 <= 1 e18596: b1999 - b2000 + b2001 <= 1 e18597: b1999 - b2000 + b2002 <= 1 e18598: b2000 - b2001 + b2002 <= 1 e18599: b2000 - b2001 + b2003 <= 1 e18600: b2001 - b2002 + b2003 <= 1 e18601: b2001 - b2002 + b2004 <= 1 e18602: b2002 - b2003 + b2004 <= 1 e18603: b2002 - b2003 + b2005 <= 1 e18604: b2003 - b2004 + b2005 <= 1 e18605: b2003 - b2004 + b2006 <= 1 e18606: b2004 - b2005 + b2006 <= 1 e18607: b2004 - b2005 + b2007 <= 1 e18608: b2005 - b2006 + b2007 <= 1 e18609: b2005 - b2006 + b2008 <= 1 e18610: b2006 - b2007 + b2008 <= 1 e18611: b2006 - b2007 + b2009 <= 1 e18612: b2007 - b2008 + b2009 <= 1 e18613: b2007 - b2008 + b2010 <= 1 e18614: b2008 - b2009 + b2010 <= 1 e18615: b2008 - b2009 + b2011 <= 1 e18616: b2009 - b2010 + b2011 <= 1 e18617: b2009 - b2010 + b2012 <= 1 e18618: b2010 - b2011 + b2012 <= 1 e18619: b2010 - b2011 + b2013 <= 1 e18620: b2011 - b2012 + b2013 <= 1 e18621: b2011 - b2012 + b2014 <= 1 e18622: b2012 - b2013 + b2014 <= 1 e18623: b2012 - b2013 + b2015 <= 1 e18624: b2013 - b2014 + b2015 <= 1 e18625: b2013 - b2014 + b2016 <= 1 e18626: b2014 - b2015 + b2016 <= 1 e18627: b2014 - b2015 + b2017 <= 1 e18628: b2015 - b2016 + b2017 <= 1 e18629: b1994 - b1995 + b1996 >= 0 e18630: b1994 - b1995 + b1997 >= 0 e18631: b1995 - b1996 + b1997 >= 0 e18632: b1995 - b1996 + b1998 >= 0 e18633: b1996 - b1997 + b1998 >= 0 e18634: b1996 - b1997 + b1999 >= 0 e18635: b1997 - b1998 + b1999 >= 0 e18636: b1997 - b1998 + b2000 >= 0 e18637: b1998 - b1999 + b2000 >= 0 e18638: b1998 - b1999 + b2001 >= 0 e18639: b1999 - b2000 + b2001 >= 0 e18640: b1999 - b2000 + b2002 >= 0 e18641: b2000 - b2001 + b2002 >= 0 e18642: b2000 - b2001 + b2003 >= 0 e18643: b2001 - b2002 + b2003 >= 0 e18644: b2001 - b2002 + b2004 >= 0 e18645: b2002 - b2003 + b2004 >= 0 e18646: b2002 - b2003 + b2005 >= 0 e18647: b2003 - b2004 + b2005 >= 0 e18648: b2003 - b2004 + b2006 >= 0 e18649: b2004 - b2005 + b2006 >= 0 e18650: b2004 - b2005 + b2007 >= 0 e18651: b2005 - b2006 + b2007 >= 0 e18652: b2005 - b2006 + b2008 >= 0 e18653: b2006 - b2007 + b2008 >= 0 e18654: b2006 - b2007 + b2009 >= 0 e18655: b2007 - b2008 + b2009 >= 0 e18656: b2007 - b2008 + b2010 >= 0 e18657: b2008 - b2009 + b2010 >= 0 e18658: b2008 - b2009 + b2011 >= 0 e18659: b2009 - b2010 + b2011 >= 0 e18660: b2009 - b2010 + b2012 >= 0 e18661: b2010 - b2011 + b2012 >= 0 e18662: b2010 - b2011 + b2013 >= 0 e18663: b2011 - b2012 + b2013 >= 0 e18664: b2011 - b2012 + b2014 >= 0 e18665: b2012 - b2013 + b2014 >= 0 e18666: b2012 - b2013 + b2015 >= 0 e18667: b2013 - b2014 + b2015 >= 0 e18668: b2013 - b2014 + b2016 >= 0 e18669: b2014 - b2015 + b2016 >= 0 e18670: b2014 - b2015 + b2017 >= 0 e18671: b2015 - b2016 + b2017 >= 0 e18672: b2018 = 1 e18673: b2018 - b2019 + b2020 <= 1 e18674: b2018 - b2019 + b2021 <= 1 e18675: b2018 - b2019 + b2022 <= 1 e18676: b2019 - b2020 + b2021 <= 1 e18677: b2019 - b2020 + b2022 <= 1 e18678: b2019 - b2020 + b2023 <= 1 e18679: b2020 - b2021 + b2022 <= 1 e18680: b2020 - b2021 + b2023 <= 1 e18681: b2020 - b2021 + b2024 <= 1 e18682: b2021 - b2022 + b2023 <= 1 e18683: b2021 - b2022 + b2024 <= 1 e18684: b2021 - b2022 + b2025 <= 1 e18685: b2022 - b2023 + b2024 <= 1 e18686: b2022 - b2023 + b2025 <= 1 e18687: b2022 - b2023 + b2026 <= 1 e18688: b2023 - b2024 + b2025 <= 1 e18689: b2023 - b2024 + b2026 <= 1 e18690: b2023 - b2024 + b2027 <= 1 e18691: b2024 - b2025 + b2026 <= 1 e18692: b2024 - b2025 + b2027 <= 1 e18693: b2024 - b2025 + b2028 <= 1 e18694: b2025 - b2026 + b2027 <= 1 e18695: b2025 - b2026 + b2028 <= 1 e18696: b2025 - b2026 + b2029 <= 1 e18697: b2026 - b2027 + b2028 <= 1 e18698: b2026 - b2027 + b2029 <= 1 e18699: b2026 - b2027 + b2030 <= 1 e18700: b2027 - b2028 + b2029 <= 1 e18701: b2027 - b2028 + b2030 <= 1 e18702: b2027 - b2028 + b2031 <= 1 e18703: b2028 - b2029 + b2030 <= 1 e18704: b2028 - b2029 + b2031 <= 1 e18705: b2028 - b2029 + b2032 <= 1 e18706: b2029 - b2030 + b2031 <= 1 e18707: b2029 - b2030 + b2032 <= 1 e18708: b2029 - b2030 + b2033 <= 1 e18709: b2030 - b2031 + b2032 <= 1 e18710: b2030 - b2031 + b2033 <= 1 e18711: b2030 - b2031 + b2034 <= 1 e18712: b2031 - b2032 + b2033 <= 1 e18713: b2031 - b2032 + b2034 <= 1 e18714: b2031 - b2032 + b2035 <= 1 e18715: b2032 - b2033 + b2034 <= 1 e18716: b2032 - b2033 + b2035 <= 1 e18717: b2032 - b2033 + b2036 <= 1 e18718: b2033 - b2034 + b2035 <= 1 e18719: b2033 - b2034 + b2036 <= 1 e18720: b2033 - b2034 + b2037 <= 1 e18721: b2034 - b2035 + b2036 <= 1 e18722: b2034 - b2035 + b2037 <= 1 e18723: b2034 - b2035 + b2038 <= 1 e18724: b2035 - b2036 + b2037 <= 1 e18725: b2035 - b2036 + b2038 <= 1 e18726: b2035 - b2036 + b2039 <= 1 e18727: b2036 - b2037 + b2038 <= 1 e18728: b2036 - b2037 + b2039 <= 1 e18729: b2036 - b2037 + b2040 <= 1 e18730: b2037 - b2038 + b2039 <= 1 e18731: b2037 - b2038 + b2040 <= 1 e18732: b2037 - b2038 + b2041 <= 1 e18733: b2038 - b2039 + b2040 <= 1 e18734: b2038 - b2039 + b2041 <= 1 e18735: b2039 - b2040 + b2041 <= 1 e18736: b2018 - b2019 + b2020 >= 0 e18737: b2018 - b2019 + b2021 >= 0 e18738: b2019 - b2020 + b2021 >= 0 e18739: b2019 - b2020 + b2022 >= 0 e18740: b2020 - b2021 + b2022 >= 0 e18741: b2020 - b2021 + b2023 >= 0 e18742: b2021 - b2022 + b2023 >= 0 e18743: b2021 - b2022 + b2024 >= 0 e18744: b2022 - b2023 + b2024 >= 0 e18745: b2022 - b2023 + b2025 >= 0 e18746: b2023 - b2024 + b2025 >= 0 e18747: b2023 - b2024 + b2026 >= 0 e18748: b2024 - b2025 + b2026 >= 0 e18749: b2024 - b2025 + b2027 >= 0 e18750: b2025 - b2026 + b2027 >= 0 e18751: b2025 - b2026 + b2028 >= 0 e18752: b2026 - b2027 + b2028 >= 0 e18753: b2026 - b2027 + b2029 >= 0 e18754: b2027 - b2028 + b2029 >= 0 e18755: b2027 - b2028 + b2030 >= 0 e18756: b2028 - b2029 + b2030 >= 0 e18757: b2028 - b2029 + b2031 >= 0 e18758: b2029 - b2030 + b2031 >= 0 e18759: b2029 - b2030 + b2032 >= 0 e18760: b2030 - b2031 + b2032 >= 0 e18761: b2030 - b2031 + b2033 >= 0 e18762: b2031 - b2032 + b2033 >= 0 e18763: b2031 - b2032 + b2034 >= 0 e18764: b2032 - b2033 + b2034 >= 0 e18765: b2032 - b2033 + b2035 >= 0 e18766: b2033 - b2034 + b2035 >= 0 e18767: b2033 - b2034 + b2036 >= 0 e18768: b2034 - b2035 + b2036 >= 0 e18769: b2034 - b2035 + b2037 >= 0 e18770: b2035 - b2036 + b2037 >= 0 e18771: b2035 - b2036 + b2038 >= 0 e18772: b2036 - b2037 + b2038 >= 0 e18773: b2036 - b2037 + b2039 >= 0 e18774: b2037 - b2038 + b2039 >= 0 e18775: b2037 - b2038 + b2040 >= 0 e18776: b2038 - b2039 + b2040 >= 0 e18777: b2038 - b2039 + b2041 >= 0 e18778: b2039 - b2040 + b2041 >= 0 e18779: b2042 = 0 e18780: b2043 = 0 e18781: b2043 - b2044 + b2045 <= 1 e18782: b2043 - b2044 + b2046 <= 1 e18783: b2044 - b2045 + b2046 <= 1 e18784: b2044 - b2045 + b2047 <= 1 e18785: b2045 - b2046 + b2047 <= 1 e18786: b2045 - b2046 + b2048 <= 1 e18787: b2046 - b2047 + b2048 <= 1 e18788: b2046 - b2047 + b2049 <= 1 e18789: b2047 - b2048 + b2049 <= 1 e18790: b2047 - b2048 + b2050 <= 1 e18791: b2048 - b2049 + b2050 <= 1 e18792: b2048 - b2049 + b2051 <= 1 e18793: b2049 - b2050 + b2051 <= 1 e18794: b2049 - b2050 + b2052 <= 1 e18795: b2050 - b2051 + b2052 <= 1 e18796: b2050 - b2051 + b2053 <= 1 e18797: b2051 - b2052 + b2053 <= 1 e18798: b2051 - b2052 + b2054 <= 1 e18799: b2052 - b2053 + b2054 <= 1 e18800: b2052 - b2053 + b2055 <= 1 e18801: b2053 - b2054 + b2055 <= 1 e18802: b2053 - b2054 + b2056 <= 1 e18803: b2054 - b2055 + b2056 <= 1 e18804: b2054 - b2055 + b2057 <= 1 e18805: b2055 - b2056 + b2057 <= 1 e18806: b2055 - b2056 + b2058 <= 1 e18807: b2056 - b2057 + b2058 <= 1 e18808: b2056 - b2057 + b2059 <= 1 e18809: b2057 - b2058 + b2059 <= 1 e18810: b2057 - b2058 + b2060 <= 1 e18811: b2058 - b2059 + b2060 <= 1 e18812: b2058 - b2059 + b2061 <= 1 e18813: b2059 - b2060 + b2061 <= 1 e18814: b2059 - b2060 + b2062 <= 1 e18815: b2060 - b2061 + b2062 <= 1 e18816: b2060 - b2061 + b2063 <= 1 e18817: b2061 - b2062 + b2063 <= 1 e18818: b2061 - b2062 + b2064 <= 1 e18819: b2062 - b2063 + b2064 <= 1 e18820: b2062 - b2063 + b2065 <= 1 e18821: b2063 - b2064 + b2065 <= 1 e18822: b2043 - b2044 + b2045 >= 0 e18823: b2043 - b2044 + b2046 >= 0 e18824: b2044 - b2045 + b2046 >= 0 e18825: b2044 - b2045 + b2047 >= 0 e18826: b2045 - b2046 + b2047 >= 0 e18827: b2045 - b2046 + b2048 >= 0 e18828: b2046 - b2047 + b2048 >= 0 e18829: b2046 - b2047 + b2049 >= 0 e18830: b2047 - b2048 + b2049 >= 0 e18831: b2047 - b2048 + b2050 >= 0 e18832: b2048 - b2049 + b2050 >= 0 e18833: b2048 - b2049 + b2051 >= 0 e18834: b2049 - b2050 + b2051 >= 0 e18835: b2049 - b2050 + b2052 >= 0 e18836: b2050 - b2051 + b2052 >= 0 e18837: b2050 - b2051 + b2053 >= 0 e18838: b2051 - b2052 + b2053 >= 0 e18839: b2051 - b2052 + b2054 >= 0 e18840: b2052 - b2053 + b2054 >= 0 e18841: b2052 - b2053 + b2055 >= 0 e18842: b2053 - b2054 + b2055 >= 0 e18843: b2053 - b2054 + b2056 >= 0 e18844: b2054 - b2055 + b2056 >= 0 e18845: b2054 - b2055 + b2057 >= 0 e18846: b2055 - b2056 + b2057 >= 0 e18847: b2055 - b2056 + b2058 >= 0 e18848: b2056 - b2057 + b2058 >= 0 e18849: b2056 - b2057 + b2059 >= 0 e18850: b2057 - b2058 + b2059 >= 0 e18851: b2057 - b2058 + b2060 >= 0 e18852: b2058 - b2059 + b2060 >= 0 e18853: b2058 - b2059 + b2061 >= 0 e18854: b2059 - b2060 + b2061 >= 0 e18855: b2059 - b2060 + b2062 >= 0 e18856: b2060 - b2061 + b2062 >= 0 e18857: b2060 - b2061 + b2063 >= 0 e18858: b2061 - b2062 + b2063 >= 0 e18859: b2061 - b2062 + b2064 >= 0 e18860: b2062 - b2063 + b2064 >= 0 e18861: b2062 - b2063 + b2065 >= 0 e18862: b2063 - b2064 + b2065 >= 0 e18863: - b2066 + b2067 + x19253 <= 1 e18864: - b2066 + b2068 + x19253 <= 1 e18865: b2066 - b2067 + b2068 <= 1 e18866: b2066 - b2067 + b2069 <= 1 e18867: b2067 - b2068 + b2069 <= 1 e18868: b2067 - b2068 + b2070 <= 1 e18869: b2068 - b2069 + b2070 <= 1 e18870: b2068 - b2069 + b2071 <= 1 e18871: b2069 - b2070 + b2071 <= 1 e18872: b2069 - b2070 + b2072 <= 1 e18873: b2070 - b2071 + b2072 <= 1 e18874: b2070 - b2071 + b2073 <= 1 e18875: b2071 - b2072 + b2073 <= 1 e18876: b2071 - b2072 + b2074 <= 1 e18877: b2072 - b2073 + b2074 <= 1 e18878: b2072 - b2073 + b2075 <= 1 e18879: b2073 - b2074 + b2075 <= 1 e18880: b2073 - b2074 + b2076 <= 1 e18881: b2074 - b2075 + b2076 <= 1 e18882: b2074 - b2075 + b2077 <= 1 e18883: b2075 - b2076 + b2077 <= 1 e18884: b2075 - b2076 + b2078 <= 1 e18885: b2076 - b2077 + b2078 <= 1 e18886: b2076 - b2077 + b2079 <= 1 e18887: b2077 - b2078 + b2079 <= 1 e18888: b2077 - b2078 + b2080 <= 1 e18889: b2078 - b2079 + b2080 <= 1 e18890: b2078 - b2079 + b2081 <= 1 e18891: b2079 - b2080 + b2081 <= 1 e18892: b2079 - b2080 + b2082 <= 1 e18893: b2080 - b2081 + b2082 <= 1 e18894: b2080 - b2081 + b2083 <= 1 e18895: b2081 - b2082 + b2083 <= 1 e18896: b2081 - b2082 + b2084 <= 1 e18897: b2082 - b2083 + b2084 <= 1 e18898: b2082 - b2083 + b2085 <= 1 e18899: b2083 - b2084 + b2085 <= 1 e18900: b2083 - b2084 + b2086 <= 1 e18901: b2084 - b2085 + b2086 <= 1 e18902: b2084 - b2085 + b2087 <= 1 e18903: b2085 - b2086 + b2087 <= 1 e18904: b2085 - b2086 + b2088 <= 1 e18905: b2086 - b2087 + b2088 <= 1 e18906: b2086 - b2087 + b2089 <= 1 e18907: b2087 - b2088 + b2089 <= 1 e18908: - b2066 + b2067 + x19253 >= 0 e18909: - b2066 + b2068 + x19253 >= 0 e18910: - b2066 + b2069 + x19253 >= 0 e18911: b2066 - b2067 + b2068 >= 0 e18912: b2066 - b2067 + b2069 >= 0 e18913: b2066 - b2067 + b2070 >= 0 e18914: b2067 - b2068 + b2069 >= 0 e18915: b2067 - b2068 + b2070 >= 0 e18916: b2067 - b2068 + b2071 >= 0 e18917: b2068 - b2069 + b2070 >= 0 e18918: b2068 - b2069 + b2071 >= 0 e18919: b2068 - b2069 + b2072 >= 0 e18920: b2069 - b2070 + b2071 >= 0 e18921: b2069 - b2070 + b2072 >= 0 e18922: b2069 - b2070 + b2073 >= 0 e18923: b2070 - b2071 + b2072 >= 0 e18924: b2070 - b2071 + b2073 >= 0 e18925: b2070 - b2071 + b2074 >= 0 e18926: b2071 - b2072 + b2073 >= 0 e18927: b2071 - b2072 + b2074 >= 0 e18928: b2071 - b2072 + b2075 >= 0 e18929: b2072 - b2073 + b2074 >= 0 e18930: b2072 - b2073 + b2075 >= 0 e18931: b2072 - b2073 + b2076 >= 0 e18932: b2073 - b2074 + b2075 >= 0 e18933: b2073 - b2074 + b2076 >= 0 e18934: b2073 - b2074 + b2077 >= 0 e18935: b2074 - b2075 + b2076 >= 0 e18936: b2074 - b2075 + b2077 >= 0 e18937: b2074 - b2075 + b2078 >= 0 e18938: b2075 - b2076 + b2077 >= 0 e18939: b2075 - b2076 + b2078 >= 0 e18940: b2075 - b2076 + b2079 >= 0 e18941: b2076 - b2077 + b2078 >= 0 e18942: b2076 - b2077 + b2079 >= 0 e18943: b2076 - b2077 + b2080 >= 0 e18944: b2077 - b2078 + b2079 >= 0 e18945: b2077 - b2078 + b2080 >= 0 e18946: b2077 - b2078 + b2081 >= 0 e18947: b2078 - b2079 + b2080 >= 0 e18948: b2078 - b2079 + b2081 >= 0 e18949: b2078 - b2079 + b2082 >= 0 e18950: b2079 - b2080 + b2081 >= 0 e18951: b2079 - b2080 + b2082 >= 0 e18952: b2079 - b2080 + b2083 >= 0 e18953: b2080 - b2081 + b2082 >= 0 e18954: b2080 - b2081 + b2083 >= 0 e18955: b2080 - b2081 + b2084 >= 0 e18956: b2081 - b2082 + b2083 >= 0 e18957: b2081 - b2082 + b2084 >= 0 e18958: b2081 - b2082 + b2085 >= 0 e18959: b2082 - b2083 + b2084 >= 0 e18960: b2082 - b2083 + b2085 >= 0 e18961: b2082 - b2083 + b2086 >= 0 e18962: b2083 - b2084 + b2085 >= 0 e18963: b2083 - b2084 + b2086 >= 0 e18964: b2083 - b2084 + b2087 >= 0 e18965: b2084 - b2085 + b2086 >= 0 e18966: b2084 - b2085 + b2087 >= 0 e18967: b2084 - b2085 + b2088 >= 0 e18968: b2085 - b2086 + b2087 >= 0 e18969: b2085 - b2086 + b2088 >= 0 e18970: b2085 - b2086 + b2089 >= 0 e18971: b2086 - b2087 + b2088 >= 0 e18972: b2086 - b2087 + b2089 >= 0 e18973: b2087 - b2088 + b2089 >= 0 e18974: b2090 = 0 e18975: b2091 = 0 e18976: b2091 - b2092 + b2093 <= 1 e18977: b2091 - b2092 + b2094 <= 1 e18978: b2092 - b2093 + b2094 <= 1 e18979: b2092 - b2093 + b2095 <= 1 e18980: b2093 - b2094 + b2095 <= 1 e18981: b2093 - b2094 + b2096 <= 1 e18982: b2094 - b2095 + b2096 <= 1 e18983: b2094 - b2095 + b2097 <= 1 e18984: b2095 - b2096 + b2097 <= 1 e18985: b2095 - b2096 + b2098 <= 1 e18986: b2096 - b2097 + b2098 <= 1 e18987: b2096 - b2097 + b2099 <= 1 e18988: b2097 - b2098 + b2099 <= 1 e18989: b2097 - b2098 + b2100 <= 1 e18990: b2098 - b2099 + b2100 <= 1 e18991: b2098 - b2099 + b2101 <= 1 e18992: b2099 - b2100 + b2101 <= 1 e18993: b2099 - b2100 + b2102 <= 1 e18994: b2100 - b2101 + b2102 <= 1 e18995: b2100 - b2101 + b2103 <= 1 e18996: b2101 - b2102 + b2103 <= 1 e18997: b2101 - b2102 + b2104 <= 1 e18998: b2102 - b2103 + b2104 <= 1 e18999: b2102 - b2103 + b2105 <= 1 e19000: b2103 - b2104 + b2105 <= 1 e19001: b2103 - b2104 + b2106 <= 1 e19002: b2104 - b2105 + b2106 <= 1 e19003: b2104 - b2105 + b2107 <= 1 e19004: b2105 - b2106 + b2107 <= 1 e19005: b2105 - b2106 + b2108 <= 1 e19006: b2106 - b2107 + b2108 <= 1 e19007: b2106 - b2107 + b2109 <= 1 e19008: b2107 - b2108 + b2109 <= 1 e19009: b2107 - b2108 + b2110 <= 1 e19010: b2108 - b2109 + b2110 <= 1 e19011: b2108 - b2109 + b2111 <= 1 e19012: b2109 - b2110 + b2111 <= 1 e19013: b2109 - b2110 + b2112 <= 1 e19014: b2110 - b2111 + b2112 <= 1 e19015: b2110 - b2111 + b2113 <= 1 e19016: b2111 - b2112 + b2113 <= 1 e19017: b2091 - b2092 + b2093 >= 0 e19018: b2091 - b2092 + b2094 >= 0 e19019: b2092 - b2093 + b2094 >= 0 e19020: b2092 - b2093 + b2095 >= 0 e19021: b2093 - b2094 + b2095 >= 0 e19022: b2093 - b2094 + b2096 >= 0 e19023: b2094 - b2095 + b2096 >= 0 e19024: b2094 - b2095 + b2097 >= 0 e19025: b2095 - b2096 + b2097 >= 0 e19026: b2095 - b2096 + b2098 >= 0 e19027: b2096 - b2097 + b2098 >= 0 e19028: b2096 - b2097 + b2099 >= 0 e19029: b2097 - b2098 + b2099 >= 0 e19030: b2097 - b2098 + b2100 >= 0 e19031: b2098 - b2099 + b2100 >= 0 e19032: b2098 - b2099 + b2101 >= 0 e19033: b2099 - b2100 + b2101 >= 0 e19034: b2099 - b2100 + b2102 >= 0 e19035: b2100 - b2101 + b2102 >= 0 e19036: b2100 - b2101 + b2103 >= 0 e19037: b2101 - b2102 + b2103 >= 0 e19038: b2101 - b2102 + b2104 >= 0 e19039: b2102 - b2103 + b2104 >= 0 e19040: b2102 - b2103 + b2105 >= 0 e19041: b2103 - b2104 + b2105 >= 0 e19042: b2103 - b2104 + b2106 >= 0 e19043: b2104 - b2105 + b2106 >= 0 e19044: b2104 - b2105 + b2107 >= 0 e19045: b2105 - b2106 + b2107 >= 0 e19046: b2105 - b2106 + b2108 >= 0 e19047: b2106 - b2107 + b2108 >= 0 e19048: b2106 - b2107 + b2109 >= 0 e19049: b2107 - b2108 + b2109 >= 0 e19050: b2107 - b2108 + b2110 >= 0 e19051: b2108 - b2109 + b2110 >= 0 e19052: b2108 - b2109 + b2111 >= 0 e19053: b2109 - b2110 + b2111 >= 0 e19054: b2109 - b2110 + b2112 >= 0 e19055: b2110 - b2111 + b2112 >= 0 e19056: b2110 - b2111 + b2113 >= 0 e19057: b2111 - b2112 + b2113 >= 0 e19058: b2114 = 0 e19059: b2114 - b2115 + b2116 <= 1 e19060: b2114 - b2115 + b2117 <= 1 e19061: b2114 - b2115 + b2118 <= 1 e19062: b2115 - b2116 + b2117 <= 1 e19063: b2115 - b2116 + b2118 <= 1 e19064: b2115 - b2116 + b2119 <= 1 e19065: b2116 - b2117 + b2118 <= 1 e19066: b2116 - b2117 + b2119 <= 1 e19067: b2116 - b2117 + b2120 <= 1 e19068: b2117 - b2118 + b2119 <= 1 e19069: b2117 - b2118 + b2120 <= 1 e19070: b2117 - b2118 + b2121 <= 1 e19071: b2118 - b2119 + b2120 <= 1 e19072: b2118 - b2119 + b2121 <= 1 e19073: b2118 - b2119 + b2122 <= 1 e19074: b2119 - b2120 + b2121 <= 1 e19075: b2119 - b2120 + b2122 <= 1 e19076: b2119 - b2120 + b2123 <= 1 e19077: b2120 - b2121 + b2122 <= 1 e19078: b2120 - b2121 + b2123 <= 1 e19079: b2120 - b2121 + b2124 <= 1 e19080: b2121 - b2122 + b2123 <= 1 e19081: b2121 - b2122 + b2124 <= 1 e19082: b2121 - b2122 + b2125 <= 1 e19083: b2122 - b2123 + b2124 <= 1 e19084: b2122 - b2123 + b2125 <= 1 e19085: b2122 - b2123 + b2126 <= 1 e19086: b2123 - b2124 + b2125 <= 1 e19087: b2123 - b2124 + b2126 <= 1 e19088: b2123 - b2124 + b2127 <= 1 e19089: b2124 - b2125 + b2126 <= 1 e19090: b2124 - b2125 + b2127 <= 1 e19091: b2124 - b2125 + b2128 <= 1 e19092: b2125 - b2126 + b2127 <= 1 e19093: b2125 - b2126 + b2128 <= 1 e19094: b2125 - b2126 + b2129 <= 1 e19095: b2126 - b2127 + b2128 <= 1 e19096: b2126 - b2127 + b2129 <= 1 e19097: b2126 - b2127 + b2130 <= 1 e19098: b2127 - b2128 + b2129 <= 1 e19099: b2127 - b2128 + b2130 <= 1 e19100: b2127 - b2128 + b2131 <= 1 e19101: b2128 - b2129 + b2130 <= 1 e19102: b2128 - b2129 + b2131 <= 1 e19103: b2128 - b2129 + b2132 <= 1 e19104: b2129 - b2130 + b2131 <= 1 e19105: b2129 - b2130 + b2132 <= 1 e19106: b2129 - b2130 + b2133 <= 1 e19107: b2130 - b2131 + b2132 <= 1 e19108: b2130 - b2131 + b2133 <= 1 e19109: b2130 - b2131 + b2134 <= 1 e19110: b2131 - b2132 + b2133 <= 1 e19111: b2131 - b2132 + b2134 <= 1 e19112: b2131 - b2132 + b2135 <= 1 e19113: b2132 - b2133 + b2134 <= 1 e19114: b2132 - b2133 + b2135 <= 1 e19115: b2132 - b2133 + b2136 <= 1 e19116: b2133 - b2134 + b2135 <= 1 e19117: b2133 - b2134 + b2136 <= 1 e19118: b2133 - b2134 + b2137 <= 1 e19119: b2134 - b2135 + b2136 <= 1 e19120: b2134 - b2135 + b2137 <= 1 e19121: b2135 - b2136 + b2137 <= 1 e19122: b2114 - b2115 + b2116 >= 0 e19123: b2114 - b2115 + b2117 >= 0 e19124: b2115 - b2116 + b2117 >= 0 e19125: b2115 - b2116 + b2118 >= 0 e19126: b2116 - b2117 + b2118 >= 0 e19127: b2116 - b2117 + b2119 >= 0 e19128: b2117 - b2118 + b2119 >= 0 e19129: b2117 - b2118 + b2120 >= 0 e19130: b2118 - b2119 + b2120 >= 0 e19131: b2118 - b2119 + b2121 >= 0 e19132: b2119 - b2120 + b2121 >= 0 e19133: b2119 - b2120 + b2122 >= 0 e19134: b2120 - b2121 + b2122 >= 0 e19135: b2120 - b2121 + b2123 >= 0 e19136: b2121 - b2122 + b2123 >= 0 e19137: b2121 - b2122 + b2124 >= 0 e19138: b2122 - b2123 + b2124 >= 0 e19139: b2122 - b2123 + b2125 >= 0 e19140: b2123 - b2124 + b2125 >= 0 e19141: b2123 - b2124 + b2126 >= 0 e19142: b2124 - b2125 + b2126 >= 0 e19143: b2124 - b2125 + b2127 >= 0 e19144: b2125 - b2126 + b2127 >= 0 e19145: b2125 - b2126 + b2128 >= 0 e19146: b2126 - b2127 + b2128 >= 0 e19147: b2126 - b2127 + b2129 >= 0 e19148: b2127 - b2128 + b2129 >= 0 e19149: b2127 - b2128 + b2130 >= 0 e19150: b2128 - b2129 + b2130 >= 0 e19151: b2128 - b2129 + b2131 >= 0 e19152: b2129 - b2130 + b2131 >= 0 e19153: b2129 - b2130 + b2132 >= 0 e19154: b2130 - b2131 + b2132 >= 0 e19155: b2130 - b2131 + b2133 >= 0 e19156: b2131 - b2132 + b2133 >= 0 e19157: b2131 - b2132 + b2134 >= 0 e19158: b2132 - b2133 + b2134 >= 0 e19159: b2132 - b2133 + b2135 >= 0 e19160: b2133 - b2134 + b2135 >= 0 e19161: b2133 - b2134 + b2136 >= 0 e19162: b2134 - b2135 + b2136 >= 0 e19163: b2134 - b2135 + b2137 >= 0 e19164: b2135 - b2136 + b2137 >= 0 e19165: - b2138 + b2139 + x19254 <= 1 e19166: - b2138 + b2140 + x19254 <= 1 e19167: - b2138 + b2141 + x19254 <= 1 e19168: b2138 - b2139 + b2140 <= 1 e19169: b2138 - b2139 + b2141 <= 1 e19170: b2138 - b2139 + b2142 <= 1 e19171: b2139 - b2140 + b2141 <= 1 e19172: b2139 - b2140 + b2142 <= 1 e19173: b2139 - b2140 + b2143 <= 1 e19174: b2140 - b2141 + b2142 <= 1 e19175: b2140 - b2141 + b2143 <= 1 e19176: b2140 - b2141 + b2144 <= 1 e19177: b2141 - b2142 + b2143 <= 1 e19178: b2141 - b2142 + b2144 <= 1 e19179: b2141 - b2142 + b2145 <= 1 e19180: b2142 - b2143 + b2144 <= 1 e19181: b2142 - b2143 + b2145 <= 1 e19182: b2142 - b2143 + b2146 <= 1 e19183: b2143 - b2144 + b2145 <= 1 e19184: b2143 - b2144 + b2146 <= 1 e19185: b2143 - b2144 + b2147 <= 1 e19186: b2144 - b2145 + b2146 <= 1 e19187: b2144 - b2145 + b2147 <= 1 e19188: b2144 - b2145 + b2148 <= 1 e19189: b2145 - b2146 + b2147 <= 1 e19190: b2145 - b2146 + b2148 <= 1 e19191: b2145 - b2146 + b2149 <= 1 e19192: b2146 - b2147 + b2148 <= 1 e19193: b2146 - b2147 + b2149 <= 1 e19194: b2146 - b2147 + b2150 <= 1 e19195: b2147 - b2148 + b2149 <= 1 e19196: b2147 - b2148 + b2150 <= 1 e19197: b2147 - b2148 + b2151 <= 1 e19198: b2148 - b2149 + b2150 <= 1 e19199: b2148 - b2149 + b2151 <= 1 e19200: b2148 - b2149 + b2152 <= 1 e19201: b2149 - b2150 + b2151 <= 1 e19202: b2149 - b2150 + b2152 <= 1 e19203: b2149 - b2150 + b2153 <= 1 e19204: b2150 - b2151 + b2152 <= 1 e19205: b2150 - b2151 + b2153 <= 1 e19206: b2150 - b2151 + b2154 <= 1 e19207: b2151 - b2152 + b2153 <= 1 e19208: b2151 - b2152 + b2154 <= 1 e19209: b2151 - b2152 + b2155 <= 1 e19210: b2152 - b2153 + b2154 <= 1 e19211: b2152 - b2153 + b2155 <= 1 e19212: b2152 - b2153 + b2156 <= 1 e19213: b2153 - b2154 + b2155 <= 1 e19214: b2153 - b2154 + b2156 <= 1 e19215: b2153 - b2154 + b2157 <= 1 e19216: b2154 - b2155 + b2156 <= 1 e19217: b2154 - b2155 + b2157 <= 1 e19218: b2154 - b2155 + b2158 <= 1 e19219: b2155 - b2156 + b2157 <= 1 e19220: b2155 - b2156 + b2158 <= 1 e19221: b2155 - b2156 + b2159 <= 1 e19222: b2156 - b2157 + b2158 <= 1 e19223: b2156 - b2157 + b2159 <= 1 e19224: b2156 - b2157 + b2160 <= 1 e19225: b2157 - b2158 + b2159 <= 1 e19226: b2157 - b2158 + b2160 <= 1 e19227: b2157 - b2158 + b2161 <= 1 e19228: b2158 - b2159 + b2160 <= 1 e19229: b2158 - b2159 + b2161 <= 1 e19230: b2159 - b2160 + b2161 <= 1 e19231: - b2138 + b2139 + x19254 >= 0 e19232: - b2138 + b2140 + x19254 >= 0 e19233: b2138 - b2139 + b2140 >= 0 e19234: b2138 - b2139 + b2141 >= 0 e19235: b2139 - b2140 + b2141 >= 0 e19236: b2139 - b2140 + b2142 >= 0 e19237: b2140 - b2141 + b2142 >= 0 e19238: b2140 - b2141 + b2143 >= 0 e19239: b2141 - b2142 + b2143 >= 0 e19240: b2141 - b2142 + b2144 >= 0 e19241: b2142 - b2143 + b2144 >= 0 e19242: b2142 - b2143 + b2145 >= 0 e19243: b2143 - b2144 + b2145 >= 0 e19244: b2143 - b2144 + b2146 >= 0 e19245: b2144 - b2145 + b2146 >= 0 e19246: b2144 - b2145 + b2147 >= 0 e19247: b2145 - b2146 + b2147 >= 0 e19248: b2145 - b2146 + b2148 >= 0 e19249: b2146 - b2147 + b2148 >= 0 e19250: b2146 - b2147 + b2149 >= 0 e19251: b2147 - b2148 + b2149 >= 0 e19252: b2147 - b2148 + b2150 >= 0 e19253: b2148 - b2149 + b2150 >= 0 e19254: b2148 - b2149 + b2151 >= 0 e19255: b2149 - b2150 + b2151 >= 0 e19256: b2149 - b2150 + b2152 >= 0 e19257: b2150 - b2151 + b2152 >= 0 e19258: b2150 - b2151 + b2153 >= 0 e19259: b2151 - b2152 + b2153 >= 0 e19260: b2151 - b2152 + b2154 >= 0 e19261: b2152 - b2153 + b2154 >= 0 e19262: b2152 - b2153 + b2155 >= 0 e19263: b2153 - b2154 + b2155 >= 0 e19264: b2153 - b2154 + b2156 >= 0 e19265: b2154 - b2155 + b2156 >= 0 e19266: b2154 - b2155 + b2157 >= 0 e19267: b2155 - b2156 + b2157 >= 0 e19268: b2155 - b2156 + b2158 >= 0 e19269: b2156 - b2157 + b2158 >= 0 e19270: b2156 - b2157 + b2159 >= 0 e19271: b2157 - b2158 + b2159 >= 0 e19272: b2157 - b2158 + b2160 >= 0 e19273: b2158 - b2159 + b2160 >= 0 e19274: b2158 - b2159 + b2161 >= 0 e19275: b2159 - b2160 + b2161 >= 0 e19276: - b2162 + b2163 + x19255 <= 1 e19277: - b2162 + b2164 + x19255 <= 1 e19278: b2162 - b2163 + b2164 <= 1 e19279: b2162 - b2163 + b2165 <= 1 e19280: b2163 - b2164 + b2165 <= 1 e19281: b2163 - b2164 + b2166 <= 1 e19282: b2164 - b2165 + b2166 <= 1 e19283: b2164 - b2165 + b2167 <= 1 e19284: b2165 - b2166 + b2167 <= 1 e19285: b2165 - b2166 + b2168 <= 1 e19286: b2166 - b2167 + b2168 <= 1 e19287: b2166 - b2167 + b2169 <= 1 e19288: b2167 - b2168 + b2169 <= 1 e19289: b2167 - b2168 + b2170 <= 1 e19290: b2168 - b2169 + b2170 <= 1 e19291: b2168 - b2169 + b2171 <= 1 e19292: b2169 - b2170 + b2171 <= 1 e19293: b2169 - b2170 + b2172 <= 1 e19294: b2170 - b2171 + b2172 <= 1 e19295: b2170 - b2171 + b2173 <= 1 e19296: b2171 - b2172 + b2173 <= 1 e19297: b2171 - b2172 + b2174 <= 1 e19298: b2172 - b2173 + b2174 <= 1 e19299: b2172 - b2173 + b2175 <= 1 e19300: b2173 - b2174 + b2175 <= 1 e19301: b2173 - b2174 + b2176 <= 1 e19302: b2174 - b2175 + b2176 <= 1 e19303: b2174 - b2175 + b2177 <= 1 e19304: b2175 - b2176 + b2177 <= 1 e19305: b2175 - b2176 + b2178 <= 1 e19306: b2176 - b2177 + b2178 <= 1 e19307: b2176 - b2177 + b2179 <= 1 e19308: b2177 - b2178 + b2179 <= 1 e19309: b2177 - b2178 + b2180 <= 1 e19310: b2178 - b2179 + b2180 <= 1 e19311: b2178 - b2179 + b2181 <= 1 e19312: b2179 - b2180 + b2181 <= 1 e19313: b2179 - b2180 + b2182 <= 1 e19314: b2180 - b2181 + b2182 <= 1 e19315: b2180 - b2181 + b2183 <= 1 e19316: b2181 - b2182 + b2183 <= 1 e19317: b2181 - b2182 + b2184 <= 1 e19318: b2182 - b2183 + b2184 <= 1 e19319: b2182 - b2183 + b2185 <= 1 e19320: b2183 - b2184 + b2185 <= 1 e19321: - b2162 + b2163 + x19255 >= 0 e19322: - b2162 + b2164 + x19255 >= 0 e19323: - b2162 + b2165 + x19255 >= 0 e19324: b2162 - b2163 + b2164 >= 0 e19325: b2162 - b2163 + b2165 >= 0 e19326: b2162 - b2163 + b2166 >= 0 e19327: b2163 - b2164 + b2165 >= 0 e19328: b2163 - b2164 + b2166 >= 0 e19329: b2163 - b2164 + b2167 >= 0 e19330: b2164 - b2165 + b2166 >= 0 e19331: b2164 - b2165 + b2167 >= 0 e19332: b2164 - b2165 + b2168 >= 0 e19333: b2165 - b2166 + b2167 >= 0 e19334: b2165 - b2166 + b2168 >= 0 e19335: b2165 - b2166 + b2169 >= 0 e19336: b2166 - b2167 + b2168 >= 0 e19337: b2166 - b2167 + b2169 >= 0 e19338: b2166 - b2167 + b2170 >= 0 e19339: b2167 - b2168 + b2169 >= 0 e19340: b2167 - b2168 + b2170 >= 0 e19341: b2167 - b2168 + b2171 >= 0 e19342: b2168 - b2169 + b2170 >= 0 e19343: b2168 - b2169 + b2171 >= 0 e19344: b2168 - b2169 + b2172 >= 0 e19345: b2169 - b2170 + b2171 >= 0 e19346: b2169 - b2170 + b2172 >= 0 e19347: b2169 - b2170 + b2173 >= 0 e19348: b2170 - b2171 + b2172 >= 0 e19349: b2170 - b2171 + b2173 >= 0 e19350: b2170 - b2171 + b2174 >= 0 e19351: b2171 - b2172 + b2173 >= 0 e19352: b2171 - b2172 + b2174 >= 0 e19353: b2171 - b2172 + b2175 >= 0 e19354: b2172 - b2173 + b2174 >= 0 e19355: b2172 - b2173 + b2175 >= 0 e19356: b2172 - b2173 + b2176 >= 0 e19357: b2173 - b2174 + b2175 >= 0 e19358: b2173 - b2174 + b2176 >= 0 e19359: b2173 - b2174 + b2177 >= 0 e19360: b2174 - b2175 + b2176 >= 0 e19361: b2174 - b2175 + b2177 >= 0 e19362: b2174 - b2175 + b2178 >= 0 e19363: b2175 - b2176 + b2177 >= 0 e19364: b2175 - b2176 + b2178 >= 0 e19365: b2175 - b2176 + b2179 >= 0 e19366: b2176 - b2177 + b2178 >= 0 e19367: b2176 - b2177 + b2179 >= 0 e19368: b2176 - b2177 + b2180 >= 0 e19369: b2177 - b2178 + b2179 >= 0 e19370: b2177 - b2178 + b2180 >= 0 e19371: b2177 - b2178 + b2181 >= 0 e19372: b2178 - b2179 + b2180 >= 0 e19373: b2178 - b2179 + b2181 >= 0 e19374: b2178 - b2179 + b2182 >= 0 e19375: b2179 - b2180 + b2181 >= 0 e19376: b2179 - b2180 + b2182 >= 0 e19377: b2179 - b2180 + b2183 >= 0 e19378: b2180 - b2181 + b2182 >= 0 e19379: b2180 - b2181 + b2183 >= 0 e19380: b2180 - b2181 + b2184 >= 0 e19381: b2181 - b2182 + b2183 >= 0 e19382: b2181 - b2182 + b2184 >= 0 e19383: b2181 - b2182 + b2185 >= 0 e19384: b2182 - b2183 + b2184 >= 0 e19385: b2182 - b2183 + b2185 >= 0 e19386: b2183 - b2184 + b2185 >= 0 e19387: - b2186 + b2187 + x19256 <= 1 e19388: - b2186 + b2188 + x19256 <= 1 e19389: b2186 - b2187 + b2188 <= 1 e19390: b2186 - b2187 + b2189 <= 1 e19391: b2187 - b2188 + b2189 <= 1 e19392: b2187 - b2188 + b2190 <= 1 e19393: b2188 - b2189 + b2190 <= 1 e19394: b2188 - b2189 + b2191 <= 1 e19395: b2189 - b2190 + b2191 <= 1 e19396: b2189 - b2190 + b2192 <= 1 e19397: b2190 - b2191 + b2192 <= 1 e19398: b2190 - b2191 + b2193 <= 1 e19399: b2191 - b2192 + b2193 <= 1 e19400: b2191 - b2192 + b2194 <= 1 e19401: b2192 - b2193 + b2194 <= 1 e19402: b2192 - b2193 + b2195 <= 1 e19403: b2193 - b2194 + b2195 <= 1 e19404: b2193 - b2194 + b2196 <= 1 e19405: b2194 - b2195 + b2196 <= 1 e19406: b2194 - b2195 + b2197 <= 1 e19407: b2195 - b2196 + b2197 <= 1 e19408: b2195 - b2196 + b2198 <= 1 e19409: b2196 - b2197 + b2198 <= 1 e19410: b2196 - b2197 + b2199 <= 1 e19411: b2197 - b2198 + b2199 <= 1 e19412: b2197 - b2198 + b2200 <= 1 e19413: b2198 - b2199 + b2200 <= 1 e19414: b2198 - b2199 + b2201 <= 1 e19415: b2199 - b2200 + b2201 <= 1 e19416: b2199 - b2200 + b2202 <= 1 e19417: b2200 - b2201 + b2202 <= 1 e19418: b2200 - b2201 + b2203 <= 1 e19419: b2201 - b2202 + b2203 <= 1 e19420: b2201 - b2202 + b2204 <= 1 e19421: b2202 - b2203 + b2204 <= 1 e19422: b2202 - b2203 + b2205 <= 1 e19423: b2203 - b2204 + b2205 <= 1 e19424: b2203 - b2204 + b2206 <= 1 e19425: b2204 - b2205 + b2206 <= 1 e19426: b2204 - b2205 + b2207 <= 1 e19427: b2205 - b2206 + b2207 <= 1 e19428: b2205 - b2206 + b2208 <= 1 e19429: b2206 - b2207 + b2208 <= 1 e19430: b2206 - b2207 + b2209 <= 1 e19431: b2207 - b2208 + b2209 <= 1 e19432: - b2186 + b2187 + x19256 >= 0 e19433: - b2186 + b2188 + x19256 >= 0 e19434: - b2186 + b2189 + x19256 >= 0 e19435: b2186 - b2187 + b2188 >= 0 e19436: b2186 - b2187 + b2189 >= 0 e19437: b2186 - b2187 + b2190 >= 0 e19438: b2187 - b2188 + b2189 >= 0 e19439: b2187 - b2188 + b2190 >= 0 e19440: b2187 - b2188 + b2191 >= 0 e19441: b2188 - b2189 + b2190 >= 0 e19442: b2188 - b2189 + b2191 >= 0 e19443: b2188 - b2189 + b2192 >= 0 e19444: b2189 - b2190 + b2191 >= 0 e19445: b2189 - b2190 + b2192 >= 0 e19446: b2189 - b2190 + b2193 >= 0 e19447: b2190 - b2191 + b2192 >= 0 e19448: b2190 - b2191 + b2193 >= 0 e19449: b2190 - b2191 + b2194 >= 0 e19450: b2191 - b2192 + b2193 >= 0 e19451: b2191 - b2192 + b2194 >= 0 e19452: b2191 - b2192 + b2195 >= 0 e19453: b2192 - b2193 + b2194 >= 0 e19454: b2192 - b2193 + b2195 >= 0 e19455: b2192 - b2193 + b2196 >= 0 e19456: b2193 - b2194 + b2195 >= 0 e19457: b2193 - b2194 + b2196 >= 0 e19458: b2193 - b2194 + b2197 >= 0 e19459: b2194 - b2195 + b2196 >= 0 e19460: b2194 - b2195 + b2197 >= 0 e19461: b2194 - b2195 + b2198 >= 0 e19462: b2195 - b2196 + b2197 >= 0 e19463: b2195 - b2196 + b2198 >= 0 e19464: b2195 - b2196 + b2199 >= 0 e19465: b2196 - b2197 + b2198 >= 0 e19466: b2196 - b2197 + b2199 >= 0 e19467: b2196 - b2197 + b2200 >= 0 e19468: b2197 - b2198 + b2199 >= 0 e19469: b2197 - b2198 + b2200 >= 0 e19470: b2197 - b2198 + b2201 >= 0 e19471: b2198 - b2199 + b2200 >= 0 e19472: b2198 - b2199 + b2201 >= 0 e19473: b2198 - b2199 + b2202 >= 0 e19474: b2199 - b2200 + b2201 >= 0 e19475: b2199 - b2200 + b2202 >= 0 e19476: b2199 - b2200 + b2203 >= 0 e19477: b2200 - b2201 + b2202 >= 0 e19478: b2200 - b2201 + b2203 >= 0 e19479: b2200 - b2201 + b2204 >= 0 e19480: b2201 - b2202 + b2203 >= 0 e19481: b2201 - b2202 + b2204 >= 0 e19482: b2201 - b2202 + b2205 >= 0 e19483: b2202 - b2203 + b2204 >= 0 e19484: b2202 - b2203 + b2205 >= 0 e19485: b2202 - b2203 + b2206 >= 0 e19486: b2203 - b2204 + b2205 >= 0 e19487: b2203 - b2204 + b2206 >= 0 e19488: b2203 - b2204 + b2207 >= 0 e19489: b2204 - b2205 + b2206 >= 0 e19490: b2204 - b2205 + b2207 >= 0 e19491: b2204 - b2205 + b2208 >= 0 e19492: b2205 - b2206 + b2207 >= 0 e19493: b2205 - b2206 + b2208 >= 0 e19494: b2205 - b2206 + b2209 >= 0 e19495: b2206 - b2207 + b2208 >= 0 e19496: b2206 - b2207 + b2209 >= 0 e19497: b2207 - b2208 + b2209 >= 0 e19498: b2210 = 1 e19499: b2210 - b2211 + b2212 <= 1 e19500: b2210 - b2211 + b2213 <= 1 e19501: b2211 - b2212 + b2213 <= 1 e19502: b2211 - b2212 + b2214 <= 1 e19503: b2212 - b2213 + b2214 <= 1 e19504: b2212 - b2213 + b2215 <= 1 e19505: b2213 - b2214 + b2215 <= 1 e19506: b2213 - b2214 + b2216 <= 1 e19507: b2214 - b2215 + b2216 <= 1 e19508: b2214 - b2215 + b2217 <= 1 e19509: b2215 - b2216 + b2217 <= 1 e19510: b2215 - b2216 + b2218 <= 1 e19511: b2216 - b2217 + b2218 <= 1 e19512: b2216 - b2217 + b2219 <= 1 e19513: b2217 - b2218 + b2219 <= 1 e19514: b2217 - b2218 + b2220 <= 1 e19515: b2218 - b2219 + b2220 <= 1 e19516: b2218 - b2219 + b2221 <= 1 e19517: b2219 - b2220 + b2221 <= 1 e19518: b2219 - b2220 + b2222 <= 1 e19519: b2220 - b2221 + b2222 <= 1 e19520: b2220 - b2221 + b2223 <= 1 e19521: b2221 - b2222 + b2223 <= 1 e19522: b2221 - b2222 + b2224 <= 1 e19523: b2222 - b2223 + b2224 <= 1 e19524: b2222 - b2223 + b2225 <= 1 e19525: b2223 - b2224 + b2225 <= 1 e19526: b2223 - b2224 + b2226 <= 1 e19527: b2224 - b2225 + b2226 <= 1 e19528: b2224 - b2225 + b2227 <= 1 e19529: b2225 - b2226 + b2227 <= 1 e19530: b2225 - b2226 + b2228 <= 1 e19531: b2226 - b2227 + b2228 <= 1 e19532: b2226 - b2227 + b2229 <= 1 e19533: b2227 - b2228 + b2229 <= 1 e19534: b2227 - b2228 + b2230 <= 1 e19535: b2228 - b2229 + b2230 <= 1 e19536: b2228 - b2229 + b2231 <= 1 e19537: b2229 - b2230 + b2231 <= 1 e19538: b2229 - b2230 + b2232 <= 1 e19539: b2230 - b2231 + b2232 <= 1 e19540: b2230 - b2231 + b2233 <= 1 e19541: b2231 - b2232 + b2233 <= 1 e19542: b2210 - b2211 + b2212 >= 0 e19543: b2210 - b2211 + b2213 >= 0 e19544: b2210 - b2211 + b2214 >= 0 e19545: b2211 - b2212 + b2213 >= 0 e19546: b2211 - b2212 + b2214 >= 0 e19547: b2211 - b2212 + b2215 >= 0 e19548: b2212 - b2213 + b2214 >= 0 e19549: b2212 - b2213 + b2215 >= 0 e19550: b2212 - b2213 + b2216 >= 0 e19551: b2213 - b2214 + b2215 >= 0 e19552: b2213 - b2214 + b2216 >= 0 e19553: b2213 - b2214 + b2217 >= 0 e19554: b2214 - b2215 + b2216 >= 0 e19555: b2214 - b2215 + b2217 >= 0 e19556: b2214 - b2215 + b2218 >= 0 e19557: b2215 - b2216 + b2217 >= 0 e19558: b2215 - b2216 + b2218 >= 0 e19559: b2215 - b2216 + b2219 >= 0 e19560: b2216 - b2217 + b2218 >= 0 e19561: b2216 - b2217 + b2219 >= 0 e19562: b2216 - b2217 + b2220 >= 0 e19563: b2217 - b2218 + b2219 >= 0 e19564: b2217 - b2218 + b2220 >= 0 e19565: b2217 - b2218 + b2221 >= 0 e19566: b2218 - b2219 + b2220 >= 0 e19567: b2218 - b2219 + b2221 >= 0 e19568: b2218 - b2219 + b2222 >= 0 e19569: b2219 - b2220 + b2221 >= 0 e19570: b2219 - b2220 + b2222 >= 0 e19571: b2219 - b2220 + b2223 >= 0 e19572: b2220 - b2221 + b2222 >= 0 e19573: b2220 - b2221 + b2223 >= 0 e19574: b2220 - b2221 + b2224 >= 0 e19575: b2221 - b2222 + b2223 >= 0 e19576: b2221 - b2222 + b2224 >= 0 e19577: b2221 - b2222 + b2225 >= 0 e19578: b2222 - b2223 + b2224 >= 0 e19579: b2222 - b2223 + b2225 >= 0 e19580: b2222 - b2223 + b2226 >= 0 e19581: b2223 - b2224 + b2225 >= 0 e19582: b2223 - b2224 + b2226 >= 0 e19583: b2223 - b2224 + b2227 >= 0 e19584: b2224 - b2225 + b2226 >= 0 e19585: b2224 - b2225 + b2227 >= 0 e19586: b2224 - b2225 + b2228 >= 0 e19587: b2225 - b2226 + b2227 >= 0 e19588: b2225 - b2226 + b2228 >= 0 e19589: b2225 - b2226 + b2229 >= 0 e19590: b2226 - b2227 + b2228 >= 0 e19591: b2226 - b2227 + b2229 >= 0 e19592: b2226 - b2227 + b2230 >= 0 e19593: b2227 - b2228 + b2229 >= 0 e19594: b2227 - b2228 + b2230 >= 0 e19595: b2227 - b2228 + b2231 >= 0 e19596: b2228 - b2229 + b2230 >= 0 e19597: b2228 - b2229 + b2231 >= 0 e19598: b2228 - b2229 + b2232 >= 0 e19599: b2229 - b2230 + b2231 >= 0 e19600: b2229 - b2230 + b2232 >= 0 e19601: b2229 - b2230 + b2233 >= 0 e19602: b2230 - b2231 + b2232 >= 0 e19603: b2230 - b2231 + b2233 >= 0 e19604: b2231 - b2232 + b2233 >= 0 e19605: b2234 = 1 e19606: b2235 = 1 e19607: b2235 - b2236 + b2237 <= 1 e19608: b2235 - b2236 + b2238 <= 1 e19609: b2235 - b2236 + b2239 <= 1 e19610: b2236 - b2237 + b2238 <= 1 e19611: b2236 - b2237 + b2239 <= 1 e19612: b2236 - b2237 + b2240 <= 1 e19613: b2237 - b2238 + b2239 <= 1 e19614: b2237 - b2238 + b2240 <= 1 e19615: b2237 - b2238 + b2241 <= 1 e19616: b2238 - b2239 + b2240 <= 1 e19617: b2238 - b2239 + b2241 <= 1 e19618: b2238 - b2239 + b2242 <= 1 e19619: b2239 - b2240 + b2241 <= 1 e19620: b2239 - b2240 + b2242 <= 1 e19621: b2239 - b2240 + b2243 <= 1 e19622: b2240 - b2241 + b2242 <= 1 e19623: b2240 - b2241 + b2243 <= 1 e19624: b2240 - b2241 + b2244 <= 1 e19625: b2241 - b2242 + b2243 <= 1 e19626: b2241 - b2242 + b2244 <= 1 e19627: b2241 - b2242 + b2245 <= 1 e19628: b2242 - b2243 + b2244 <= 1 e19629: b2242 - b2243 + b2245 <= 1 e19630: b2242 - b2243 + b2246 <= 1 e19631: b2243 - b2244 + b2245 <= 1 e19632: b2243 - b2244 + b2246 <= 1 e19633: b2243 - b2244 + b2247 <= 1 e19634: b2244 - b2245 + b2246 <= 1 e19635: b2244 - b2245 + b2247 <= 1 e19636: b2244 - b2245 + b2248 <= 1 e19637: b2245 - b2246 + b2247 <= 1 e19638: b2245 - b2246 + b2248 <= 1 e19639: b2245 - b2246 + b2249 <= 1 e19640: b2246 - b2247 + b2248 <= 1 e19641: b2246 - b2247 + b2249 <= 1 e19642: b2246 - b2247 + b2250 <= 1 e19643: b2247 - b2248 + b2249 <= 1 e19644: b2247 - b2248 + b2250 <= 1 e19645: b2247 - b2248 + b2251 <= 1 e19646: b2248 - b2249 + b2250 <= 1 e19647: b2248 - b2249 + b2251 <= 1 e19648: b2248 - b2249 + b2252 <= 1 e19649: b2249 - b2250 + b2251 <= 1 e19650: b2249 - b2250 + b2252 <= 1 e19651: b2249 - b2250 + b2253 <= 1 e19652: b2250 - b2251 + b2252 <= 1 e19653: b2250 - b2251 + b2253 <= 1 e19654: b2250 - b2251 + b2254 <= 1 e19655: b2251 - b2252 + b2253 <= 1 e19656: b2251 - b2252 + b2254 <= 1 e19657: b2251 - b2252 + b2255 <= 1 e19658: b2252 - b2253 + b2254 <= 1 e19659: b2252 - b2253 + b2255 <= 1 e19660: b2252 - b2253 + b2256 <= 1 e19661: b2253 - b2254 + b2255 <= 1 e19662: b2253 - b2254 + b2256 <= 1 e19663: b2253 - b2254 + b2257 <= 1 e19664: b2254 - b2255 + b2256 <= 1 e19665: b2254 - b2255 + b2257 <= 1 e19666: b2255 - b2256 + b2257 <= 1 e19667: b2235 - b2236 + b2237 >= 0 e19668: b2235 - b2236 + b2238 >= 0 e19669: b2235 - b2236 + b2239 >= 0 e19670: b2236 - b2237 + b2238 >= 0 e19671: b2236 - b2237 + b2239 >= 0 e19672: b2236 - b2237 + b2240 >= 0 e19673: b2237 - b2238 + b2239 >= 0 e19674: b2237 - b2238 + b2240 >= 0 e19675: b2237 - b2238 + b2241 >= 0 e19676: b2238 - b2239 + b2240 >= 0 e19677: b2238 - b2239 + b2241 >= 0 e19678: b2238 - b2239 + b2242 >= 0 e19679: b2239 - b2240 + b2241 >= 0 e19680: b2239 - b2240 + b2242 >= 0 e19681: b2239 - b2240 + b2243 >= 0 e19682: b2240 - b2241 + b2242 >= 0 e19683: b2240 - b2241 + b2243 >= 0 e19684: b2240 - b2241 + b2244 >= 0 e19685: b2241 - b2242 + b2243 >= 0 e19686: b2241 - b2242 + b2244 >= 0 e19687: b2241 - b2242 + b2245 >= 0 e19688: b2242 - b2243 + b2244 >= 0 e19689: b2242 - b2243 + b2245 >= 0 e19690: b2242 - b2243 + b2246 >= 0 e19691: b2243 - b2244 + b2245 >= 0 e19692: b2243 - b2244 + b2246 >= 0 e19693: b2243 - b2244 + b2247 >= 0 e19694: b2244 - b2245 + b2246 >= 0 e19695: b2244 - b2245 + b2247 >= 0 e19696: b2244 - b2245 + b2248 >= 0 e19697: b2245 - b2246 + b2247 >= 0 e19698: b2245 - b2246 + b2248 >= 0 e19699: b2245 - b2246 + b2249 >= 0 e19700: b2246 - b2247 + b2248 >= 0 e19701: b2246 - b2247 + b2249 >= 0 e19702: b2246 - b2247 + b2250 >= 0 e19703: b2247 - b2248 + b2249 >= 0 e19704: b2247 - b2248 + b2250 >= 0 e19705: b2247 - b2248 + b2251 >= 0 e19706: b2248 - b2249 + b2250 >= 0 e19707: b2248 - b2249 + b2251 >= 0 e19708: b2248 - b2249 + b2252 >= 0 e19709: b2249 - b2250 + b2251 >= 0 e19710: b2249 - b2250 + b2252 >= 0 e19711: b2249 - b2250 + b2253 >= 0 e19712: b2250 - b2251 + b2252 >= 0 e19713: b2250 - b2251 + b2253 >= 0 e19714: b2250 - b2251 + b2254 >= 0 e19715: b2251 - b2252 + b2253 >= 0 e19716: b2251 - b2252 + b2254 >= 0 e19717: b2251 - b2252 + b2255 >= 0 e19718: b2252 - b2253 + b2254 >= 0 e19719: b2252 - b2253 + b2255 >= 0 e19720: b2252 - b2253 + b2256 >= 0 e19721: b2253 - b2254 + b2255 >= 0 e19722: b2253 - b2254 + b2256 >= 0 e19723: b2253 - b2254 + b2257 >= 0 e19724: b2254 - b2255 + b2256 >= 0 e19725: b2254 - b2255 + b2257 >= 0 e19726: b2255 - b2256 + b2257 >= 0 e19727: - b2258 + b2259 + x19257 <= 1 e19728: - b2258 + b2260 + x19257 <= 1 e19729: - b2258 + b2261 + x19257 <= 1 e19730: b2258 - b2259 + b2260 <= 1 e19731: b2258 - b2259 + b2261 <= 1 e19732: b2258 - b2259 + b2262 <= 1 e19733: b2259 - b2260 + b2261 <= 1 e19734: b2259 - b2260 + b2262 <= 1 e19735: b2259 - b2260 + b2263 <= 1 e19736: b2260 - b2261 + b2262 <= 1 e19737: b2260 - b2261 + b2263 <= 1 e19738: b2260 - b2261 + b2264 <= 1 e19739: b2261 - b2262 + b2263 <= 1 e19740: b2261 - b2262 + b2264 <= 1 e19741: b2261 - b2262 + b2265 <= 1 e19742: b2262 - b2263 + b2264 <= 1 e19743: b2262 - b2263 + b2265 <= 1 e19744: b2262 - b2263 + b2266 <= 1 e19745: b2263 - b2264 + b2265 <= 1 e19746: b2263 - b2264 + b2266 <= 1 e19747: b2263 - b2264 + b2267 <= 1 e19748: b2264 - b2265 + b2266 <= 1 e19749: b2264 - b2265 + b2267 <= 1 e19750: b2264 - b2265 + b2268 <= 1 e19751: b2265 - b2266 + b2267 <= 1 e19752: b2265 - b2266 + b2268 <= 1 e19753: b2265 - b2266 + b2269 <= 1 e19754: b2266 - b2267 + b2268 <= 1 e19755: b2266 - b2267 + b2269 <= 1 e19756: b2266 - b2267 + b2270 <= 1 e19757: b2267 - b2268 + b2269 <= 1 e19758: b2267 - b2268 + b2270 <= 1 e19759: b2267 - b2268 + b2271 <= 1 e19760: b2268 - b2269 + b2270 <= 1 e19761: b2268 - b2269 + b2271 <= 1 e19762: b2268 - b2269 + b2272 <= 1 e19763: b2269 - b2270 + b2271 <= 1 e19764: b2269 - b2270 + b2272 <= 1 e19765: b2269 - b2270 + b2273 <= 1 e19766: b2270 - b2271 + b2272 <= 1 e19767: b2270 - b2271 + b2273 <= 1 e19768: b2270 - b2271 + b2274 <= 1 e19769: b2271 - b2272 + b2273 <= 1 e19770: b2271 - b2272 + b2274 <= 1 e19771: b2271 - b2272 + b2275 <= 1 e19772: b2272 - b2273 + b2274 <= 1 e19773: b2272 - b2273 + b2275 <= 1 e19774: b2272 - b2273 + b2276 <= 1 e19775: b2273 - b2274 + b2275 <= 1 e19776: b2273 - b2274 + b2276 <= 1 e19777: b2273 - b2274 + b2277 <= 1 e19778: b2274 - b2275 + b2276 <= 1 e19779: b2274 - b2275 + b2277 <= 1 e19780: b2274 - b2275 + b2278 <= 1 e19781: b2275 - b2276 + b2277 <= 1 e19782: b2275 - b2276 + b2278 <= 1 e19783: b2275 - b2276 + b2279 <= 1 e19784: b2276 - b2277 + b2278 <= 1 e19785: b2276 - b2277 + b2279 <= 1 e19786: b2276 - b2277 + b2280 <= 1 e19787: b2277 - b2278 + b2279 <= 1 e19788: b2277 - b2278 + b2280 <= 1 e19789: b2277 - b2278 + b2281 <= 1 e19790: b2278 - b2279 + b2280 <= 1 e19791: b2278 - b2279 + b2281 <= 1 e19792: b2279 - b2280 + b2281 <= 1 e19793: - b2258 + b2259 + x19257 >= 0 e19794: - b2258 + b2260 + x19257 >= 0 e19795: - b2258 + b2261 + x19257 >= 0 e19796: b2258 - b2259 + b2260 >= 0 e19797: b2258 - b2259 + b2261 >= 0 e19798: b2258 - b2259 + b2262 >= 0 e19799: b2259 - b2260 + b2261 >= 0 e19800: b2259 - b2260 + b2262 >= 0 e19801: b2259 - b2260 + b2263 >= 0 e19802: b2260 - b2261 + b2262 >= 0 e19803: b2260 - b2261 + b2263 >= 0 e19804: b2260 - b2261 + b2264 >= 0 e19805: b2261 - b2262 + b2263 >= 0 e19806: b2261 - b2262 + b2264 >= 0 e19807: b2261 - b2262 + b2265 >= 0 e19808: b2262 - b2263 + b2264 >= 0 e19809: b2262 - b2263 + b2265 >= 0 e19810: b2262 - b2263 + b2266 >= 0 e19811: b2263 - b2264 + b2265 >= 0 e19812: b2263 - b2264 + b2266 >= 0 e19813: b2263 - b2264 + b2267 >= 0 e19814: b2264 - b2265 + b2266 >= 0 e19815: b2264 - b2265 + b2267 >= 0 e19816: b2264 - b2265 + b2268 >= 0 e19817: b2265 - b2266 + b2267 >= 0 e19818: b2265 - b2266 + b2268 >= 0 e19819: b2265 - b2266 + b2269 >= 0 e19820: b2266 - b2267 + b2268 >= 0 e19821: b2266 - b2267 + b2269 >= 0 e19822: b2266 - b2267 + b2270 >= 0 e19823: b2267 - b2268 + b2269 >= 0 e19824: b2267 - b2268 + b2270 >= 0 e19825: b2267 - b2268 + b2271 >= 0 e19826: b2268 - b2269 + b2270 >= 0 e19827: b2268 - b2269 + b2271 >= 0 e19828: b2268 - b2269 + b2272 >= 0 e19829: b2269 - b2270 + b2271 >= 0 e19830: b2269 - b2270 + b2272 >= 0 e19831: b2269 - b2270 + b2273 >= 0 e19832: b2270 - b2271 + b2272 >= 0 e19833: b2270 - b2271 + b2273 >= 0 e19834: b2270 - b2271 + b2274 >= 0 e19835: b2271 - b2272 + b2273 >= 0 e19836: b2271 - b2272 + b2274 >= 0 e19837: b2271 - b2272 + b2275 >= 0 e19838: b2272 - b2273 + b2274 >= 0 e19839: b2272 - b2273 + b2275 >= 0 e19840: b2272 - b2273 + b2276 >= 0 e19841: b2273 - b2274 + b2275 >= 0 e19842: b2273 - b2274 + b2276 >= 0 e19843: b2273 - b2274 + b2277 >= 0 e19844: b2274 - b2275 + b2276 >= 0 e19845: b2274 - b2275 + b2277 >= 0 e19846: b2274 - b2275 + b2278 >= 0 e19847: b2275 - b2276 + b2277 >= 0 e19848: b2275 - b2276 + b2278 >= 0 e19849: b2275 - b2276 + b2279 >= 0 e19850: b2276 - b2277 + b2278 >= 0 e19851: b2276 - b2277 + b2279 >= 0 e19852: b2276 - b2277 + b2280 >= 0 e19853: b2277 - b2278 + b2279 >= 0 e19854: b2277 - b2278 + b2280 >= 0 e19855: b2277 - b2278 + b2281 >= 0 e19856: b2278 - b2279 + b2280 >= 0 e19857: b2278 - b2279 + b2281 >= 0 e19858: b2279 - b2280 + b2281 >= 0 e19859: b2282 = 1 e19860: b2282 - b2283 + b2284 <= 1 e19861: b2282 - b2283 + b2285 <= 1 e19862: b2282 - b2283 + b2286 <= 1 e19863: b2283 - b2284 + b2285 <= 1 e19864: b2283 - b2284 + b2286 <= 1 e19865: b2283 - b2284 + b2287 <= 1 e19866: b2284 - b2285 + b2286 <= 1 e19867: b2284 - b2285 + b2287 <= 1 e19868: b2284 - b2285 + b2288 <= 1 e19869: b2285 - b2286 + b2287 <= 1 e19870: b2285 - b2286 + b2288 <= 1 e19871: b2285 - b2286 + b2289 <= 1 e19872: b2286 - b2287 + b2288 <= 1 e19873: b2286 - b2287 + b2289 <= 1 e19874: b2286 - b2287 + b2290 <= 1 e19875: b2287 - b2288 + b2289 <= 1 e19876: b2287 - b2288 + b2290 <= 1 e19877: b2287 - b2288 + b2291 <= 1 e19878: b2288 - b2289 + b2290 <= 1 e19879: b2288 - b2289 + b2291 <= 1 e19880: b2288 - b2289 + b2292 <= 1 e19881: b2289 - b2290 + b2291 <= 1 e19882: b2289 - b2290 + b2292 <= 1 e19883: b2289 - b2290 + b2293 <= 1 e19884: b2290 - b2291 + b2292 <= 1 e19885: b2290 - b2291 + b2293 <= 1 e19886: b2290 - b2291 + b2294 <= 1 e19887: b2291 - b2292 + b2293 <= 1 e19888: b2291 - b2292 + b2294 <= 1 e19889: b2291 - b2292 + b2295 <= 1 e19890: b2292 - b2293 + b2294 <= 1 e19891: b2292 - b2293 + b2295 <= 1 e19892: b2292 - b2293 + b2296 <= 1 e19893: b2293 - b2294 + b2295 <= 1 e19894: b2293 - b2294 + b2296 <= 1 e19895: b2293 - b2294 + b2297 <= 1 e19896: b2294 - b2295 + b2296 <= 1 e19897: b2294 - b2295 + b2297 <= 1 e19898: b2294 - b2295 + b2298 <= 1 e19899: b2295 - b2296 + b2297 <= 1 e19900: b2295 - b2296 + b2298 <= 1 e19901: b2295 - b2296 + b2299 <= 1 e19902: b2296 - b2297 + b2298 <= 1 e19903: b2296 - b2297 + b2299 <= 1 e19904: b2296 - b2297 + b2300 <= 1 e19905: b2297 - b2298 + b2299 <= 1 e19906: b2297 - b2298 + b2300 <= 1 e19907: b2297 - b2298 + b2301 <= 1 e19908: b2298 - b2299 + b2300 <= 1 e19909: b2298 - b2299 + b2301 <= 1 e19910: b2298 - b2299 + b2302 <= 1 e19911: b2299 - b2300 + b2301 <= 1 e19912: b2299 - b2300 + b2302 <= 1 e19913: b2299 - b2300 + b2303 <= 1 e19914: b2300 - b2301 + b2302 <= 1 e19915: b2300 - b2301 + b2303 <= 1 e19916: b2300 - b2301 + b2304 <= 1 e19917: b2301 - b2302 + b2303 <= 1 e19918: b2301 - b2302 + b2304 <= 1 e19919: b2301 - b2302 + b2305 <= 1 e19920: b2302 - b2303 + b2304 <= 1 e19921: b2302 - b2303 + b2305 <= 1 e19922: b2303 - b2304 + b2305 <= 1 e19923: b2282 - b2283 + b2284 >= 0 e19924: b2282 - b2283 + b2285 >= 0 e19925: b2283 - b2284 + b2285 >= 0 e19926: b2283 - b2284 + b2286 >= 0 e19927: b2284 - b2285 + b2286 >= 0 e19928: b2284 - b2285 + b2287 >= 0 e19929: b2285 - b2286 + b2287 >= 0 e19930: b2285 - b2286 + b2288 >= 0 e19931: b2286 - b2287 + b2288 >= 0 e19932: b2286 - b2287 + b2289 >= 0 e19933: b2287 - b2288 + b2289 >= 0 e19934: b2287 - b2288 + b2290 >= 0 e19935: b2288 - b2289 + b2290 >= 0 e19936: b2288 - b2289 + b2291 >= 0 e19937: b2289 - b2290 + b2291 >= 0 e19938: b2289 - b2290 + b2292 >= 0 e19939: b2290 - b2291 + b2292 >= 0 e19940: b2290 - b2291 + b2293 >= 0 e19941: b2291 - b2292 + b2293 >= 0 e19942: b2291 - b2292 + b2294 >= 0 e19943: b2292 - b2293 + b2294 >= 0 e19944: b2292 - b2293 + b2295 >= 0 e19945: b2293 - b2294 + b2295 >= 0 e19946: b2293 - b2294 + b2296 >= 0 e19947: b2294 - b2295 + b2296 >= 0 e19948: b2294 - b2295 + b2297 >= 0 e19949: b2295 - b2296 + b2297 >= 0 e19950: b2295 - b2296 + b2298 >= 0 e19951: b2296 - b2297 + b2298 >= 0 e19952: b2296 - b2297 + b2299 >= 0 e19953: b2297 - b2298 + b2299 >= 0 e19954: b2297 - b2298 + b2300 >= 0 e19955: b2298 - b2299 + b2300 >= 0 e19956: b2298 - b2299 + b2301 >= 0 e19957: b2299 - b2300 + b2301 >= 0 e19958: b2299 - b2300 + b2302 >= 0 e19959: b2300 - b2301 + b2302 >= 0 e19960: b2300 - b2301 + b2303 >= 0 e19961: b2301 - b2302 + b2303 >= 0 e19962: b2301 - b2302 + b2304 >= 0 e19963: b2302 - b2303 + b2304 >= 0 e19964: b2302 - b2303 + b2305 >= 0 e19965: b2303 - b2304 + b2305 >= 0 e19966: b2306 = 0 e19967: b2306 - b2307 + b2308 <= 1 e19968: b2306 - b2307 + b2309 <= 1 e19969: b2307 - b2308 + b2309 <= 1 e19970: b2307 - b2308 + b2310 <= 1 e19971: b2308 - b2309 + b2310 <= 1 e19972: b2308 - b2309 + b2311 <= 1 e19973: b2309 - b2310 + b2311 <= 1 e19974: b2309 - b2310 + b2312 <= 1 e19975: b2310 - b2311 + b2312 <= 1 e19976: b2310 - b2311 + b2313 <= 1 e19977: b2311 - b2312 + b2313 <= 1 e19978: b2311 - b2312 + b2314 <= 1 e19979: b2312 - b2313 + b2314 <= 1 e19980: b2312 - b2313 + b2315 <= 1 e19981: b2313 - b2314 + b2315 <= 1 e19982: b2313 - b2314 + b2316 <= 1 e19983: b2314 - b2315 + b2316 <= 1 e19984: b2314 - b2315 + b2317 <= 1 e19985: b2315 - b2316 + b2317 <= 1 e19986: b2315 - b2316 + b2318 <= 1 e19987: b2316 - b2317 + b2318 <= 1 e19988: b2316 - b2317 + b2319 <= 1 e19989: b2317 - b2318 + b2319 <= 1 e19990: b2317 - b2318 + b2320 <= 1 e19991: b2318 - b2319 + b2320 <= 1 e19992: b2318 - b2319 + b2321 <= 1 e19993: b2319 - b2320 + b2321 <= 1 e19994: b2319 - b2320 + b2322 <= 1 e19995: b2320 - b2321 + b2322 <= 1 e19996: b2320 - b2321 + b2323 <= 1 e19997: b2321 - b2322 + b2323 <= 1 e19998: b2321 - b2322 + b2324 <= 1 e19999: b2322 - b2323 + b2324 <= 1 e20000: b2322 - b2323 + b2325 <= 1 e20001: b2323 - b2324 + b2325 <= 1 e20002: b2323 - b2324 + b2326 <= 1 e20003: b2324 - b2325 + b2326 <= 1 e20004: b2324 - b2325 + b2327 <= 1 e20005: b2325 - b2326 + b2327 <= 1 e20006: b2325 - b2326 + b2328 <= 1 e20007: b2326 - b2327 + b2328 <= 1 e20008: b2326 - b2327 + b2329 <= 1 e20009: b2327 - b2328 + b2329 <= 1 e20010: b2306 - b2307 + b2308 >= 0 e20011: b2306 - b2307 + b2309 >= 0 e20012: b2306 - b2307 + b2310 >= 0 e20013: b2307 - b2308 + b2309 >= 0 e20014: b2307 - b2308 + b2310 >= 0 e20015: b2307 - b2308 + b2311 >= 0 e20016: b2308 - b2309 + b2310 >= 0 e20017: b2308 - b2309 + b2311 >= 0 e20018: b2308 - b2309 + b2312 >= 0 e20019: b2309 - b2310 + b2311 >= 0 e20020: b2309 - b2310 + b2312 >= 0 e20021: b2309 - b2310 + b2313 >= 0 e20022: b2310 - b2311 + b2312 >= 0 e20023: b2310 - b2311 + b2313 >= 0 e20024: b2310 - b2311 + b2314 >= 0 e20025: b2311 - b2312 + b2313 >= 0 e20026: b2311 - b2312 + b2314 >= 0 e20027: b2311 - b2312 + b2315 >= 0 e20028: b2312 - b2313 + b2314 >= 0 e20029: b2312 - b2313 + b2315 >= 0 e20030: b2312 - b2313 + b2316 >= 0 e20031: b2313 - b2314 + b2315 >= 0 e20032: b2313 - b2314 + b2316 >= 0 e20033: b2313 - b2314 + b2317 >= 0 e20034: b2314 - b2315 + b2316 >= 0 e20035: b2314 - b2315 + b2317 >= 0 e20036: b2314 - b2315 + b2318 >= 0 e20037: b2315 - b2316 + b2317 >= 0 e20038: b2315 - b2316 + b2318 >= 0 e20039: b2315 - b2316 + b2319 >= 0 e20040: b2316 - b2317 + b2318 >= 0 e20041: b2316 - b2317 + b2319 >= 0 e20042: b2316 - b2317 + b2320 >= 0 e20043: b2317 - b2318 + b2319 >= 0 e20044: b2317 - b2318 + b2320 >= 0 e20045: b2317 - b2318 + b2321 >= 0 e20046: b2318 - b2319 + b2320 >= 0 e20047: b2318 - b2319 + b2321 >= 0 e20048: b2318 - b2319 + b2322 >= 0 e20049: b2319 - b2320 + b2321 >= 0 e20050: b2319 - b2320 + b2322 >= 0 e20051: b2319 - b2320 + b2323 >= 0 e20052: b2320 - b2321 + b2322 >= 0 e20053: b2320 - b2321 + b2323 >= 0 e20054: b2320 - b2321 + b2324 >= 0 e20055: b2321 - b2322 + b2323 >= 0 e20056: b2321 - b2322 + b2324 >= 0 e20057: b2321 - b2322 + b2325 >= 0 e20058: b2322 - b2323 + b2324 >= 0 e20059: b2322 - b2323 + b2325 >= 0 e20060: b2322 - b2323 + b2326 >= 0 e20061: b2323 - b2324 + b2325 >= 0 e20062: b2323 - b2324 + b2326 >= 0 e20063: b2323 - b2324 + b2327 >= 0 e20064: b2324 - b2325 + b2326 >= 0 e20065: b2324 - b2325 + b2327 >= 0 e20066: b2324 - b2325 + b2328 >= 0 e20067: b2325 - b2326 + b2327 >= 0 e20068: b2325 - b2326 + b2328 >= 0 e20069: b2325 - b2326 + b2329 >= 0 e20070: b2326 - b2327 + b2328 >= 0 e20071: b2326 - b2327 + b2329 >= 0 e20072: b2327 - b2328 + b2329 >= 0 e20073: b2330 = 1 e20074: b2331 = 1 e20075: b2331 - b2332 + b2333 <= 1 e20076: b2331 - b2332 + b2334 <= 1 e20077: b2331 - b2332 + b2335 <= 1 e20078: b2332 - b2333 + b2334 <= 1 e20079: b2332 - b2333 + b2335 <= 1 e20080: b2332 - b2333 + b2336 <= 1 e20081: b2333 - b2334 + b2335 <= 1 e20082: b2333 - b2334 + b2336 <= 1 e20083: b2333 - b2334 + b2337 <= 1 e20084: b2334 - b2335 + b2336 <= 1 e20085: b2334 - b2335 + b2337 <= 1 e20086: b2334 - b2335 + b2338 <= 1 e20087: b2335 - b2336 + b2337 <= 1 e20088: b2335 - b2336 + b2338 <= 1 e20089: b2335 - b2336 + b2339 <= 1 e20090: b2336 - b2337 + b2338 <= 1 e20091: b2336 - b2337 + b2339 <= 1 e20092: b2336 - b2337 + b2340 <= 1 e20093: b2337 - b2338 + b2339 <= 1 e20094: b2337 - b2338 + b2340 <= 1 e20095: b2337 - b2338 + b2341 <= 1 e20096: b2338 - b2339 + b2340 <= 1 e20097: b2338 - b2339 + b2341 <= 1 e20098: b2338 - b2339 + b2342 <= 1 e20099: b2339 - b2340 + b2341 <= 1 e20100: b2339 - b2340 + b2342 <= 1 e20101: b2339 - b2340 + b2343 <= 1 e20102: b2340 - b2341 + b2342 <= 1 e20103: b2340 - b2341 + b2343 <= 1 e20104: b2340 - b2341 + b2344 <= 1 e20105: b2341 - b2342 + b2343 <= 1 e20106: b2341 - b2342 + b2344 <= 1 e20107: b2341 - b2342 + b2345 <= 1 e20108: b2342 - b2343 + b2344 <= 1 e20109: b2342 - b2343 + b2345 <= 1 e20110: b2342 - b2343 + b2346 <= 1 e20111: b2343 - b2344 + b2345 <= 1 e20112: b2343 - b2344 + b2346 <= 1 e20113: b2343 - b2344 + b2347 <= 1 e20114: b2344 - b2345 + b2346 <= 1 e20115: b2344 - b2345 + b2347 <= 1 e20116: b2344 - b2345 + b2348 <= 1 e20117: b2345 - b2346 + b2347 <= 1 e20118: b2345 - b2346 + b2348 <= 1 e20119: b2345 - b2346 + b2349 <= 1 e20120: b2346 - b2347 + b2348 <= 1 e20121: b2346 - b2347 + b2349 <= 1 e20122: b2346 - b2347 + b2350 <= 1 e20123: b2347 - b2348 + b2349 <= 1 e20124: b2347 - b2348 + b2350 <= 1 e20125: b2347 - b2348 + b2351 <= 1 e20126: b2348 - b2349 + b2350 <= 1 e20127: b2348 - b2349 + b2351 <= 1 e20128: b2348 - b2349 + b2352 <= 1 e20129: b2349 - b2350 + b2351 <= 1 e20130: b2349 - b2350 + b2352 <= 1 e20131: b2349 - b2350 + b2353 <= 1 e20132: b2350 - b2351 + b2352 <= 1 e20133: b2350 - b2351 + b2353 <= 1 e20134: b2351 - b2352 + b2353 <= 1 e20135: b2331 - b2332 + b2333 >= 0 e20136: b2331 - b2332 + b2334 >= 0 e20137: b2331 - b2332 + b2335 >= 0 e20138: b2332 - b2333 + b2334 >= 0 e20139: b2332 - b2333 + b2335 >= 0 e20140: b2332 - b2333 + b2336 >= 0 e20141: b2333 - b2334 + b2335 >= 0 e20142: b2333 - b2334 + b2336 >= 0 e20143: b2333 - b2334 + b2337 >= 0 e20144: b2334 - b2335 + b2336 >= 0 e20145: b2334 - b2335 + b2337 >= 0 e20146: b2334 - b2335 + b2338 >= 0 e20147: b2335 - b2336 + b2337 >= 0 e20148: b2335 - b2336 + b2338 >= 0 e20149: b2335 - b2336 + b2339 >= 0 e20150: b2336 - b2337 + b2338 >= 0 e20151: b2336 - b2337 + b2339 >= 0 e20152: b2336 - b2337 + b2340 >= 0 e20153: b2337 - b2338 + b2339 >= 0 e20154: b2337 - b2338 + b2340 >= 0 e20155: b2337 - b2338 + b2341 >= 0 e20156: b2338 - b2339 + b2340 >= 0 e20157: b2338 - b2339 + b2341 >= 0 e20158: b2338 - b2339 + b2342 >= 0 e20159: b2339 - b2340 + b2341 >= 0 e20160: b2339 - b2340 + b2342 >= 0 e20161: b2339 - b2340 + b2343 >= 0 e20162: b2340 - b2341 + b2342 >= 0 e20163: b2340 - b2341 + b2343 >= 0 e20164: b2340 - b2341 + b2344 >= 0 e20165: b2341 - b2342 + b2343 >= 0 e20166: b2341 - b2342 + b2344 >= 0 e20167: b2341 - b2342 + b2345 >= 0 e20168: b2342 - b2343 + b2344 >= 0 e20169: b2342 - b2343 + b2345 >= 0 e20170: b2342 - b2343 + b2346 >= 0 e20171: b2343 - b2344 + b2345 >= 0 e20172: b2343 - b2344 + b2346 >= 0 e20173: b2343 - b2344 + b2347 >= 0 e20174: b2344 - b2345 + b2346 >= 0 e20175: b2344 - b2345 + b2347 >= 0 e20176: b2344 - b2345 + b2348 >= 0 e20177: b2345 - b2346 + b2347 >= 0 e20178: b2345 - b2346 + b2348 >= 0 e20179: b2345 - b2346 + b2349 >= 0 e20180: b2346 - b2347 + b2348 >= 0 e20181: b2346 - b2347 + b2349 >= 0 e20182: b2346 - b2347 + b2350 >= 0 e20183: b2347 - b2348 + b2349 >= 0 e20184: b2347 - b2348 + b2350 >= 0 e20185: b2347 - b2348 + b2351 >= 0 e20186: b2348 - b2349 + b2350 >= 0 e20187: b2348 - b2349 + b2351 >= 0 e20188: b2348 - b2349 + b2352 >= 0 e20189: b2349 - b2350 + b2351 >= 0 e20190: b2349 - b2350 + b2352 >= 0 e20191: b2349 - b2350 + b2353 >= 0 e20192: b2350 - b2351 + b2352 >= 0 e20193: b2350 - b2351 + b2353 >= 0 e20194: b2351 - b2352 + b2353 >= 0 e20195: - b2354 + b2355 + x19258 <= 1 e20196: - b2354 + b2356 + x19258 <= 1 e20197: - b2354 + b2357 + x19258 <= 1 e20198: b2354 - b2355 + b2356 <= 1 e20199: b2354 - b2355 + b2357 <= 1 e20200: b2354 - b2355 + b2358 <= 1 e20201: b2355 - b2356 + b2357 <= 1 e20202: b2355 - b2356 + b2358 <= 1 e20203: b2355 - b2356 + b2359 <= 1 e20204: b2356 - b2357 + b2358 <= 1 e20205: b2356 - b2357 + b2359 <= 1 e20206: b2356 - b2357 + b2360 <= 1 e20207: b2357 - b2358 + b2359 <= 1 e20208: b2357 - b2358 + b2360 <= 1 e20209: b2357 - b2358 + b2361 <= 1 e20210: b2358 - b2359 + b2360 <= 1 e20211: b2358 - b2359 + b2361 <= 1 e20212: b2358 - b2359 + b2362 <= 1 e20213: b2359 - b2360 + b2361 <= 1 e20214: b2359 - b2360 + b2362 <= 1 e20215: b2359 - b2360 + b2363 <= 1 e20216: b2360 - b2361 + b2362 <= 1 e20217: b2360 - b2361 + b2363 <= 1 e20218: b2360 - b2361 + b2364 <= 1 e20219: b2361 - b2362 + b2363 <= 1 e20220: b2361 - b2362 + b2364 <= 1 e20221: b2361 - b2362 + b2365 <= 1 e20222: b2362 - b2363 + b2364 <= 1 e20223: b2362 - b2363 + b2365 <= 1 e20224: b2362 - b2363 + b2366 <= 1 e20225: b2363 - b2364 + b2365 <= 1 e20226: b2363 - b2364 + b2366 <= 1 e20227: b2363 - b2364 + b2367 <= 1 e20228: b2364 - b2365 + b2366 <= 1 e20229: b2364 - b2365 + b2367 <= 1 e20230: b2364 - b2365 + b2368 <= 1 e20231: b2365 - b2366 + b2367 <= 1 e20232: b2365 - b2366 + b2368 <= 1 e20233: b2365 - b2366 + b2369 <= 1 e20234: b2366 - b2367 + b2368 <= 1 e20235: b2366 - b2367 + b2369 <= 1 e20236: b2366 - b2367 + b2370 <= 1 e20237: b2367 - b2368 + b2369 <= 1 e20238: b2367 - b2368 + b2370 <= 1 e20239: b2367 - b2368 + b2371 <= 1 e20240: b2368 - b2369 + b2370 <= 1 e20241: b2368 - b2369 + b2371 <= 1 e20242: b2368 - b2369 + b2372 <= 1 e20243: b2369 - b2370 + b2371 <= 1 e20244: b2369 - b2370 + b2372 <= 1 e20245: b2369 - b2370 + b2373 <= 1 e20246: b2370 - b2371 + b2372 <= 1 e20247: b2370 - b2371 + b2373 <= 1 e20248: b2370 - b2371 + b2374 <= 1 e20249: b2371 - b2372 + b2373 <= 1 e20250: b2371 - b2372 + b2374 <= 1 e20251: b2371 - b2372 + b2375 <= 1 e20252: b2372 - b2373 + b2374 <= 1 e20253: b2372 - b2373 + b2375 <= 1 e20254: b2372 - b2373 + b2376 <= 1 e20255: b2373 - b2374 + b2375 <= 1 e20256: b2373 - b2374 + b2376 <= 1 e20257: b2373 - b2374 + b2377 <= 1 e20258: b2374 - b2375 + b2376 <= 1 e20259: b2374 - b2375 + b2377 <= 1 e20260: b2375 - b2376 + b2377 <= 1 e20261: - b2354 + b2355 + x19258 >= 0 e20262: - b2354 + b2356 + x19258 >= 0 e20263: b2354 - b2355 + b2356 >= 0 e20264: b2354 - b2355 + b2357 >= 0 e20265: b2355 - b2356 + b2357 >= 0 e20266: b2355 - b2356 + b2358 >= 0 e20267: b2356 - b2357 + b2358 >= 0 e20268: b2356 - b2357 + b2359 >= 0 e20269: b2357 - b2358 + b2359 >= 0 e20270: b2357 - b2358 + b2360 >= 0 e20271: b2358 - b2359 + b2360 >= 0 e20272: b2358 - b2359 + b2361 >= 0 e20273: b2359 - b2360 + b2361 >= 0 e20274: b2359 - b2360 + b2362 >= 0 e20275: b2360 - b2361 + b2362 >= 0 e20276: b2360 - b2361 + b2363 >= 0 e20277: b2361 - b2362 + b2363 >= 0 e20278: b2361 - b2362 + b2364 >= 0 e20279: b2362 - b2363 + b2364 >= 0 e20280: b2362 - b2363 + b2365 >= 0 e20281: b2363 - b2364 + b2365 >= 0 e20282: b2363 - b2364 + b2366 >= 0 e20283: b2364 - b2365 + b2366 >= 0 e20284: b2364 - b2365 + b2367 >= 0 e20285: b2365 - b2366 + b2367 >= 0 e20286: b2365 - b2366 + b2368 >= 0 e20287: b2366 - b2367 + b2368 >= 0 e20288: b2366 - b2367 + b2369 >= 0 e20289: b2367 - b2368 + b2369 >= 0 e20290: b2367 - b2368 + b2370 >= 0 e20291: b2368 - b2369 + b2370 >= 0 e20292: b2368 - b2369 + b2371 >= 0 e20293: b2369 - b2370 + b2371 >= 0 e20294: b2369 - b2370 + b2372 >= 0 e20295: b2370 - b2371 + b2372 >= 0 e20296: b2370 - b2371 + b2373 >= 0 e20297: b2371 - b2372 + b2373 >= 0 e20298: b2371 - b2372 + b2374 >= 0 e20299: b2372 - b2373 + b2374 >= 0 e20300: b2372 - b2373 + b2375 >= 0 e20301: b2373 - b2374 + b2375 >= 0 e20302: b2373 - b2374 + b2376 >= 0 e20303: b2374 - b2375 + b2376 >= 0 e20304: b2374 - b2375 + b2377 >= 0 e20305: b2375 - b2376 + b2377 >= 0 e20306: - b2378 + b2379 + x19259 <= 1 e20307: - b2378 + b2380 + x19259 <= 1 e20308: - b2378 + b2381 + x19259 <= 1 e20309: b2378 - b2379 + b2380 <= 1 e20310: b2378 - b2379 + b2381 <= 1 e20311: b2378 - b2379 + b2382 <= 1 e20312: b2379 - b2380 + b2381 <= 1 e20313: b2379 - b2380 + b2382 <= 1 e20314: b2379 - b2380 + b2383 <= 1 e20315: b2380 - b2381 + b2382 <= 1 e20316: b2380 - b2381 + b2383 <= 1 e20317: b2380 - b2381 + b2384 <= 1 e20318: b2381 - b2382 + b2383 <= 1 e20319: b2381 - b2382 + b2384 <= 1 e20320: b2381 - b2382 + b2385 <= 1 e20321: b2382 - b2383 + b2384 <= 1 e20322: b2382 - b2383 + b2385 <= 1 e20323: b2382 - b2383 + b2386 <= 1 e20324: b2383 - b2384 + b2385 <= 1 e20325: b2383 - b2384 + b2386 <= 1 e20326: b2383 - b2384 + b2387 <= 1 e20327: b2384 - b2385 + b2386 <= 1 e20328: b2384 - b2385 + b2387 <= 1 e20329: b2384 - b2385 + b2388 <= 1 e20330: b2385 - b2386 + b2387 <= 1 e20331: b2385 - b2386 + b2388 <= 1 e20332: b2385 - b2386 + b2389 <= 1 e20333: b2386 - b2387 + b2388 <= 1 e20334: b2386 - b2387 + b2389 <= 1 e20335: b2386 - b2387 + b2390 <= 1 e20336: b2387 - b2388 + b2389 <= 1 e20337: b2387 - b2388 + b2390 <= 1 e20338: b2387 - b2388 + b2391 <= 1 e20339: b2388 - b2389 + b2390 <= 1 e20340: b2388 - b2389 + b2391 <= 1 e20341: b2388 - b2389 + b2392 <= 1 e20342: b2389 - b2390 + b2391 <= 1 e20343: b2389 - b2390 + b2392 <= 1 e20344: b2389 - b2390 + b2393 <= 1 e20345: b2390 - b2391 + b2392 <= 1 e20346: b2390 - b2391 + b2393 <= 1 e20347: b2390 - b2391 + b2394 <= 1 e20348: b2391 - b2392 + b2393 <= 1 e20349: b2391 - b2392 + b2394 <= 1 e20350: b2391 - b2392 + b2395 <= 1 e20351: b2392 - b2393 + b2394 <= 1 e20352: b2392 - b2393 + b2395 <= 1 e20353: b2392 - b2393 + b2396 <= 1 e20354: b2393 - b2394 + b2395 <= 1 e20355: b2393 - b2394 + b2396 <= 1 e20356: b2393 - b2394 + b2397 <= 1 e20357: b2394 - b2395 + b2396 <= 1 e20358: b2394 - b2395 + b2397 <= 1 e20359: b2394 - b2395 + b2398 <= 1 e20360: b2395 - b2396 + b2397 <= 1 e20361: b2395 - b2396 + b2398 <= 1 e20362: b2395 - b2396 + b2399 <= 1 e20363: b2396 - b2397 + b2398 <= 1 e20364: b2396 - b2397 + b2399 <= 1 e20365: b2396 - b2397 + b2400 <= 1 e20366: b2397 - b2398 + b2399 <= 1 e20367: b2397 - b2398 + b2400 <= 1 e20368: b2397 - b2398 + b2401 <= 1 e20369: b2398 - b2399 + b2400 <= 1 e20370: b2398 - b2399 + b2401 <= 1 e20371: b2399 - b2400 + b2401 <= 1 e20372: - b2378 + b2379 + x19259 >= 0 e20373: - b2378 + b2380 + x19259 >= 0 e20374: - b2378 + b2381 + x19259 >= 0 e20375: b2378 - b2379 + b2380 >= 0 e20376: b2378 - b2379 + b2381 >= 0 e20377: b2378 - b2379 + b2382 >= 0 e20378: b2379 - b2380 + b2381 >= 0 e20379: b2379 - b2380 + b2382 >= 0 e20380: b2379 - b2380 + b2383 >= 0 e20381: b2380 - b2381 + b2382 >= 0 e20382: b2380 - b2381 + b2383 >= 0 e20383: b2380 - b2381 + b2384 >= 0 e20384: b2381 - b2382 + b2383 >= 0 e20385: b2381 - b2382 + b2384 >= 0 e20386: b2381 - b2382 + b2385 >= 0 e20387: b2382 - b2383 + b2384 >= 0 e20388: b2382 - b2383 + b2385 >= 0 e20389: b2382 - b2383 + b2386 >= 0 e20390: b2383 - b2384 + b2385 >= 0 e20391: b2383 - b2384 + b2386 >= 0 e20392: b2383 - b2384 + b2387 >= 0 e20393: b2384 - b2385 + b2386 >= 0 e20394: b2384 - b2385 + b2387 >= 0 e20395: b2384 - b2385 + b2388 >= 0 e20396: b2385 - b2386 + b2387 >= 0 e20397: b2385 - b2386 + b2388 >= 0 e20398: b2385 - b2386 + b2389 >= 0 e20399: b2386 - b2387 + b2388 >= 0 e20400: b2386 - b2387 + b2389 >= 0 e20401: b2386 - b2387 + b2390 >= 0 e20402: b2387 - b2388 + b2389 >= 0 e20403: b2387 - b2388 + b2390 >= 0 e20404: b2387 - b2388 + b2391 >= 0 e20405: b2388 - b2389 + b2390 >= 0 e20406: b2388 - b2389 + b2391 >= 0 e20407: b2388 - b2389 + b2392 >= 0 e20408: b2389 - b2390 + b2391 >= 0 e20409: b2389 - b2390 + b2392 >= 0 e20410: b2389 - b2390 + b2393 >= 0 e20411: b2390 - b2391 + b2392 >= 0 e20412: b2390 - b2391 + b2393 >= 0 e20413: b2390 - b2391 + b2394 >= 0 e20414: b2391 - b2392 + b2393 >= 0 e20415: b2391 - b2392 + b2394 >= 0 e20416: b2391 - b2392 + b2395 >= 0 e20417: b2392 - b2393 + b2394 >= 0 e20418: b2392 - b2393 + b2395 >= 0 e20419: b2392 - b2393 + b2396 >= 0 e20420: b2393 - b2394 + b2395 >= 0 e20421: b2393 - b2394 + b2396 >= 0 e20422: b2393 - b2394 + b2397 >= 0 e20423: b2394 - b2395 + b2396 >= 0 e20424: b2394 - b2395 + b2397 >= 0 e20425: b2394 - b2395 + b2398 >= 0 e20426: b2395 - b2396 + b2397 >= 0 e20427: b2395 - b2396 + b2398 >= 0 e20428: b2395 - b2396 + b2399 >= 0 e20429: b2396 - b2397 + b2398 >= 0 e20430: b2396 - b2397 + b2399 >= 0 e20431: b2396 - b2397 + b2400 >= 0 e20432: b2397 - b2398 + b2399 >= 0 e20433: b2397 - b2398 + b2400 >= 0 e20434: b2397 - b2398 + b2401 >= 0 e20435: b2398 - b2399 + b2400 >= 0 e20436: b2398 - b2399 + b2401 >= 0 e20437: b2399 - b2400 + b2401 >= 0 e20438: - b2402 + b2403 + x19260 <= 1 e20439: - b2402 + b2404 + x19260 <= 1 e20440: - b2402 + b2405 + x19260 <= 1 e20441: - b2402 + b2406 + x19260 <= 1 e20442: - b2402 + b2407 + x19260 <= 1 e20443: - b2402 + b2408 + x19260 <= 1 e20444: b2402 - b2403 + b2404 <= 1 e20445: b2402 - b2403 + b2405 <= 1 e20446: b2402 - b2403 + b2406 <= 1 e20447: b2402 - b2403 + b2407 <= 1 e20448: b2402 - b2403 + b2408 <= 1 e20449: b2402 - b2403 + b2409 <= 1 e20450: b2403 - b2404 + b2405 <= 1 e20451: b2403 - b2404 + b2406 <= 1 e20452: b2403 - b2404 + b2407 <= 1 e20453: b2403 - b2404 + b2408 <= 1 e20454: b2403 - b2404 + b2409 <= 1 e20455: b2403 - b2404 + b2410 <= 1 e20456: b2404 - b2405 + b2406 <= 1 e20457: b2404 - b2405 + b2407 <= 1 e20458: b2404 - b2405 + b2408 <= 1 e20459: b2404 - b2405 + b2409 <= 1 e20460: b2404 - b2405 + b2410 <= 1 e20461: b2404 - b2405 + b2411 <= 1 e20462: b2405 - b2406 + b2407 <= 1 e20463: b2405 - b2406 + b2408 <= 1 e20464: b2405 - b2406 + b2409 <= 1 e20465: b2405 - b2406 + b2410 <= 1 e20466: b2405 - b2406 + b2411 <= 1 e20467: b2405 - b2406 + b2412 <= 1 e20468: b2406 - b2407 + b2408 <= 1 e20469: b2406 - b2407 + b2409 <= 1 e20470: b2406 - b2407 + b2410 <= 1 e20471: b2406 - b2407 + b2411 <= 1 e20472: b2406 - b2407 + b2412 <= 1 e20473: b2406 - b2407 + b2413 <= 1 e20474: b2407 - b2408 + b2409 <= 1 e20475: b2407 - b2408 + b2410 <= 1 e20476: b2407 - b2408 + b2411 <= 1 e20477: b2407 - b2408 + b2412 <= 1 e20478: b2407 - b2408 + b2413 <= 1 e20479: b2407 - b2408 + b2414 <= 1 e20480: b2408 - b2409 + b2410 <= 1 e20481: b2408 - b2409 + b2411 <= 1 e20482: b2408 - b2409 + b2412 <= 1 e20483: b2408 - b2409 + b2413 <= 1 e20484: b2408 - b2409 + b2414 <= 1 e20485: b2408 - b2409 + b2415 <= 1 e20486: b2409 - b2410 + b2411 <= 1 e20487: b2409 - b2410 + b2412 <= 1 e20488: b2409 - b2410 + b2413 <= 1 e20489: b2409 - b2410 + b2414 <= 1 e20490: b2409 - b2410 + b2415 <= 1 e20491: b2409 - b2410 + b2416 <= 1 e20492: b2410 - b2411 + b2412 <= 1 e20493: b2410 - b2411 + b2413 <= 1 e20494: b2410 - b2411 + b2414 <= 1 e20495: b2410 - b2411 + b2415 <= 1 e20496: b2410 - b2411 + b2416 <= 1 e20497: b2410 - b2411 + b2417 <= 1 e20498: b2411 - b2412 + b2413 <= 1 e20499: b2411 - b2412 + b2414 <= 1 e20500: b2411 - b2412 + b2415 <= 1 e20501: b2411 - b2412 + b2416 <= 1 e20502: b2411 - b2412 + b2417 <= 1 e20503: b2411 - b2412 + b2418 <= 1 e20504: b2412 - b2413 + b2414 <= 1 e20505: b2412 - b2413 + b2415 <= 1 e20506: b2412 - b2413 + b2416 <= 1 e20507: b2412 - b2413 + b2417 <= 1 e20508: b2412 - b2413 + b2418 <= 1 e20509: b2412 - b2413 + b2419 <= 1 e20510: b2413 - b2414 + b2415 <= 1 e20511: b2413 - b2414 + b2416 <= 1 e20512: b2413 - b2414 + b2417 <= 1 e20513: b2413 - b2414 + b2418 <= 1 e20514: b2413 - b2414 + b2419 <= 1 e20515: b2413 - b2414 + b2420 <= 1 e20516: b2414 - b2415 + b2416 <= 1 e20517: b2414 - b2415 + b2417 <= 1 e20518: b2414 - b2415 + b2418 <= 1 e20519: b2414 - b2415 + b2419 <= 1 e20520: b2414 - b2415 + b2420 <= 1 e20521: b2414 - b2415 + b2421 <= 1 e20522: b2415 - b2416 + b2417 <= 1 e20523: b2415 - b2416 + b2418 <= 1 e20524: b2415 - b2416 + b2419 <= 1 e20525: b2415 - b2416 + b2420 <= 1 e20526: b2415 - b2416 + b2421 <= 1 e20527: b2415 - b2416 + b2422 <= 1 e20528: b2416 - b2417 + b2418 <= 1 e20529: b2416 - b2417 + b2419 <= 1 e20530: b2416 - b2417 + b2420 <= 1 e20531: b2416 - b2417 + b2421 <= 1 e20532: b2416 - b2417 + b2422 <= 1 e20533: b2416 - b2417 + b2423 <= 1 e20534: b2417 - b2418 + b2419 <= 1 e20535: b2417 - b2418 + b2420 <= 1 e20536: b2417 - b2418 + b2421 <= 1 e20537: b2417 - b2418 + b2422 <= 1 e20538: b2417 - b2418 + b2423 <= 1 e20539: b2417 - b2418 + b2424 <= 1 e20540: b2418 - b2419 + b2420 <= 1 e20541: b2418 - b2419 + b2421 <= 1 e20542: b2418 - b2419 + b2422 <= 1 e20543: b2418 - b2419 + b2423 <= 1 e20544: b2418 - b2419 + b2424 <= 1 e20545: b2418 - b2419 + b2425 <= 1 e20546: b2419 - b2420 + b2421 <= 1 e20547: b2419 - b2420 + b2422 <= 1 e20548: b2419 - b2420 + b2423 <= 1 e20549: b2419 - b2420 + b2424 <= 1 e20550: b2419 - b2420 + b2425 <= 1 e20551: b2420 - b2421 + b2422 <= 1 e20552: b2420 - b2421 + b2423 <= 1 e20553: b2420 - b2421 + b2424 <= 1 e20554: b2420 - b2421 + b2425 <= 1 e20555: b2421 - b2422 + b2423 <= 1 e20556: b2421 - b2422 + b2424 <= 1 e20557: b2421 - b2422 + b2425 <= 1 e20558: b2422 - b2423 + b2424 <= 1 e20559: b2422 - b2423 + b2425 <= 1 e20560: b2423 - b2424 + b2425 <= 1 e20561: - b2402 + b2403 + x19260 >= 0 e20562: - b2402 + b2404 + x19260 >= 0 e20563: - b2402 + b2405 + x19260 >= 0 e20564: - b2402 + b2406 + x19260 >= 0 e20565: - b2402 + b2407 + x19260 >= 0 e20566: - b2402 + b2408 + x19260 >= 0 e20567: b2402 - b2403 + b2404 >= 0 e20568: b2402 - b2403 + b2405 >= 0 e20569: b2402 - b2403 + b2406 >= 0 e20570: b2402 - b2403 + b2407 >= 0 e20571: b2402 - b2403 + b2408 >= 0 e20572: b2402 - b2403 + b2409 >= 0 e20573: b2403 - b2404 + b2405 >= 0 e20574: b2403 - b2404 + b2406 >= 0 e20575: b2403 - b2404 + b2407 >= 0 e20576: b2403 - b2404 + b2408 >= 0 e20577: b2403 - b2404 + b2409 >= 0 e20578: b2403 - b2404 + b2410 >= 0 e20579: b2404 - b2405 + b2406 >= 0 e20580: b2404 - b2405 + b2407 >= 0 e20581: b2404 - b2405 + b2408 >= 0 e20582: b2404 - b2405 + b2409 >= 0 e20583: b2404 - b2405 + b2410 >= 0 e20584: b2404 - b2405 + b2411 >= 0 e20585: b2405 - b2406 + b2407 >= 0 e20586: b2405 - b2406 + b2408 >= 0 e20587: b2405 - b2406 + b2409 >= 0 e20588: b2405 - b2406 + b2410 >= 0 e20589: b2405 - b2406 + b2411 >= 0 e20590: b2405 - b2406 + b2412 >= 0 e20591: b2406 - b2407 + b2408 >= 0 e20592: b2406 - b2407 + b2409 >= 0 e20593: b2406 - b2407 + b2410 >= 0 e20594: b2406 - b2407 + b2411 >= 0 e20595: b2406 - b2407 + b2412 >= 0 e20596: b2406 - b2407 + b2413 >= 0 e20597: b2407 - b2408 + b2409 >= 0 e20598: b2407 - b2408 + b2410 >= 0 e20599: b2407 - b2408 + b2411 >= 0 e20600: b2407 - b2408 + b2412 >= 0 e20601: b2407 - b2408 + b2413 >= 0 e20602: b2407 - b2408 + b2414 >= 0 e20603: b2408 - b2409 + b2410 >= 0 e20604: b2408 - b2409 + b2411 >= 0 e20605: b2408 - b2409 + b2412 >= 0 e20606: b2408 - b2409 + b2413 >= 0 e20607: b2408 - b2409 + b2414 >= 0 e20608: b2408 - b2409 + b2415 >= 0 e20609: b2409 - b2410 + b2411 >= 0 e20610: b2409 - b2410 + b2412 >= 0 e20611: b2409 - b2410 + b2413 >= 0 e20612: b2409 - b2410 + b2414 >= 0 e20613: b2409 - b2410 + b2415 >= 0 e20614: b2409 - b2410 + b2416 >= 0 e20615: b2410 - b2411 + b2412 >= 0 e20616: b2410 - b2411 + b2413 >= 0 e20617: b2410 - b2411 + b2414 >= 0 e20618: b2410 - b2411 + b2415 >= 0 e20619: b2410 - b2411 + b2416 >= 0 e20620: b2410 - b2411 + b2417 >= 0 e20621: b2411 - b2412 + b2413 >= 0 e20622: b2411 - b2412 + b2414 >= 0 e20623: b2411 - b2412 + b2415 >= 0 e20624: b2411 - b2412 + b2416 >= 0 e20625: b2411 - b2412 + b2417 >= 0 e20626: b2411 - b2412 + b2418 >= 0 e20627: b2412 - b2413 + b2414 >= 0 e20628: b2412 - b2413 + b2415 >= 0 e20629: b2412 - b2413 + b2416 >= 0 e20630: b2412 - b2413 + b2417 >= 0 e20631: b2412 - b2413 + b2418 >= 0 e20632: b2412 - b2413 + b2419 >= 0 e20633: b2413 - b2414 + b2415 >= 0 e20634: b2413 - b2414 + b2416 >= 0 e20635: b2413 - b2414 + b2417 >= 0 e20636: b2413 - b2414 + b2418 >= 0 e20637: b2413 - b2414 + b2419 >= 0 e20638: b2413 - b2414 + b2420 >= 0 e20639: b2414 - b2415 + b2416 >= 0 e20640: b2414 - b2415 + b2417 >= 0 e20641: b2414 - b2415 + b2418 >= 0 e20642: b2414 - b2415 + b2419 >= 0 e20643: b2414 - b2415 + b2420 >= 0 e20644: b2414 - b2415 + b2421 >= 0 e20645: b2415 - b2416 + b2417 >= 0 e20646: b2415 - b2416 + b2418 >= 0 e20647: b2415 - b2416 + b2419 >= 0 e20648: b2415 - b2416 + b2420 >= 0 e20649: b2415 - b2416 + b2421 >= 0 e20650: b2415 - b2416 + b2422 >= 0 e20651: b2416 - b2417 + b2418 >= 0 e20652: b2416 - b2417 + b2419 >= 0 e20653: b2416 - b2417 + b2420 >= 0 e20654: b2416 - b2417 + b2421 >= 0 e20655: b2416 - b2417 + b2422 >= 0 e20656: b2416 - b2417 + b2423 >= 0 e20657: b2417 - b2418 + b2419 >= 0 e20658: b2417 - b2418 + b2420 >= 0 e20659: b2417 - b2418 + b2421 >= 0 e20660: b2417 - b2418 + b2422 >= 0 e20661: b2417 - b2418 + b2423 >= 0 e20662: b2417 - b2418 + b2424 >= 0 e20663: b2418 - b2419 + b2420 >= 0 e20664: b2418 - b2419 + b2421 >= 0 e20665: b2418 - b2419 + b2422 >= 0 e20666: b2418 - b2419 + b2423 >= 0 e20667: b2418 - b2419 + b2424 >= 0 e20668: b2418 - b2419 + b2425 >= 0 e20669: b2419 - b2420 + b2421 >= 0 e20670: b2419 - b2420 + b2422 >= 0 e20671: b2419 - b2420 + b2423 >= 0 e20672: b2419 - b2420 + b2424 >= 0 e20673: b2419 - b2420 + b2425 >= 0 e20674: b2420 - b2421 + b2422 >= 0 e20675: b2420 - b2421 + b2423 >= 0 e20676: b2420 - b2421 + b2424 >= 0 e20677: b2420 - b2421 + b2425 >= 0 e20678: b2421 - b2422 + b2423 >= 0 e20679: b2421 - b2422 + b2424 >= 0 e20680: b2421 - b2422 + b2425 >= 0 e20681: b2422 - b2423 + b2424 >= 0 e20682: b2422 - b2423 + b2425 >= 0 e20683: b2423 - b2424 + b2425 >= 0 e20684: b2426 = 1 e20685: b2427 = 1 e20686: b2427 - b2428 + b2429 <= 1 e20687: b2427 - b2428 + b2430 <= 1 e20688: b2427 - b2428 + b2431 <= 1 e20689: b2427 - b2428 + b2432 <= 1 e20690: b2427 - b2428 + b2433 <= 1 e20691: b2427 - b2428 + b2434 <= 1 e20692: b2428 - b2429 + b2430 <= 1 e20693: b2428 - b2429 + b2431 <= 1 e20694: b2428 - b2429 + b2432 <= 1 e20695: b2428 - b2429 + b2433 <= 1 e20696: b2428 - b2429 + b2434 <= 1 e20697: b2428 - b2429 + b2435 <= 1 e20698: b2429 - b2430 + b2431 <= 1 e20699: b2429 - b2430 + b2432 <= 1 e20700: b2429 - b2430 + b2433 <= 1 e20701: b2429 - b2430 + b2434 <= 1 e20702: b2429 - b2430 + b2435 <= 1 e20703: b2429 - b2430 + b2436 <= 1 e20704: b2430 - b2431 + b2432 <= 1 e20705: b2430 - b2431 + b2433 <= 1 e20706: b2430 - b2431 + b2434 <= 1 e20707: b2430 - b2431 + b2435 <= 1 e20708: b2430 - b2431 + b2436 <= 1 e20709: b2430 - b2431 + b2437 <= 1 e20710: b2431 - b2432 + b2433 <= 1 e20711: b2431 - b2432 + b2434 <= 1 e20712: b2431 - b2432 + b2435 <= 1 e20713: b2431 - b2432 + b2436 <= 1 e20714: b2431 - b2432 + b2437 <= 1 e20715: b2431 - b2432 + b2438 <= 1 e20716: b2432 - b2433 + b2434 <= 1 e20717: b2432 - b2433 + b2435 <= 1 e20718: b2432 - b2433 + b2436 <= 1 e20719: b2432 - b2433 + b2437 <= 1 e20720: b2432 - b2433 + b2438 <= 1 e20721: b2432 - b2433 + b2439 <= 1 e20722: b2433 - b2434 + b2435 <= 1 e20723: b2433 - b2434 + b2436 <= 1 e20724: b2433 - b2434 + b2437 <= 1 e20725: b2433 - b2434 + b2438 <= 1 e20726: b2433 - b2434 + b2439 <= 1 e20727: b2433 - b2434 + b2440 <= 1 e20728: b2434 - b2435 + b2436 <= 1 e20729: b2434 - b2435 + b2437 <= 1 e20730: b2434 - b2435 + b2438 <= 1 e20731: b2434 - b2435 + b2439 <= 1 e20732: b2434 - b2435 + b2440 <= 1 e20733: b2434 - b2435 + b2441 <= 1 e20734: b2435 - b2436 + b2437 <= 1 e20735: b2435 - b2436 + b2438 <= 1 e20736: b2435 - b2436 + b2439 <= 1 e20737: b2435 - b2436 + b2440 <= 1 e20738: b2435 - b2436 + b2441 <= 1 e20739: b2435 - b2436 + b2442 <= 1 e20740: b2436 - b2437 + b2438 <= 1 e20741: b2436 - b2437 + b2439 <= 1 e20742: b2436 - b2437 + b2440 <= 1 e20743: b2436 - b2437 + b2441 <= 1 e20744: b2436 - b2437 + b2442 <= 1 e20745: b2436 - b2437 + b2443 <= 1 e20746: b2437 - b2438 + b2439 <= 1 e20747: b2437 - b2438 + b2440 <= 1 e20748: b2437 - b2438 + b2441 <= 1 e20749: b2437 - b2438 + b2442 <= 1 e20750: b2437 - b2438 + b2443 <= 1 e20751: b2437 - b2438 + b2444 <= 1 e20752: b2438 - b2439 + b2440 <= 1 e20753: b2438 - b2439 + b2441 <= 1 e20754: b2438 - b2439 + b2442 <= 1 e20755: b2438 - b2439 + b2443 <= 1 e20756: b2438 - b2439 + b2444 <= 1 e20757: b2438 - b2439 + b2445 <= 1 e20758: b2439 - b2440 + b2441 <= 1 e20759: b2439 - b2440 + b2442 <= 1 e20760: b2439 - b2440 + b2443 <= 1 e20761: b2439 - b2440 + b2444 <= 1 e20762: b2439 - b2440 + b2445 <= 1 e20763: b2439 - b2440 + b2446 <= 1 e20764: b2440 - b2441 + b2442 <= 1 e20765: b2440 - b2441 + b2443 <= 1 e20766: b2440 - b2441 + b2444 <= 1 e20767: b2440 - b2441 + b2445 <= 1 e20768: b2440 - b2441 + b2446 <= 1 e20769: b2440 - b2441 + b2447 <= 1 e20770: b2441 - b2442 + b2443 <= 1 e20771: b2441 - b2442 + b2444 <= 1 e20772: b2441 - b2442 + b2445 <= 1 e20773: b2441 - b2442 + b2446 <= 1 e20774: b2441 - b2442 + b2447 <= 1 e20775: b2441 - b2442 + b2448 <= 1 e20776: b2442 - b2443 + b2444 <= 1 e20777: b2442 - b2443 + b2445 <= 1 e20778: b2442 - b2443 + b2446 <= 1 e20779: b2442 - b2443 + b2447 <= 1 e20780: b2442 - b2443 + b2448 <= 1 e20781: b2442 - b2443 + b2449 <= 1 e20782: b2443 - b2444 + b2445 <= 1 e20783: b2443 - b2444 + b2446 <= 1 e20784: b2443 - b2444 + b2447 <= 1 e20785: b2443 - b2444 + b2448 <= 1 e20786: b2443 - b2444 + b2449 <= 1 e20787: b2444 - b2445 + b2446 <= 1 e20788: b2444 - b2445 + b2447 <= 1 e20789: b2444 - b2445 + b2448 <= 1 e20790: b2444 - b2445 + b2449 <= 1 e20791: b2445 - b2446 + b2447 <= 1 e20792: b2445 - b2446 + b2448 <= 1 e20793: b2445 - b2446 + b2449 <= 1 e20794: b2446 - b2447 + b2448 <= 1 e20795: b2446 - b2447 + b2449 <= 1 e20796: b2447 - b2448 + b2449 <= 1 e20797: b2427 - b2428 + b2429 >= 0 e20798: b2427 - b2428 + b2430 >= 0 e20799: b2427 - b2428 + b2431 >= 0 e20800: b2427 - b2428 + b2432 >= 0 e20801: b2427 - b2428 + b2433 >= 0 e20802: b2427 - b2428 + b2434 >= 0 e20803: b2428 - b2429 + b2430 >= 0 e20804: b2428 - b2429 + b2431 >= 0 e20805: b2428 - b2429 + b2432 >= 0 e20806: b2428 - b2429 + b2433 >= 0 e20807: b2428 - b2429 + b2434 >= 0 e20808: b2428 - b2429 + b2435 >= 0 e20809: b2429 - b2430 + b2431 >= 0 e20810: b2429 - b2430 + b2432 >= 0 e20811: b2429 - b2430 + b2433 >= 0 e20812: b2429 - b2430 + b2434 >= 0 e20813: b2429 - b2430 + b2435 >= 0 e20814: b2429 - b2430 + b2436 >= 0 e20815: b2430 - b2431 + b2432 >= 0 e20816: b2430 - b2431 + b2433 >= 0 e20817: b2430 - b2431 + b2434 >= 0 e20818: b2430 - b2431 + b2435 >= 0 e20819: b2430 - b2431 + b2436 >= 0 e20820: b2430 - b2431 + b2437 >= 0 e20821: b2431 - b2432 + b2433 >= 0 e20822: b2431 - b2432 + b2434 >= 0 e20823: b2431 - b2432 + b2435 >= 0 e20824: b2431 - b2432 + b2436 >= 0 e20825: b2431 - b2432 + b2437 >= 0 e20826: b2431 - b2432 + b2438 >= 0 e20827: b2432 - b2433 + b2434 >= 0 e20828: b2432 - b2433 + b2435 >= 0 e20829: b2432 - b2433 + b2436 >= 0 e20830: b2432 - b2433 + b2437 >= 0 e20831: b2432 - b2433 + b2438 >= 0 e20832: b2432 - b2433 + b2439 >= 0 e20833: b2433 - b2434 + b2435 >= 0 e20834: b2433 - b2434 + b2436 >= 0 e20835: b2433 - b2434 + b2437 >= 0 e20836: b2433 - b2434 + b2438 >= 0 e20837: b2433 - b2434 + b2439 >= 0 e20838: b2433 - b2434 + b2440 >= 0 e20839: b2434 - b2435 + b2436 >= 0 e20840: b2434 - b2435 + b2437 >= 0 e20841: b2434 - b2435 + b2438 >= 0 e20842: b2434 - b2435 + b2439 >= 0 e20843: b2434 - b2435 + b2440 >= 0 e20844: b2434 - b2435 + b2441 >= 0 e20845: b2435 - b2436 + b2437 >= 0 e20846: b2435 - b2436 + b2438 >= 0 e20847: b2435 - b2436 + b2439 >= 0 e20848: b2435 - b2436 + b2440 >= 0 e20849: b2435 - b2436 + b2441 >= 0 e20850: b2435 - b2436 + b2442 >= 0 e20851: b2436 - b2437 + b2438 >= 0 e20852: b2436 - b2437 + b2439 >= 0 e20853: b2436 - b2437 + b2440 >= 0 e20854: b2436 - b2437 + b2441 >= 0 e20855: b2436 - b2437 + b2442 >= 0 e20856: b2436 - b2437 + b2443 >= 0 e20857: b2437 - b2438 + b2439 >= 0 e20858: b2437 - b2438 + b2440 >= 0 e20859: b2437 - b2438 + b2441 >= 0 e20860: b2437 - b2438 + b2442 >= 0 e20861: b2437 - b2438 + b2443 >= 0 e20862: b2437 - b2438 + b2444 >= 0 e20863: b2438 - b2439 + b2440 >= 0 e20864: b2438 - b2439 + b2441 >= 0 e20865: b2438 - b2439 + b2442 >= 0 e20866: b2438 - b2439 + b2443 >= 0 e20867: b2438 - b2439 + b2444 >= 0 e20868: b2438 - b2439 + b2445 >= 0 e20869: b2439 - b2440 + b2441 >= 0 e20870: b2439 - b2440 + b2442 >= 0 e20871: b2439 - b2440 + b2443 >= 0 e20872: b2439 - b2440 + b2444 >= 0 e20873: b2439 - b2440 + b2445 >= 0 e20874: b2439 - b2440 + b2446 >= 0 e20875: b2440 - b2441 + b2442 >= 0 e20876: b2440 - b2441 + b2443 >= 0 e20877: b2440 - b2441 + b2444 >= 0 e20878: b2440 - b2441 + b2445 >= 0 e20879: b2440 - b2441 + b2446 >= 0 e20880: b2440 - b2441 + b2447 >= 0 e20881: b2441 - b2442 + b2443 >= 0 e20882: b2441 - b2442 + b2444 >= 0 e20883: b2441 - b2442 + b2445 >= 0 e20884: b2441 - b2442 + b2446 >= 0 e20885: b2441 - b2442 + b2447 >= 0 e20886: b2441 - b2442 + b2448 >= 0 e20887: b2442 - b2443 + b2444 >= 0 e20888: b2442 - b2443 + b2445 >= 0 e20889: b2442 - b2443 + b2446 >= 0 e20890: b2442 - b2443 + b2447 >= 0 e20891: b2442 - b2443 + b2448 >= 0 e20892: b2442 - b2443 + b2449 >= 0 e20893: b2443 - b2444 + b2445 >= 0 e20894: b2443 - b2444 + b2446 >= 0 e20895: b2443 - b2444 + b2447 >= 0 e20896: b2443 - b2444 + b2448 >= 0 e20897: b2443 - b2444 + b2449 >= 0 e20898: b2444 - b2445 + b2446 >= 0 e20899: b2444 - b2445 + b2447 >= 0 e20900: b2444 - b2445 + b2448 >= 0 e20901: b2444 - b2445 + b2449 >= 0 e20902: b2445 - b2446 + b2447 >= 0 e20903: b2445 - b2446 + b2448 >= 0 e20904: b2445 - b2446 + b2449 >= 0 e20905: b2446 - b2447 + b2448 >= 0 e20906: b2446 - b2447 + b2449 >= 0 e20907: b2447 - b2448 + b2449 >= 0 e20908: b2450 = 0 e20909: b2451 = 0 e20910: b2452 = 0 e20911: b2453 = 0 e20912: b2454 = 0 e20913: b2455 = 0 e20914: b2455 - b2456 + b2457 <= 1 e20915: b2455 - b2456 + b2458 <= 1 e20916: b2455 - b2456 + b2459 <= 1 e20917: b2455 - b2456 + b2460 <= 1 e20918: b2455 - b2456 + b2461 <= 1 e20919: b2455 - b2456 + b2462 <= 1 e20920: b2456 - b2457 + b2458 <= 1 e20921: b2456 - b2457 + b2459 <= 1 e20922: b2456 - b2457 + b2460 <= 1 e20923: b2456 - b2457 + b2461 <= 1 e20924: b2456 - b2457 + b2462 <= 1 e20925: b2456 - b2457 + b2463 <= 1 e20926: b2457 - b2458 + b2459 <= 1 e20927: b2457 - b2458 + b2460 <= 1 e20928: b2457 - b2458 + b2461 <= 1 e20929: b2457 - b2458 + b2462 <= 1 e20930: b2457 - b2458 + b2463 <= 1 e20931: b2457 - b2458 + b2464 <= 1 e20932: b2458 - b2459 + b2460 <= 1 e20933: b2458 - b2459 + b2461 <= 1 e20934: b2458 - b2459 + b2462 <= 1 e20935: b2458 - b2459 + b2463 <= 1 e20936: b2458 - b2459 + b2464 <= 1 e20937: b2458 - b2459 + b2465 <= 1 e20938: b2459 - b2460 + b2461 <= 1 e20939: b2459 - b2460 + b2462 <= 1 e20940: b2459 - b2460 + b2463 <= 1 e20941: b2459 - b2460 + b2464 <= 1 e20942: b2459 - b2460 + b2465 <= 1 e20943: b2459 - b2460 + b2466 <= 1 e20944: b2460 - b2461 + b2462 <= 1 e20945: b2460 - b2461 + b2463 <= 1 e20946: b2460 - b2461 + b2464 <= 1 e20947: b2460 - b2461 + b2465 <= 1 e20948: b2460 - b2461 + b2466 <= 1 e20949: b2460 - b2461 + b2467 <= 1 e20950: b2461 - b2462 + b2463 <= 1 e20951: b2461 - b2462 + b2464 <= 1 e20952: b2461 - b2462 + b2465 <= 1 e20953: b2461 - b2462 + b2466 <= 1 e20954: b2461 - b2462 + b2467 <= 1 e20955: b2461 - b2462 + b2468 <= 1 e20956: b2462 - b2463 + b2464 <= 1 e20957: b2462 - b2463 + b2465 <= 1 e20958: b2462 - b2463 + b2466 <= 1 e20959: b2462 - b2463 + b2467 <= 1 e20960: b2462 - b2463 + b2468 <= 1 e20961: b2462 - b2463 + b2469 <= 1 e20962: b2463 - b2464 + b2465 <= 1 e20963: b2463 - b2464 + b2466 <= 1 e20964: b2463 - b2464 + b2467 <= 1 e20965: b2463 - b2464 + b2468 <= 1 e20966: b2463 - b2464 + b2469 <= 1 e20967: b2463 - b2464 + b2470 <= 1 e20968: b2464 - b2465 + b2466 <= 1 e20969: b2464 - b2465 + b2467 <= 1 e20970: b2464 - b2465 + b2468 <= 1 e20971: b2464 - b2465 + b2469 <= 1 e20972: b2464 - b2465 + b2470 <= 1 e20973: b2464 - b2465 + b2471 <= 1 e20974: b2465 - b2466 + b2467 <= 1 e20975: b2465 - b2466 + b2468 <= 1 e20976: b2465 - b2466 + b2469 <= 1 e20977: b2465 - b2466 + b2470 <= 1 e20978: b2465 - b2466 + b2471 <= 1 e20979: b2465 - b2466 + b2472 <= 1 e20980: b2466 - b2467 + b2468 <= 1 e20981: b2466 - b2467 + b2469 <= 1 e20982: b2466 - b2467 + b2470 <= 1 e20983: b2466 - b2467 + b2471 <= 1 e20984: b2466 - b2467 + b2472 <= 1 e20985: b2466 - b2467 + b2473 <= 1 e20986: b2467 - b2468 + b2469 <= 1 e20987: b2467 - b2468 + b2470 <= 1 e20988: b2467 - b2468 + b2471 <= 1 e20989: b2467 - b2468 + b2472 <= 1 e20990: b2467 - b2468 + b2473 <= 1 e20991: b2468 - b2469 + b2470 <= 1 e20992: b2468 - b2469 + b2471 <= 1 e20993: b2468 - b2469 + b2472 <= 1 e20994: b2468 - b2469 + b2473 <= 1 e20995: b2469 - b2470 + b2471 <= 1 e20996: b2469 - b2470 + b2472 <= 1 e20997: b2469 - b2470 + b2473 <= 1 e20998: b2470 - b2471 + b2472 <= 1 e20999: b2470 - b2471 + b2473 <= 1 e21000: b2471 - b2472 + b2473 <= 1 e21001: b2455 - b2456 + b2457 >= 0 e21002: b2455 - b2456 + b2458 >= 0 e21003: b2455 - b2456 + b2459 >= 0 e21004: b2455 - b2456 + b2460 >= 0 e21005: b2455 - b2456 + b2461 >= 0 e21006: b2455 - b2456 + b2462 >= 0 e21007: b2456 - b2457 + b2458 >= 0 e21008: b2456 - b2457 + b2459 >= 0 e21009: b2456 - b2457 + b2460 >= 0 e21010: b2456 - b2457 + b2461 >= 0 e21011: b2456 - b2457 + b2462 >= 0 e21012: b2456 - b2457 + b2463 >= 0 e21013: b2457 - b2458 + b2459 >= 0 e21014: b2457 - b2458 + b2460 >= 0 e21015: b2457 - b2458 + b2461 >= 0 e21016: b2457 - b2458 + b2462 >= 0 e21017: b2457 - b2458 + b2463 >= 0 e21018: b2457 - b2458 + b2464 >= 0 e21019: b2458 - b2459 + b2460 >= 0 e21020: b2458 - b2459 + b2461 >= 0 e21021: b2458 - b2459 + b2462 >= 0 e21022: b2458 - b2459 + b2463 >= 0 e21023: b2458 - b2459 + b2464 >= 0 e21024: b2458 - b2459 + b2465 >= 0 e21025: b2459 - b2460 + b2461 >= 0 e21026: b2459 - b2460 + b2462 >= 0 e21027: b2459 - b2460 + b2463 >= 0 e21028: b2459 - b2460 + b2464 >= 0 e21029: b2459 - b2460 + b2465 >= 0 e21030: b2459 - b2460 + b2466 >= 0 e21031: b2460 - b2461 + b2462 >= 0 e21032: b2460 - b2461 + b2463 >= 0 e21033: b2460 - b2461 + b2464 >= 0 e21034: b2460 - b2461 + b2465 >= 0 e21035: b2460 - b2461 + b2466 >= 0 e21036: b2460 - b2461 + b2467 >= 0 e21037: b2461 - b2462 + b2463 >= 0 e21038: b2461 - b2462 + b2464 >= 0 e21039: b2461 - b2462 + b2465 >= 0 e21040: b2461 - b2462 + b2466 >= 0 e21041: b2461 - b2462 + b2467 >= 0 e21042: b2461 - b2462 + b2468 >= 0 e21043: b2462 - b2463 + b2464 >= 0 e21044: b2462 - b2463 + b2465 >= 0 e21045: b2462 - b2463 + b2466 >= 0 e21046: b2462 - b2463 + b2467 >= 0 e21047: b2462 - b2463 + b2468 >= 0 e21048: b2462 - b2463 + b2469 >= 0 e21049: b2463 - b2464 + b2465 >= 0 e21050: b2463 - b2464 + b2466 >= 0 e21051: b2463 - b2464 + b2467 >= 0 e21052: b2463 - b2464 + b2468 >= 0 e21053: b2463 - b2464 + b2469 >= 0 e21054: b2463 - b2464 + b2470 >= 0 e21055: b2464 - b2465 + b2466 >= 0 e21056: b2464 - b2465 + b2467 >= 0 e21057: b2464 - b2465 + b2468 >= 0 e21058: b2464 - b2465 + b2469 >= 0 e21059: b2464 - b2465 + b2470 >= 0 e21060: b2464 - b2465 + b2471 >= 0 e21061: b2465 - b2466 + b2467 >= 0 e21062: b2465 - b2466 + b2468 >= 0 e21063: b2465 - b2466 + b2469 >= 0 e21064: b2465 - b2466 + b2470 >= 0 e21065: b2465 - b2466 + b2471 >= 0 e21066: b2465 - b2466 + b2472 >= 0 e21067: b2466 - b2467 + b2468 >= 0 e21068: b2466 - b2467 + b2469 >= 0 e21069: b2466 - b2467 + b2470 >= 0 e21070: b2466 - b2467 + b2471 >= 0 e21071: b2466 - b2467 + b2472 >= 0 e21072: b2466 - b2467 + b2473 >= 0 e21073: b2467 - b2468 + b2469 >= 0 e21074: b2467 - b2468 + b2470 >= 0 e21075: b2467 - b2468 + b2471 >= 0 e21076: b2467 - b2468 + b2472 >= 0 e21077: b2467 - b2468 + b2473 >= 0 e21078: b2468 - b2469 + b2470 >= 0 e21079: b2468 - b2469 + b2471 >= 0 e21080: b2468 - b2469 + b2472 >= 0 e21081: b2468 - b2469 + b2473 >= 0 e21082: b2469 - b2470 + b2471 >= 0 e21083: b2469 - b2470 + b2472 >= 0 e21084: b2469 - b2470 + b2473 >= 0 e21085: b2470 - b2471 + b2472 >= 0 e21086: b2470 - b2471 + b2473 >= 0 e21087: b2471 - b2472 + b2473 >= 0 e21088: b2474 = 1 e21089: b2475 = 1 e21090: b2475 - b2476 + b2477 <= 1 e21091: b2475 - b2476 + b2478 <= 1 e21092: b2475 - b2476 + b2479 <= 1 e21093: b2475 - b2476 + b2480 <= 1 e21094: b2475 - b2476 + b2481 <= 1 e21095: b2475 - b2476 + b2482 <= 1 e21096: b2475 - b2476 + b2483 <= 1 e21097: b2476 - b2477 + b2478 <= 1 e21098: b2476 - b2477 + b2479 <= 1 e21099: b2476 - b2477 + b2480 <= 1 e21100: b2476 - b2477 + b2481 <= 1 e21101: b2476 - b2477 + b2482 <= 1 e21102: b2476 - b2477 + b2483 <= 1 e21103: b2476 - b2477 + b2484 <= 1 e21104: b2477 - b2478 + b2479 <= 1 e21105: b2477 - b2478 + b2480 <= 1 e21106: b2477 - b2478 + b2481 <= 1 e21107: b2477 - b2478 + b2482 <= 1 e21108: b2477 - b2478 + b2483 <= 1 e21109: b2477 - b2478 + b2484 <= 1 e21110: b2477 - b2478 + b2485 <= 1 e21111: b2478 - b2479 + b2480 <= 1 e21112: b2478 - b2479 + b2481 <= 1 e21113: b2478 - b2479 + b2482 <= 1 e21114: b2478 - b2479 + b2483 <= 1 e21115: b2478 - b2479 + b2484 <= 1 e21116: b2478 - b2479 + b2485 <= 1 e21117: b2478 - b2479 + b2486 <= 1 e21118: b2479 - b2480 + b2481 <= 1 e21119: b2479 - b2480 + b2482 <= 1 e21120: b2479 - b2480 + b2483 <= 1 e21121: b2479 - b2480 + b2484 <= 1 e21122: b2479 - b2480 + b2485 <= 1 e21123: b2479 - b2480 + b2486 <= 1 e21124: b2479 - b2480 + b2487 <= 1 e21125: b2480 - b2481 + b2482 <= 1 e21126: b2480 - b2481 + b2483 <= 1 e21127: b2480 - b2481 + b2484 <= 1 e21128: b2480 - b2481 + b2485 <= 1 e21129: b2480 - b2481 + b2486 <= 1 e21130: b2480 - b2481 + b2487 <= 1 e21131: b2480 - b2481 + b2488 <= 1 e21132: b2481 - b2482 + b2483 <= 1 e21133: b2481 - b2482 + b2484 <= 1 e21134: b2481 - b2482 + b2485 <= 1 e21135: b2481 - b2482 + b2486 <= 1 e21136: b2481 - b2482 + b2487 <= 1 e21137: b2481 - b2482 + b2488 <= 1 e21138: b2481 - b2482 + b2489 <= 1 e21139: b2482 - b2483 + b2484 <= 1 e21140: b2482 - b2483 + b2485 <= 1 e21141: b2482 - b2483 + b2486 <= 1 e21142: b2482 - b2483 + b2487 <= 1 e21143: b2482 - b2483 + b2488 <= 1 e21144: b2482 - b2483 + b2489 <= 1 e21145: b2482 - b2483 + b2490 <= 1 e21146: b2483 - b2484 + b2485 <= 1 e21147: b2483 - b2484 + b2486 <= 1 e21148: b2483 - b2484 + b2487 <= 1 e21149: b2483 - b2484 + b2488 <= 1 e21150: b2483 - b2484 + b2489 <= 1 e21151: b2483 - b2484 + b2490 <= 1 e21152: b2483 - b2484 + b2491 <= 1 e21153: b2484 - b2485 + b2486 <= 1 e21154: b2484 - b2485 + b2487 <= 1 e21155: b2484 - b2485 + b2488 <= 1 e21156: b2484 - b2485 + b2489 <= 1 e21157: b2484 - b2485 + b2490 <= 1 e21158: b2484 - b2485 + b2491 <= 1 e21159: b2484 - b2485 + b2492 <= 1 e21160: b2485 - b2486 + b2487 <= 1 e21161: b2485 - b2486 + b2488 <= 1 e21162: b2485 - b2486 + b2489 <= 1 e21163: b2485 - b2486 + b2490 <= 1 e21164: b2485 - b2486 + b2491 <= 1 e21165: b2485 - b2486 + b2492 <= 1 e21166: b2485 - b2486 + b2493 <= 1 e21167: b2486 - b2487 + b2488 <= 1 e21168: b2486 - b2487 + b2489 <= 1 e21169: b2486 - b2487 + b2490 <= 1 e21170: b2486 - b2487 + b2491 <= 1 e21171: b2486 - b2487 + b2492 <= 1 e21172: b2486 - b2487 + b2493 <= 1 e21173: b2486 - b2487 + b2494 <= 1 e21174: b2487 - b2488 + b2489 <= 1 e21175: b2487 - b2488 + b2490 <= 1 e21176: b2487 - b2488 + b2491 <= 1 e21177: b2487 - b2488 + b2492 <= 1 e21178: b2487 - b2488 + b2493 <= 1 e21179: b2487 - b2488 + b2494 <= 1 e21180: b2487 - b2488 + b2495 <= 1 e21181: b2488 - b2489 + b2490 <= 1 e21182: b2488 - b2489 + b2491 <= 1 e21183: b2488 - b2489 + b2492 <= 1 e21184: b2488 - b2489 + b2493 <= 1 e21185: b2488 - b2489 + b2494 <= 1 e21186: b2488 - b2489 + b2495 <= 1 e21187: b2488 - b2489 + b2496 <= 1 e21188: b2489 - b2490 + b2491 <= 1 e21189: b2489 - b2490 + b2492 <= 1 e21190: b2489 - b2490 + b2493 <= 1 e21191: b2489 - b2490 + b2494 <= 1 e21192: b2489 - b2490 + b2495 <= 1 e21193: b2489 - b2490 + b2496 <= 1 e21194: b2489 - b2490 + b2497 <= 1 e21195: b2490 - b2491 + b2492 <= 1 e21196: b2490 - b2491 + b2493 <= 1 e21197: b2490 - b2491 + b2494 <= 1 e21198: b2490 - b2491 + b2495 <= 1 e21199: b2490 - b2491 + b2496 <= 1 e21200: b2490 - b2491 + b2497 <= 1 e21201: b2491 - b2492 + b2493 <= 1 e21202: b2491 - b2492 + b2494 <= 1 e21203: b2491 - b2492 + b2495 <= 1 e21204: b2491 - b2492 + b2496 <= 1 e21205: b2491 - b2492 + b2497 <= 1 e21206: b2492 - b2493 + b2494 <= 1 e21207: b2492 - b2493 + b2495 <= 1 e21208: b2492 - b2493 + b2496 <= 1 e21209: b2492 - b2493 + b2497 <= 1 e21210: b2493 - b2494 + b2495 <= 1 e21211: b2493 - b2494 + b2496 <= 1 e21212: b2493 - b2494 + b2497 <= 1 e21213: b2494 - b2495 + b2496 <= 1 e21214: b2494 - b2495 + b2497 <= 1 e21215: b2495 - b2496 + b2497 <= 1 e21216: b2475 - b2476 + b2477 >= 0 e21217: b2475 - b2476 + b2478 >= 0 e21218: b2475 - b2476 + b2479 >= 0 e21219: b2475 - b2476 + b2480 >= 0 e21220: b2475 - b2476 + b2481 >= 0 e21221: b2475 - b2476 + b2482 >= 0 e21222: b2476 - b2477 + b2478 >= 0 e21223: b2476 - b2477 + b2479 >= 0 e21224: b2476 - b2477 + b2480 >= 0 e21225: b2476 - b2477 + b2481 >= 0 e21226: b2476 - b2477 + b2482 >= 0 e21227: b2476 - b2477 + b2483 >= 0 e21228: b2477 - b2478 + b2479 >= 0 e21229: b2477 - b2478 + b2480 >= 0 e21230: b2477 - b2478 + b2481 >= 0 e21231: b2477 - b2478 + b2482 >= 0 e21232: b2477 - b2478 + b2483 >= 0 e21233: b2477 - b2478 + b2484 >= 0 e21234: b2478 - b2479 + b2480 >= 0 e21235: b2478 - b2479 + b2481 >= 0 e21236: b2478 - b2479 + b2482 >= 0 e21237: b2478 - b2479 + b2483 >= 0 e21238: b2478 - b2479 + b2484 >= 0 e21239: b2478 - b2479 + b2485 >= 0 e21240: b2479 - b2480 + b2481 >= 0 e21241: b2479 - b2480 + b2482 >= 0 e21242: b2479 - b2480 + b2483 >= 0 e21243: b2479 - b2480 + b2484 >= 0 e21244: b2479 - b2480 + b2485 >= 0 e21245: b2479 - b2480 + b2486 >= 0 e21246: b2480 - b2481 + b2482 >= 0 e21247: b2480 - b2481 + b2483 >= 0 e21248: b2480 - b2481 + b2484 >= 0 e21249: b2480 - b2481 + b2485 >= 0 e21250: b2480 - b2481 + b2486 >= 0 e21251: b2480 - b2481 + b2487 >= 0 e21252: b2481 - b2482 + b2483 >= 0 e21253: b2481 - b2482 + b2484 >= 0 e21254: b2481 - b2482 + b2485 >= 0 e21255: b2481 - b2482 + b2486 >= 0 e21256: b2481 - b2482 + b2487 >= 0 e21257: b2481 - b2482 + b2488 >= 0 e21258: b2482 - b2483 + b2484 >= 0 e21259: b2482 - b2483 + b2485 >= 0 e21260: b2482 - b2483 + b2486 >= 0 e21261: b2482 - b2483 + b2487 >= 0 e21262: b2482 - b2483 + b2488 >= 0 e21263: b2482 - b2483 + b2489 >= 0 e21264: b2483 - b2484 + b2485 >= 0 e21265: b2483 - b2484 + b2486 >= 0 e21266: b2483 - b2484 + b2487 >= 0 e21267: b2483 - b2484 + b2488 >= 0 e21268: b2483 - b2484 + b2489 >= 0 e21269: b2483 - b2484 + b2490 >= 0 e21270: b2484 - b2485 + b2486 >= 0 e21271: b2484 - b2485 + b2487 >= 0 e21272: b2484 - b2485 + b2488 >= 0 e21273: b2484 - b2485 + b2489 >= 0 e21274: b2484 - b2485 + b2490 >= 0 e21275: b2484 - b2485 + b2491 >= 0 e21276: b2485 - b2486 + b2487 >= 0 e21277: b2485 - b2486 + b2488 >= 0 e21278: b2485 - b2486 + b2489 >= 0 e21279: b2485 - b2486 + b2490 >= 0 e21280: b2485 - b2486 + b2491 >= 0 e21281: b2485 - b2486 + b2492 >= 0 e21282: b2486 - b2487 + b2488 >= 0 e21283: b2486 - b2487 + b2489 >= 0 e21284: b2486 - b2487 + b2490 >= 0 e21285: b2486 - b2487 + b2491 >= 0 e21286: b2486 - b2487 + b2492 >= 0 e21287: b2486 - b2487 + b2493 >= 0 e21288: b2487 - b2488 + b2489 >= 0 e21289: b2487 - b2488 + b2490 >= 0 e21290: b2487 - b2488 + b2491 >= 0 e21291: b2487 - b2488 + b2492 >= 0 e21292: b2487 - b2488 + b2493 >= 0 e21293: b2487 - b2488 + b2494 >= 0 e21294: b2488 - b2489 + b2490 >= 0 e21295: b2488 - b2489 + b2491 >= 0 e21296: b2488 - b2489 + b2492 >= 0 e21297: b2488 - b2489 + b2493 >= 0 e21298: b2488 - b2489 + b2494 >= 0 e21299: b2488 - b2489 + b2495 >= 0 e21300: b2489 - b2490 + b2491 >= 0 e21301: b2489 - b2490 + b2492 >= 0 e21302: b2489 - b2490 + b2493 >= 0 e21303: b2489 - b2490 + b2494 >= 0 e21304: b2489 - b2490 + b2495 >= 0 e21305: b2489 - b2490 + b2496 >= 0 e21306: b2490 - b2491 + b2492 >= 0 e21307: b2490 - b2491 + b2493 >= 0 e21308: b2490 - b2491 + b2494 >= 0 e21309: b2490 - b2491 + b2495 >= 0 e21310: b2490 - b2491 + b2496 >= 0 e21311: b2490 - b2491 + b2497 >= 0 e21312: b2491 - b2492 + b2493 >= 0 e21313: b2491 - b2492 + b2494 >= 0 e21314: b2491 - b2492 + b2495 >= 0 e21315: b2491 - b2492 + b2496 >= 0 e21316: b2491 - b2492 + b2497 >= 0 e21317: b2492 - b2493 + b2494 >= 0 e21318: b2492 - b2493 + b2495 >= 0 e21319: b2492 - b2493 + b2496 >= 0 e21320: b2492 - b2493 + b2497 >= 0 e21321: b2493 - b2494 + b2495 >= 0 e21322: b2493 - b2494 + b2496 >= 0 e21323: b2493 - b2494 + b2497 >= 0 e21324: b2494 - b2495 + b2496 >= 0 e21325: b2494 - b2495 + b2497 >= 0 e21326: b2495 - b2496 + b2497 >= 0 e21327: - b2498 + b2499 + x19261 <= 1 e21328: - b2498 + b2500 + x19261 <= 1 e21329: - b2498 + b2501 + x19261 <= 1 e21330: - b2498 + b2502 + x19261 <= 1 e21331: - b2498 + b2503 + x19261 <= 1 e21332: - b2498 + b2504 + x19261 <= 1 e21333: b2498 - b2499 + b2500 <= 1 e21334: b2498 - b2499 + b2501 <= 1 e21335: b2498 - b2499 + b2502 <= 1 e21336: b2498 - b2499 + b2503 <= 1 e21337: b2498 - b2499 + b2504 <= 1 e21338: b2498 - b2499 + b2505 <= 1 e21339: b2499 - b2500 + b2501 <= 1 e21340: b2499 - b2500 + b2502 <= 1 e21341: b2499 - b2500 + b2503 <= 1 e21342: b2499 - b2500 + b2504 <= 1 e21343: b2499 - b2500 + b2505 <= 1 e21344: b2499 - b2500 + b2506 <= 1 e21345: b2500 - b2501 + b2502 <= 1 e21346: b2500 - b2501 + b2503 <= 1 e21347: b2500 - b2501 + b2504 <= 1 e21348: b2500 - b2501 + b2505 <= 1 e21349: b2500 - b2501 + b2506 <= 1 e21350: b2500 - b2501 + b2507 <= 1 e21351: b2501 - b2502 + b2503 <= 1 e21352: b2501 - b2502 + b2504 <= 1 e21353: b2501 - b2502 + b2505 <= 1 e21354: b2501 - b2502 + b2506 <= 1 e21355: b2501 - b2502 + b2507 <= 1 e21356: b2501 - b2502 + b2508 <= 1 e21357: b2502 - b2503 + b2504 <= 1 e21358: b2502 - b2503 + b2505 <= 1 e21359: b2502 - b2503 + b2506 <= 1 e21360: b2502 - b2503 + b2507 <= 1 e21361: b2502 - b2503 + b2508 <= 1 e21362: b2502 - b2503 + b2509 <= 1 e21363: b2503 - b2504 + b2505 <= 1 e21364: b2503 - b2504 + b2506 <= 1 e21365: b2503 - b2504 + b2507 <= 1 e21366: b2503 - b2504 + b2508 <= 1 e21367: b2503 - b2504 + b2509 <= 1 e21368: b2503 - b2504 + b2510 <= 1 e21369: b2504 - b2505 + b2506 <= 1 e21370: b2504 - b2505 + b2507 <= 1 e21371: b2504 - b2505 + b2508 <= 1 e21372: b2504 - b2505 + b2509 <= 1 e21373: b2504 - b2505 + b2510 <= 1 e21374: b2504 - b2505 + b2511 <= 1 e21375: b2505 - b2506 + b2507 <= 1 e21376: b2505 - b2506 + b2508 <= 1 e21377: b2505 - b2506 + b2509 <= 1 e21378: b2505 - b2506 + b2510 <= 1 e21379: b2505 - b2506 + b2511 <= 1 e21380: b2505 - b2506 + b2512 <= 1 e21381: b2506 - b2507 + b2508 <= 1 e21382: b2506 - b2507 + b2509 <= 1 e21383: b2506 - b2507 + b2510 <= 1 e21384: b2506 - b2507 + b2511 <= 1 e21385: b2506 - b2507 + b2512 <= 1 e21386: b2506 - b2507 + b2513 <= 1 e21387: b2507 - b2508 + b2509 <= 1 e21388: b2507 - b2508 + b2510 <= 1 e21389: b2507 - b2508 + b2511 <= 1 e21390: b2507 - b2508 + b2512 <= 1 e21391: b2507 - b2508 + b2513 <= 1 e21392: b2507 - b2508 + b2514 <= 1 e21393: b2508 - b2509 + b2510 <= 1 e21394: b2508 - b2509 + b2511 <= 1 e21395: b2508 - b2509 + b2512 <= 1 e21396: b2508 - b2509 + b2513 <= 1 e21397: b2508 - b2509 + b2514 <= 1 e21398: b2508 - b2509 + b2515 <= 1 e21399: b2509 - b2510 + b2511 <= 1 e21400: b2509 - b2510 + b2512 <= 1 e21401: b2509 - b2510 + b2513 <= 1 e21402: b2509 - b2510 + b2514 <= 1 e21403: b2509 - b2510 + b2515 <= 1 e21404: b2509 - b2510 + b2516 <= 1 e21405: b2510 - b2511 + b2512 <= 1 e21406: b2510 - b2511 + b2513 <= 1 e21407: b2510 - b2511 + b2514 <= 1 e21408: b2510 - b2511 + b2515 <= 1 e21409: b2510 - b2511 + b2516 <= 1 e21410: b2510 - b2511 + b2517 <= 1 e21411: b2511 - b2512 + b2513 <= 1 e21412: b2511 - b2512 + b2514 <= 1 e21413: b2511 - b2512 + b2515 <= 1 e21414: b2511 - b2512 + b2516 <= 1 e21415: b2511 - b2512 + b2517 <= 1 e21416: b2511 - b2512 + b2518 <= 1 e21417: b2512 - b2513 + b2514 <= 1 e21418: b2512 - b2513 + b2515 <= 1 e21419: b2512 - b2513 + b2516 <= 1 e21420: b2512 - b2513 + b2517 <= 1 e21421: b2512 - b2513 + b2518 <= 1 e21422: b2512 - b2513 + b2519 <= 1 e21423: b2513 - b2514 + b2515 <= 1 e21424: b2513 - b2514 + b2516 <= 1 e21425: b2513 - b2514 + b2517 <= 1 e21426: b2513 - b2514 + b2518 <= 1 e21427: b2513 - b2514 + b2519 <= 1 e21428: b2513 - b2514 + b2520 <= 1 e21429: b2514 - b2515 + b2516 <= 1 e21430: b2514 - b2515 + b2517 <= 1 e21431: b2514 - b2515 + b2518 <= 1 e21432: b2514 - b2515 + b2519 <= 1 e21433: b2514 - b2515 + b2520 <= 1 e21434: b2514 - b2515 + b2521 <= 1 e21435: b2515 - b2516 + b2517 <= 1 e21436: b2515 - b2516 + b2518 <= 1 e21437: b2515 - b2516 + b2519 <= 1 e21438: b2515 - b2516 + b2520 <= 1 e21439: b2515 - b2516 + b2521 <= 1 e21440: b2516 - b2517 + b2518 <= 1 e21441: b2516 - b2517 + b2519 <= 1 e21442: b2516 - b2517 + b2520 <= 1 e21443: b2516 - b2517 + b2521 <= 1 e21444: b2517 - b2518 + b2519 <= 1 e21445: b2517 - b2518 + b2520 <= 1 e21446: b2517 - b2518 + b2521 <= 1 e21447: b2518 - b2519 + b2520 <= 1 e21448: b2518 - b2519 + b2521 <= 1 e21449: b2519 - b2520 + b2521 <= 1 e21450: - b2498 + b2499 + x19261 >= 0 e21451: - b2498 + b2500 + x19261 >= 0 e21452: - b2498 + b2501 + x19261 >= 0 e21453: - b2498 + b2502 + x19261 >= 0 e21454: - b2498 + b2503 + x19261 >= 0 e21455: - b2498 + b2504 + x19261 >= 0 e21456: - b2498 + b2505 + x19261 >= 0 e21457: b2498 - b2499 + b2500 >= 0 e21458: b2498 - b2499 + b2501 >= 0 e21459: b2498 - b2499 + b2502 >= 0 e21460: b2498 - b2499 + b2503 >= 0 e21461: b2498 - b2499 + b2504 >= 0 e21462: b2498 - b2499 + b2505 >= 0 e21463: b2498 - b2499 + b2506 >= 0 e21464: b2499 - b2500 + b2501 >= 0 e21465: b2499 - b2500 + b2502 >= 0 e21466: b2499 - b2500 + b2503 >= 0 e21467: b2499 - b2500 + b2504 >= 0 e21468: b2499 - b2500 + b2505 >= 0 e21469: b2499 - b2500 + b2506 >= 0 e21470: b2499 - b2500 + b2507 >= 0 e21471: b2500 - b2501 + b2502 >= 0 e21472: b2500 - b2501 + b2503 >= 0 e21473: b2500 - b2501 + b2504 >= 0 e21474: b2500 - b2501 + b2505 >= 0 e21475: b2500 - b2501 + b2506 >= 0 e21476: b2500 - b2501 + b2507 >= 0 e21477: b2500 - b2501 + b2508 >= 0 e21478: b2501 - b2502 + b2503 >= 0 e21479: b2501 - b2502 + b2504 >= 0 e21480: b2501 - b2502 + b2505 >= 0 e21481: b2501 - b2502 + b2506 >= 0 e21482: b2501 - b2502 + b2507 >= 0 e21483: b2501 - b2502 + b2508 >= 0 e21484: b2501 - b2502 + b2509 >= 0 e21485: b2502 - b2503 + b2504 >= 0 e21486: b2502 - b2503 + b2505 >= 0 e21487: b2502 - b2503 + b2506 >= 0 e21488: b2502 - b2503 + b2507 >= 0 e21489: b2502 - b2503 + b2508 >= 0 e21490: b2502 - b2503 + b2509 >= 0 e21491: b2502 - b2503 + b2510 >= 0 e21492: b2503 - b2504 + b2505 >= 0 e21493: b2503 - b2504 + b2506 >= 0 e21494: b2503 - b2504 + b2507 >= 0 e21495: b2503 - b2504 + b2508 >= 0 e21496: b2503 - b2504 + b2509 >= 0 e21497: b2503 - b2504 + b2510 >= 0 e21498: b2503 - b2504 + b2511 >= 0 e21499: b2504 - b2505 + b2506 >= 0 e21500: b2504 - b2505 + b2507 >= 0 e21501: b2504 - b2505 + b2508 >= 0 e21502: b2504 - b2505 + b2509 >= 0 e21503: b2504 - b2505 + b2510 >= 0 e21504: b2504 - b2505 + b2511 >= 0 e21505: b2504 - b2505 + b2512 >= 0 e21506: b2505 - b2506 + b2507 >= 0 e21507: b2505 - b2506 + b2508 >= 0 e21508: b2505 - b2506 + b2509 >= 0 e21509: b2505 - b2506 + b2510 >= 0 e21510: b2505 - b2506 + b2511 >= 0 e21511: b2505 - b2506 + b2512 >= 0 e21512: b2505 - b2506 + b2513 >= 0 e21513: b2506 - b2507 + b2508 >= 0 e21514: b2506 - b2507 + b2509 >= 0 e21515: b2506 - b2507 + b2510 >= 0 e21516: b2506 - b2507 + b2511 >= 0 e21517: b2506 - b2507 + b2512 >= 0 e21518: b2506 - b2507 + b2513 >= 0 e21519: b2506 - b2507 + b2514 >= 0 e21520: b2507 - b2508 + b2509 >= 0 e21521: b2507 - b2508 + b2510 >= 0 e21522: b2507 - b2508 + b2511 >= 0 e21523: b2507 - b2508 + b2512 >= 0 e21524: b2507 - b2508 + b2513 >= 0 e21525: b2507 - b2508 + b2514 >= 0 e21526: b2507 - b2508 + b2515 >= 0 e21527: b2508 - b2509 + b2510 >= 0 e21528: b2508 - b2509 + b2511 >= 0 e21529: b2508 - b2509 + b2512 >= 0 e21530: b2508 - b2509 + b2513 >= 0 e21531: b2508 - b2509 + b2514 >= 0 e21532: b2508 - b2509 + b2515 >= 0 e21533: b2508 - b2509 + b2516 >= 0 e21534: b2509 - b2510 + b2511 >= 0 e21535: b2509 - b2510 + b2512 >= 0 e21536: b2509 - b2510 + b2513 >= 0 e21537: b2509 - b2510 + b2514 >= 0 e21538: b2509 - b2510 + b2515 >= 0 e21539: b2509 - b2510 + b2516 >= 0 e21540: b2509 - b2510 + b2517 >= 0 e21541: b2510 - b2511 + b2512 >= 0 e21542: b2510 - b2511 + b2513 >= 0 e21543: b2510 - b2511 + b2514 >= 0 e21544: b2510 - b2511 + b2515 >= 0 e21545: b2510 - b2511 + b2516 >= 0 e21546: b2510 - b2511 + b2517 >= 0 e21547: b2510 - b2511 + b2518 >= 0 e21548: b2511 - b2512 + b2513 >= 0 e21549: b2511 - b2512 + b2514 >= 0 e21550: b2511 - b2512 + b2515 >= 0 e21551: b2511 - b2512 + b2516 >= 0 e21552: b2511 - b2512 + b2517 >= 0 e21553: b2511 - b2512 + b2518 >= 0 e21554: b2511 - b2512 + b2519 >= 0 e21555: b2512 - b2513 + b2514 >= 0 e21556: b2512 - b2513 + b2515 >= 0 e21557: b2512 - b2513 + b2516 >= 0 e21558: b2512 - b2513 + b2517 >= 0 e21559: b2512 - b2513 + b2518 >= 0 e21560: b2512 - b2513 + b2519 >= 0 e21561: b2512 - b2513 + b2520 >= 0 e21562: b2513 - b2514 + b2515 >= 0 e21563: b2513 - b2514 + b2516 >= 0 e21564: b2513 - b2514 + b2517 >= 0 e21565: b2513 - b2514 + b2518 >= 0 e21566: b2513 - b2514 + b2519 >= 0 e21567: b2513 - b2514 + b2520 >= 0 e21568: b2513 - b2514 + b2521 >= 0 e21569: b2514 - b2515 + b2516 >= 0 e21570: b2514 - b2515 + b2517 >= 0 e21571: b2514 - b2515 + b2518 >= 0 e21572: b2514 - b2515 + b2519 >= 0 e21573: b2514 - b2515 + b2520 >= 0 e21574: b2514 - b2515 + b2521 >= 0 e21575: b2515 - b2516 + b2517 >= 0 e21576: b2515 - b2516 + b2518 >= 0 e21577: b2515 - b2516 + b2519 >= 0 e21578: b2515 - b2516 + b2520 >= 0 e21579: b2515 - b2516 + b2521 >= 0 e21580: b2516 - b2517 + b2518 >= 0 e21581: b2516 - b2517 + b2519 >= 0 e21582: b2516 - b2517 + b2520 >= 0 e21583: b2516 - b2517 + b2521 >= 0 e21584: b2517 - b2518 + b2519 >= 0 e21585: b2517 - b2518 + b2520 >= 0 e21586: b2517 - b2518 + b2521 >= 0 e21587: b2518 - b2519 + b2520 >= 0 e21588: b2518 - b2519 + b2521 >= 0 e21589: b2519 - b2520 + b2521 >= 0 e21590: - b2522 + b2523 + x19262 <= 1 e21591: - b2522 + b2524 + x19262 <= 1 e21592: - b2522 + b2525 + x19262 <= 1 e21593: - b2522 + b2526 + x19262 <= 1 e21594: - b2522 + b2527 + x19262 <= 1 e21595: - b2522 + b2528 + x19262 <= 1 e21596: b2522 - b2523 + b2524 <= 1 e21597: b2522 - b2523 + b2525 <= 1 e21598: b2522 - b2523 + b2526 <= 1 e21599: b2522 - b2523 + b2527 <= 1 e21600: b2522 - b2523 + b2528 <= 1 e21601: b2522 - b2523 + b2529 <= 1 e21602: b2523 - b2524 + b2525 <= 1 e21603: b2523 - b2524 + b2526 <= 1 e21604: b2523 - b2524 + b2527 <= 1 e21605: b2523 - b2524 + b2528 <= 1 e21606: b2523 - b2524 + b2529 <= 1 e21607: b2523 - b2524 + b2530 <= 1 e21608: b2524 - b2525 + b2526 <= 1 e21609: b2524 - b2525 + b2527 <= 1 e21610: b2524 - b2525 + b2528 <= 1 e21611: b2524 - b2525 + b2529 <= 1 e21612: b2524 - b2525 + b2530 <= 1 e21613: b2524 - b2525 + b2531 <= 1 e21614: b2525 - b2526 + b2527 <= 1 e21615: b2525 - b2526 + b2528 <= 1 e21616: b2525 - b2526 + b2529 <= 1 e21617: b2525 - b2526 + b2530 <= 1 e21618: b2525 - b2526 + b2531 <= 1 e21619: b2525 - b2526 + b2532 <= 1 e21620: b2526 - b2527 + b2528 <= 1 e21621: b2526 - b2527 + b2529 <= 1 e21622: b2526 - b2527 + b2530 <= 1 e21623: b2526 - b2527 + b2531 <= 1 e21624: b2526 - b2527 + b2532 <= 1 e21625: b2526 - b2527 + b2533 <= 1 e21626: b2527 - b2528 + b2529 <= 1 e21627: b2527 - b2528 + b2530 <= 1 e21628: b2527 - b2528 + b2531 <= 1 e21629: b2527 - b2528 + b2532 <= 1 e21630: b2527 - b2528 + b2533 <= 1 e21631: b2527 - b2528 + b2534 <= 1 e21632: b2528 - b2529 + b2530 <= 1 e21633: b2528 - b2529 + b2531 <= 1 e21634: b2528 - b2529 + b2532 <= 1 e21635: b2528 - b2529 + b2533 <= 1 e21636: b2528 - b2529 + b2534 <= 1 e21637: b2528 - b2529 + b2535 <= 1 e21638: b2529 - b2530 + b2531 <= 1 e21639: b2529 - b2530 + b2532 <= 1 e21640: b2529 - b2530 + b2533 <= 1 e21641: b2529 - b2530 + b2534 <= 1 e21642: b2529 - b2530 + b2535 <= 1 e21643: b2529 - b2530 + b2536 <= 1 e21644: b2530 - b2531 + b2532 <= 1 e21645: b2530 - b2531 + b2533 <= 1 e21646: b2530 - b2531 + b2534 <= 1 e21647: b2530 - b2531 + b2535 <= 1 e21648: b2530 - b2531 + b2536 <= 1 e21649: b2530 - b2531 + b2537 <= 1 e21650: b2531 - b2532 + b2533 <= 1 e21651: b2531 - b2532 + b2534 <= 1 e21652: b2531 - b2532 + b2535 <= 1 e21653: b2531 - b2532 + b2536 <= 1 e21654: b2531 - b2532 + b2537 <= 1 e21655: b2531 - b2532 + b2538 <= 1 e21656: b2532 - b2533 + b2534 <= 1 e21657: b2532 - b2533 + b2535 <= 1 e21658: b2532 - b2533 + b2536 <= 1 e21659: b2532 - b2533 + b2537 <= 1 e21660: b2532 - b2533 + b2538 <= 1 e21661: b2532 - b2533 + b2539 <= 1 e21662: b2533 - b2534 + b2535 <= 1 e21663: b2533 - b2534 + b2536 <= 1 e21664: b2533 - b2534 + b2537 <= 1 e21665: b2533 - b2534 + b2538 <= 1 e21666: b2533 - b2534 + b2539 <= 1 e21667: b2533 - b2534 + b2540 <= 1 e21668: b2534 - b2535 + b2536 <= 1 e21669: b2534 - b2535 + b2537 <= 1 e21670: b2534 - b2535 + b2538 <= 1 e21671: b2534 - b2535 + b2539 <= 1 e21672: b2534 - b2535 + b2540 <= 1 e21673: b2534 - b2535 + b2541 <= 1 e21674: b2535 - b2536 + b2537 <= 1 e21675: b2535 - b2536 + b2538 <= 1 e21676: b2535 - b2536 + b2539 <= 1 e21677: b2535 - b2536 + b2540 <= 1 e21678: b2535 - b2536 + b2541 <= 1 e21679: b2535 - b2536 + b2542 <= 1 e21680: b2536 - b2537 + b2538 <= 1 e21681: b2536 - b2537 + b2539 <= 1 e21682: b2536 - b2537 + b2540 <= 1 e21683: b2536 - b2537 + b2541 <= 1 e21684: b2536 - b2537 + b2542 <= 1 e21685: b2536 - b2537 + b2543 <= 1 e21686: b2537 - b2538 + b2539 <= 1 e21687: b2537 - b2538 + b2540 <= 1 e21688: b2537 - b2538 + b2541 <= 1 e21689: b2537 - b2538 + b2542 <= 1 e21690: b2537 - b2538 + b2543 <= 1 e21691: b2537 - b2538 + b2544 <= 1 e21692: b2538 - b2539 + b2540 <= 1 e21693: b2538 - b2539 + b2541 <= 1 e21694: b2538 - b2539 + b2542 <= 1 e21695: b2538 - b2539 + b2543 <= 1 e21696: b2538 - b2539 + b2544 <= 1 e21697: b2538 - b2539 + b2545 <= 1 e21698: b2539 - b2540 + b2541 <= 1 e21699: b2539 - b2540 + b2542 <= 1 e21700: b2539 - b2540 + b2543 <= 1 e21701: b2539 - b2540 + b2544 <= 1 e21702: b2539 - b2540 + b2545 <= 1 e21703: b2540 - b2541 + b2542 <= 1 e21704: b2540 - b2541 + b2543 <= 1 e21705: b2540 - b2541 + b2544 <= 1 e21706: b2540 - b2541 + b2545 <= 1 e21707: b2541 - b2542 + b2543 <= 1 e21708: b2541 - b2542 + b2544 <= 1 e21709: b2541 - b2542 + b2545 <= 1 e21710: b2542 - b2543 + b2544 <= 1 e21711: b2542 - b2543 + b2545 <= 1 e21712: b2543 - b2544 + b2545 <= 1 e21713: - b2522 + b2523 + x19262 >= 0 e21714: - b2522 + b2524 + x19262 >= 0 e21715: - b2522 + b2525 + x19262 >= 0 e21716: - b2522 + b2526 + x19262 >= 0 e21717: - b2522 + b2527 + x19262 >= 0 e21718: - b2522 + b2528 + x19262 >= 0 e21719: - b2522 + b2529 + x19262 >= 0 e21720: b2522 - b2523 + b2524 >= 0 e21721: b2522 - b2523 + b2525 >= 0 e21722: b2522 - b2523 + b2526 >= 0 e21723: b2522 - b2523 + b2527 >= 0 e21724: b2522 - b2523 + b2528 >= 0 e21725: b2522 - b2523 + b2529 >= 0 e21726: b2522 - b2523 + b2530 >= 0 e21727: b2523 - b2524 + b2525 >= 0 e21728: b2523 - b2524 + b2526 >= 0 e21729: b2523 - b2524 + b2527 >= 0 e21730: b2523 - b2524 + b2528 >= 0 e21731: b2523 - b2524 + b2529 >= 0 e21732: b2523 - b2524 + b2530 >= 0 e21733: b2523 - b2524 + b2531 >= 0 e21734: b2524 - b2525 + b2526 >= 0 e21735: b2524 - b2525 + b2527 >= 0 e21736: b2524 - b2525 + b2528 >= 0 e21737: b2524 - b2525 + b2529 >= 0 e21738: b2524 - b2525 + b2530 >= 0 e21739: b2524 - b2525 + b2531 >= 0 e21740: b2524 - b2525 + b2532 >= 0 e21741: b2525 - b2526 + b2527 >= 0 e21742: b2525 - b2526 + b2528 >= 0 e21743: b2525 - b2526 + b2529 >= 0 e21744: b2525 - b2526 + b2530 >= 0 e21745: b2525 - b2526 + b2531 >= 0 e21746: b2525 - b2526 + b2532 >= 0 e21747: b2525 - b2526 + b2533 >= 0 e21748: b2526 - b2527 + b2528 >= 0 e21749: b2526 - b2527 + b2529 >= 0 e21750: b2526 - b2527 + b2530 >= 0 e21751: b2526 - b2527 + b2531 >= 0 e21752: b2526 - b2527 + b2532 >= 0 e21753: b2526 - b2527 + b2533 >= 0 e21754: b2526 - b2527 + b2534 >= 0 e21755: b2527 - b2528 + b2529 >= 0 e21756: b2527 - b2528 + b2530 >= 0 e21757: b2527 - b2528 + b2531 >= 0 e21758: b2527 - b2528 + b2532 >= 0 e21759: b2527 - b2528 + b2533 >= 0 e21760: b2527 - b2528 + b2534 >= 0 e21761: b2527 - b2528 + b2535 >= 0 e21762: b2528 - b2529 + b2530 >= 0 e21763: b2528 - b2529 + b2531 >= 0 e21764: b2528 - b2529 + b2532 >= 0 e21765: b2528 - b2529 + b2533 >= 0 e21766: b2528 - b2529 + b2534 >= 0 e21767: b2528 - b2529 + b2535 >= 0 e21768: b2528 - b2529 + b2536 >= 0 e21769: b2529 - b2530 + b2531 >= 0 e21770: b2529 - b2530 + b2532 >= 0 e21771: b2529 - b2530 + b2533 >= 0 e21772: b2529 - b2530 + b2534 >= 0 e21773: b2529 - b2530 + b2535 >= 0 e21774: b2529 - b2530 + b2536 >= 0 e21775: b2529 - b2530 + b2537 >= 0 e21776: b2530 - b2531 + b2532 >= 0 e21777: b2530 - b2531 + b2533 >= 0 e21778: b2530 - b2531 + b2534 >= 0 e21779: b2530 - b2531 + b2535 >= 0 e21780: b2530 - b2531 + b2536 >= 0 e21781: b2530 - b2531 + b2537 >= 0 e21782: b2530 - b2531 + b2538 >= 0 e21783: b2531 - b2532 + b2533 >= 0 e21784: b2531 - b2532 + b2534 >= 0 e21785: b2531 - b2532 + b2535 >= 0 e21786: b2531 - b2532 + b2536 >= 0 e21787: b2531 - b2532 + b2537 >= 0 e21788: b2531 - b2532 + b2538 >= 0 e21789: b2531 - b2532 + b2539 >= 0 e21790: b2532 - b2533 + b2534 >= 0 e21791: b2532 - b2533 + b2535 >= 0 e21792: b2532 - b2533 + b2536 >= 0 e21793: b2532 - b2533 + b2537 >= 0 e21794: b2532 - b2533 + b2538 >= 0 e21795: b2532 - b2533 + b2539 >= 0 e21796: b2532 - b2533 + b2540 >= 0 e21797: b2533 - b2534 + b2535 >= 0 e21798: b2533 - b2534 + b2536 >= 0 e21799: b2533 - b2534 + b2537 >= 0 e21800: b2533 - b2534 + b2538 >= 0 e21801: b2533 - b2534 + b2539 >= 0 e21802: b2533 - b2534 + b2540 >= 0 e21803: b2533 - b2534 + b2541 >= 0 e21804: b2534 - b2535 + b2536 >= 0 e21805: b2534 - b2535 + b2537 >= 0 e21806: b2534 - b2535 + b2538 >= 0 e21807: b2534 - b2535 + b2539 >= 0 e21808: b2534 - b2535 + b2540 >= 0 e21809: b2534 - b2535 + b2541 >= 0 e21810: b2534 - b2535 + b2542 >= 0 e21811: b2535 - b2536 + b2537 >= 0 e21812: b2535 - b2536 + b2538 >= 0 e21813: b2535 - b2536 + b2539 >= 0 e21814: b2535 - b2536 + b2540 >= 0 e21815: b2535 - b2536 + b2541 >= 0 e21816: b2535 - b2536 + b2542 >= 0 e21817: b2535 - b2536 + b2543 >= 0 e21818: b2536 - b2537 + b2538 >= 0 e21819: b2536 - b2537 + b2539 >= 0 e21820: b2536 - b2537 + b2540 >= 0 e21821: b2536 - b2537 + b2541 >= 0 e21822: b2536 - b2537 + b2542 >= 0 e21823: b2536 - b2537 + b2543 >= 0 e21824: b2536 - b2537 + b2544 >= 0 e21825: b2537 - b2538 + b2539 >= 0 e21826: b2537 - b2538 + b2540 >= 0 e21827: b2537 - b2538 + b2541 >= 0 e21828: b2537 - b2538 + b2542 >= 0 e21829: b2537 - b2538 + b2543 >= 0 e21830: b2537 - b2538 + b2544 >= 0 e21831: b2537 - b2538 + b2545 >= 0 e21832: b2538 - b2539 + b2540 >= 0 e21833: b2538 - b2539 + b2541 >= 0 e21834: b2538 - b2539 + b2542 >= 0 e21835: b2538 - b2539 + b2543 >= 0 e21836: b2538 - b2539 + b2544 >= 0 e21837: b2538 - b2539 + b2545 >= 0 e21838: b2539 - b2540 + b2541 >= 0 e21839: b2539 - b2540 + b2542 >= 0 e21840: b2539 - b2540 + b2543 >= 0 e21841: b2539 - b2540 + b2544 >= 0 e21842: b2539 - b2540 + b2545 >= 0 e21843: b2540 - b2541 + b2542 >= 0 e21844: b2540 - b2541 + b2543 >= 0 e21845: b2540 - b2541 + b2544 >= 0 e21846: b2540 - b2541 + b2545 >= 0 e21847: b2541 - b2542 + b2543 >= 0 e21848: b2541 - b2542 + b2544 >= 0 e21849: b2541 - b2542 + b2545 >= 0 e21850: b2542 - b2543 + b2544 >= 0 e21851: b2542 - b2543 + b2545 >= 0 e21852: b2543 - b2544 + b2545 >= 0 e21853: b2546 = 0 e21854: b2547 = 0 e21855: b2548 = 0 e21856: b2549 = 0 e21857: b2550 = 0 e21858: b2551 = 0 e21859: b2552 = 0 e21860: b2552 - b2553 + b2554 <= 1 e21861: b2552 - b2553 + b2555 <= 1 e21862: b2552 - b2553 + b2556 <= 1 e21863: b2552 - b2553 + b2557 <= 1 e21864: b2552 - b2553 + b2558 <= 1 e21865: b2552 - b2553 + b2559 <= 1 e21866: b2552 - b2553 + b2560 <= 1 e21867: b2553 - b2554 + b2555 <= 1 e21868: b2553 - b2554 + b2556 <= 1 e21869: b2553 - b2554 + b2557 <= 1 e21870: b2553 - b2554 + b2558 <= 1 e21871: b2553 - b2554 + b2559 <= 1 e21872: b2553 - b2554 + b2560 <= 1 e21873: b2553 - b2554 + b2561 <= 1 e21874: b2554 - b2555 + b2556 <= 1 e21875: b2554 - b2555 + b2557 <= 1 e21876: b2554 - b2555 + b2558 <= 1 e21877: b2554 - b2555 + b2559 <= 1 e21878: b2554 - b2555 + b2560 <= 1 e21879: b2554 - b2555 + b2561 <= 1 e21880: b2554 - b2555 + b2562 <= 1 e21881: b2555 - b2556 + b2557 <= 1 e21882: b2555 - b2556 + b2558 <= 1 e21883: b2555 - b2556 + b2559 <= 1 e21884: b2555 - b2556 + b2560 <= 1 e21885: b2555 - b2556 + b2561 <= 1 e21886: b2555 - b2556 + b2562 <= 1 e21887: b2555 - b2556 + b2563 <= 1 e21888: b2556 - b2557 + b2558 <= 1 e21889: b2556 - b2557 + b2559 <= 1 e21890: b2556 - b2557 + b2560 <= 1 e21891: b2556 - b2557 + b2561 <= 1 e21892: b2556 - b2557 + b2562 <= 1 e21893: b2556 - b2557 + b2563 <= 1 e21894: b2556 - b2557 + b2564 <= 1 e21895: b2557 - b2558 + b2559 <= 1 e21896: b2557 - b2558 + b2560 <= 1 e21897: b2557 - b2558 + b2561 <= 1 e21898: b2557 - b2558 + b2562 <= 1 e21899: b2557 - b2558 + b2563 <= 1 e21900: b2557 - b2558 + b2564 <= 1 e21901: b2557 - b2558 + b2565 <= 1 e21902: b2558 - b2559 + b2560 <= 1 e21903: b2558 - b2559 + b2561 <= 1 e21904: b2558 - b2559 + b2562 <= 1 e21905: b2558 - b2559 + b2563 <= 1 e21906: b2558 - b2559 + b2564 <= 1 e21907: b2558 - b2559 + b2565 <= 1 e21908: b2558 - b2559 + b2566 <= 1 e21909: b2559 - b2560 + b2561 <= 1 e21910: b2559 - b2560 + b2562 <= 1 e21911: b2559 - b2560 + b2563 <= 1 e21912: b2559 - b2560 + b2564 <= 1 e21913: b2559 - b2560 + b2565 <= 1 e21914: b2559 - b2560 + b2566 <= 1 e21915: b2559 - b2560 + b2567 <= 1 e21916: b2560 - b2561 + b2562 <= 1 e21917: b2560 - b2561 + b2563 <= 1 e21918: b2560 - b2561 + b2564 <= 1 e21919: b2560 - b2561 + b2565 <= 1 e21920: b2560 - b2561 + b2566 <= 1 e21921: b2560 - b2561 + b2567 <= 1 e21922: b2560 - b2561 + b2568 <= 1 e21923: b2561 - b2562 + b2563 <= 1 e21924: b2561 - b2562 + b2564 <= 1 e21925: b2561 - b2562 + b2565 <= 1 e21926: b2561 - b2562 + b2566 <= 1 e21927: b2561 - b2562 + b2567 <= 1 e21928: b2561 - b2562 + b2568 <= 1 e21929: b2561 - b2562 + b2569 <= 1 e21930: b2562 - b2563 + b2564 <= 1 e21931: b2562 - b2563 + b2565 <= 1 e21932: b2562 - b2563 + b2566 <= 1 e21933: b2562 - b2563 + b2567 <= 1 e21934: b2562 - b2563 + b2568 <= 1 e21935: b2562 - b2563 + b2569 <= 1 e21936: b2563 - b2564 + b2565 <= 1 e21937: b2563 - b2564 + b2566 <= 1 e21938: b2563 - b2564 + b2567 <= 1 e21939: b2563 - b2564 + b2568 <= 1 e21940: b2563 - b2564 + b2569 <= 1 e21941: b2564 - b2565 + b2566 <= 1 e21942: b2564 - b2565 + b2567 <= 1 e21943: b2564 - b2565 + b2568 <= 1 e21944: b2564 - b2565 + b2569 <= 1 e21945: b2565 - b2566 + b2567 <= 1 e21946: b2565 - b2566 + b2568 <= 1 e21947: b2565 - b2566 + b2569 <= 1 e21948: b2566 - b2567 + b2568 <= 1 e21949: b2566 - b2567 + b2569 <= 1 e21950: b2567 - b2568 + b2569 <= 1 e21951: b2552 - b2553 + b2554 >= 0 e21952: b2552 - b2553 + b2555 >= 0 e21953: b2552 - b2553 + b2556 >= 0 e21954: b2552 - b2553 + b2557 >= 0 e21955: b2552 - b2553 + b2558 >= 0 e21956: b2552 - b2553 + b2559 >= 0 e21957: b2552 - b2553 + b2560 >= 0 e21958: b2553 - b2554 + b2555 >= 0 e21959: b2553 - b2554 + b2556 >= 0 e21960: b2553 - b2554 + b2557 >= 0 e21961: b2553 - b2554 + b2558 >= 0 e21962: b2553 - b2554 + b2559 >= 0 e21963: b2553 - b2554 + b2560 >= 0 e21964: b2553 - b2554 + b2561 >= 0 e21965: b2554 - b2555 + b2556 >= 0 e21966: b2554 - b2555 + b2557 >= 0 e21967: b2554 - b2555 + b2558 >= 0 e21968: b2554 - b2555 + b2559 >= 0 e21969: b2554 - b2555 + b2560 >= 0 e21970: b2554 - b2555 + b2561 >= 0 e21971: b2554 - b2555 + b2562 >= 0 e21972: b2555 - b2556 + b2557 >= 0 e21973: b2555 - b2556 + b2558 >= 0 e21974: b2555 - b2556 + b2559 >= 0 e21975: b2555 - b2556 + b2560 >= 0 e21976: b2555 - b2556 + b2561 >= 0 e21977: b2555 - b2556 + b2562 >= 0 e21978: b2555 - b2556 + b2563 >= 0 e21979: b2556 - b2557 + b2558 >= 0 e21980: b2556 - b2557 + b2559 >= 0 e21981: b2556 - b2557 + b2560 >= 0 e21982: b2556 - b2557 + b2561 >= 0 e21983: b2556 - b2557 + b2562 >= 0 e21984: b2556 - b2557 + b2563 >= 0 e21985: b2556 - b2557 + b2564 >= 0 e21986: b2557 - b2558 + b2559 >= 0 e21987: b2557 - b2558 + b2560 >= 0 e21988: b2557 - b2558 + b2561 >= 0 e21989: b2557 - b2558 + b2562 >= 0 e21990: b2557 - b2558 + b2563 >= 0 e21991: b2557 - b2558 + b2564 >= 0 e21992: b2557 - b2558 + b2565 >= 0 e21993: b2558 - b2559 + b2560 >= 0 e21994: b2558 - b2559 + b2561 >= 0 e21995: b2558 - b2559 + b2562 >= 0 e21996: b2558 - b2559 + b2563 >= 0 e21997: b2558 - b2559 + b2564 >= 0 e21998: b2558 - b2559 + b2565 >= 0 e21999: b2558 - b2559 + b2566 >= 0 e22000: b2559 - b2560 + b2561 >= 0 e22001: b2559 - b2560 + b2562 >= 0 e22002: b2559 - b2560 + b2563 >= 0 e22003: b2559 - b2560 + b2564 >= 0 e22004: b2559 - b2560 + b2565 >= 0 e22005: b2559 - b2560 + b2566 >= 0 e22006: b2559 - b2560 + b2567 >= 0 e22007: b2560 - b2561 + b2562 >= 0 e22008: b2560 - b2561 + b2563 >= 0 e22009: b2560 - b2561 + b2564 >= 0 e22010: b2560 - b2561 + b2565 >= 0 e22011: b2560 - b2561 + b2566 >= 0 e22012: b2560 - b2561 + b2567 >= 0 e22013: b2560 - b2561 + b2568 >= 0 e22014: b2561 - b2562 + b2563 >= 0 e22015: b2561 - b2562 + b2564 >= 0 e22016: b2561 - b2562 + b2565 >= 0 e22017: b2561 - b2562 + b2566 >= 0 e22018: b2561 - b2562 + b2567 >= 0 e22019: b2561 - b2562 + b2568 >= 0 e22020: b2561 - b2562 + b2569 >= 0 e22021: b2562 - b2563 + b2564 >= 0 e22022: b2562 - b2563 + b2565 >= 0 e22023: b2562 - b2563 + b2566 >= 0 e22024: b2562 - b2563 + b2567 >= 0 e22025: b2562 - b2563 + b2568 >= 0 e22026: b2562 - b2563 + b2569 >= 0 e22027: b2563 - b2564 + b2565 >= 0 e22028: b2563 - b2564 + b2566 >= 0 e22029: b2563 - b2564 + b2567 >= 0 e22030: b2563 - b2564 + b2568 >= 0 e22031: b2563 - b2564 + b2569 >= 0 e22032: b2564 - b2565 + b2566 >= 0 e22033: b2564 - b2565 + b2567 >= 0 e22034: b2564 - b2565 + b2568 >= 0 e22035: b2564 - b2565 + b2569 >= 0 e22036: b2565 - b2566 + b2567 >= 0 e22037: b2565 - b2566 + b2568 >= 0 e22038: b2565 - b2566 + b2569 >= 0 e22039: b2566 - b2567 + b2568 >= 0 e22040: b2566 - b2567 + b2569 >= 0 e22041: b2567 - b2568 + b2569 >= 0 e22042: b2570 = 0 e22043: b2571 = 0 e22044: b2572 = 0 e22045: b2573 = 0 e22046: b2574 = 0 e22047: b2574 - b2575 + b2576 <= 1 e22048: b2574 - b2575 + b2577 <= 1 e22049: b2574 - b2575 + b2578 <= 1 e22050: b2574 - b2575 + b2579 <= 1 e22051: b2574 - b2575 + b2580 <= 1 e22052: b2574 - b2575 + b2581 <= 1 e22053: b2575 - b2576 + b2577 <= 1 e22054: b2575 - b2576 + b2578 <= 1 e22055: b2575 - b2576 + b2579 <= 1 e22056: b2575 - b2576 + b2580 <= 1 e22057: b2575 - b2576 + b2581 <= 1 e22058: b2575 - b2576 + b2582 <= 1 e22059: b2576 - b2577 + b2578 <= 1 e22060: b2576 - b2577 + b2579 <= 1 e22061: b2576 - b2577 + b2580 <= 1 e22062: b2576 - b2577 + b2581 <= 1 e22063: b2576 - b2577 + b2582 <= 1 e22064: b2576 - b2577 + b2583 <= 1 e22065: b2577 - b2578 + b2579 <= 1 e22066: b2577 - b2578 + b2580 <= 1 e22067: b2577 - b2578 + b2581 <= 1 e22068: b2577 - b2578 + b2582 <= 1 e22069: b2577 - b2578 + b2583 <= 1 e22070: b2577 - b2578 + b2584 <= 1 e22071: b2578 - b2579 + b2580 <= 1 e22072: b2578 - b2579 + b2581 <= 1 e22073: b2578 - b2579 + b2582 <= 1 e22074: b2578 - b2579 + b2583 <= 1 e22075: b2578 - b2579 + b2584 <= 1 e22076: b2578 - b2579 + b2585 <= 1 e22077: b2579 - b2580 + b2581 <= 1 e22078: b2579 - b2580 + b2582 <= 1 e22079: b2579 - b2580 + b2583 <= 1 e22080: b2579 - b2580 + b2584 <= 1 e22081: b2579 - b2580 + b2585 <= 1 e22082: b2579 - b2580 + b2586 <= 1 e22083: b2580 - b2581 + b2582 <= 1 e22084: b2580 - b2581 + b2583 <= 1 e22085: b2580 - b2581 + b2584 <= 1 e22086: b2580 - b2581 + b2585 <= 1 e22087: b2580 - b2581 + b2586 <= 1 e22088: b2580 - b2581 + b2587 <= 1 e22089: b2581 - b2582 + b2583 <= 1 e22090: b2581 - b2582 + b2584 <= 1 e22091: b2581 - b2582 + b2585 <= 1 e22092: b2581 - b2582 + b2586 <= 1 e22093: b2581 - b2582 + b2587 <= 1 e22094: b2581 - b2582 + b2588 <= 1 e22095: b2582 - b2583 + b2584 <= 1 e22096: b2582 - b2583 + b2585 <= 1 e22097: b2582 - b2583 + b2586 <= 1 e22098: b2582 - b2583 + b2587 <= 1 e22099: b2582 - b2583 + b2588 <= 1 e22100: b2582 - b2583 + b2589 <= 1 e22101: b2583 - b2584 + b2585 <= 1 e22102: b2583 - b2584 + b2586 <= 1 e22103: b2583 - b2584 + b2587 <= 1 e22104: b2583 - b2584 + b2588 <= 1 e22105: b2583 - b2584 + b2589 <= 1 e22106: b2583 - b2584 + b2590 <= 1 e22107: b2584 - b2585 + b2586 <= 1 e22108: b2584 - b2585 + b2587 <= 1 e22109: b2584 - b2585 + b2588 <= 1 e22110: b2584 - b2585 + b2589 <= 1 e22111: b2584 - b2585 + b2590 <= 1 e22112: b2584 - b2585 + b2591 <= 1 e22113: b2585 - b2586 + b2587 <= 1 e22114: b2585 - b2586 + b2588 <= 1 e22115: b2585 - b2586 + b2589 <= 1 e22116: b2585 - b2586 + b2590 <= 1 e22117: b2585 - b2586 + b2591 <= 1 e22118: b2585 - b2586 + b2592 <= 1 e22119: b2586 - b2587 + b2588 <= 1 e22120: b2586 - b2587 + b2589 <= 1 e22121: b2586 - b2587 + b2590 <= 1 e22122: b2586 - b2587 + b2591 <= 1 e22123: b2586 - b2587 + b2592 <= 1 e22124: b2586 - b2587 + b2593 <= 1 e22125: b2587 - b2588 + b2589 <= 1 e22126: b2587 - b2588 + b2590 <= 1 e22127: b2587 - b2588 + b2591 <= 1 e22128: b2587 - b2588 + b2592 <= 1 e22129: b2587 - b2588 + b2593 <= 1 e22130: b2588 - b2589 + b2590 <= 1 e22131: b2588 - b2589 + b2591 <= 1 e22132: b2588 - b2589 + b2592 <= 1 e22133: b2588 - b2589 + b2593 <= 1 e22134: b2589 - b2590 + b2591 <= 1 e22135: b2589 - b2590 + b2592 <= 1 e22136: b2589 - b2590 + b2593 <= 1 e22137: b2590 - b2591 + b2592 <= 1 e22138: b2590 - b2591 + b2593 <= 1 e22139: b2591 - b2592 + b2593 <= 1 e22140: b2574 - b2575 + b2576 >= 0 e22141: b2574 - b2575 + b2577 >= 0 e22142: b2574 - b2575 + b2578 >= 0 e22143: b2574 - b2575 + b2579 >= 0 e22144: b2574 - b2575 + b2580 >= 0 e22145: b2574 - b2575 + b2581 >= 0 e22146: b2574 - b2575 + b2582 >= 0 e22147: b2575 - b2576 + b2577 >= 0 e22148: b2575 - b2576 + b2578 >= 0 e22149: b2575 - b2576 + b2579 >= 0 e22150: b2575 - b2576 + b2580 >= 0 e22151: b2575 - b2576 + b2581 >= 0 e22152: b2575 - b2576 + b2582 >= 0 e22153: b2575 - b2576 + b2583 >= 0 e22154: b2576 - b2577 + b2578 >= 0 e22155: b2576 - b2577 + b2579 >= 0 e22156: b2576 - b2577 + b2580 >= 0 e22157: b2576 - b2577 + b2581 >= 0 e22158: b2576 - b2577 + b2582 >= 0 e22159: b2576 - b2577 + b2583 >= 0 e22160: b2576 - b2577 + b2584 >= 0 e22161: b2577 - b2578 + b2579 >= 0 e22162: b2577 - b2578 + b2580 >= 0 e22163: b2577 - b2578 + b2581 >= 0 e22164: b2577 - b2578 + b2582 >= 0 e22165: b2577 - b2578 + b2583 >= 0 e22166: b2577 - b2578 + b2584 >= 0 e22167: b2577 - b2578 + b2585 >= 0 e22168: b2578 - b2579 + b2580 >= 0 e22169: b2578 - b2579 + b2581 >= 0 e22170: b2578 - b2579 + b2582 >= 0 e22171: b2578 - b2579 + b2583 >= 0 e22172: b2578 - b2579 + b2584 >= 0 e22173: b2578 - b2579 + b2585 >= 0 e22174: b2578 - b2579 + b2586 >= 0 e22175: b2579 - b2580 + b2581 >= 0 e22176: b2579 - b2580 + b2582 >= 0 e22177: b2579 - b2580 + b2583 >= 0 e22178: b2579 - b2580 + b2584 >= 0 e22179: b2579 - b2580 + b2585 >= 0 e22180: b2579 - b2580 + b2586 >= 0 e22181: b2579 - b2580 + b2587 >= 0 e22182: b2580 - b2581 + b2582 >= 0 e22183: b2580 - b2581 + b2583 >= 0 e22184: b2580 - b2581 + b2584 >= 0 e22185: b2580 - b2581 + b2585 >= 0 e22186: b2580 - b2581 + b2586 >= 0 e22187: b2580 - b2581 + b2587 >= 0 e22188: b2580 - b2581 + b2588 >= 0 e22189: b2581 - b2582 + b2583 >= 0 e22190: b2581 - b2582 + b2584 >= 0 e22191: b2581 - b2582 + b2585 >= 0 e22192: b2581 - b2582 + b2586 >= 0 e22193: b2581 - b2582 + b2587 >= 0 e22194: b2581 - b2582 + b2588 >= 0 e22195: b2581 - b2582 + b2589 >= 0 e22196: b2582 - b2583 + b2584 >= 0 e22197: b2582 - b2583 + b2585 >= 0 e22198: b2582 - b2583 + b2586 >= 0 e22199: b2582 - b2583 + b2587 >= 0 e22200: b2582 - b2583 + b2588 >= 0 e22201: b2582 - b2583 + b2589 >= 0 e22202: b2582 - b2583 + b2590 >= 0 e22203: b2583 - b2584 + b2585 >= 0 e22204: b2583 - b2584 + b2586 >= 0 e22205: b2583 - b2584 + b2587 >= 0 e22206: b2583 - b2584 + b2588 >= 0 e22207: b2583 - b2584 + b2589 >= 0 e22208: b2583 - b2584 + b2590 >= 0 e22209: b2583 - b2584 + b2591 >= 0 e22210: b2584 - b2585 + b2586 >= 0 e22211: b2584 - b2585 + b2587 >= 0 e22212: b2584 - b2585 + b2588 >= 0 e22213: b2584 - b2585 + b2589 >= 0 e22214: b2584 - b2585 + b2590 >= 0 e22215: b2584 - b2585 + b2591 >= 0 e22216: b2584 - b2585 + b2592 >= 0 e22217: b2585 - b2586 + b2587 >= 0 e22218: b2585 - b2586 + b2588 >= 0 e22219: b2585 - b2586 + b2589 >= 0 e22220: b2585 - b2586 + b2590 >= 0 e22221: b2585 - b2586 + b2591 >= 0 e22222: b2585 - b2586 + b2592 >= 0 e22223: b2585 - b2586 + b2593 >= 0 e22224: b2586 - b2587 + b2588 >= 0 e22225: b2586 - b2587 + b2589 >= 0 e22226: b2586 - b2587 + b2590 >= 0 e22227: b2586 - b2587 + b2591 >= 0 e22228: b2586 - b2587 + b2592 >= 0 e22229: b2586 - b2587 + b2593 >= 0 e22230: b2587 - b2588 + b2589 >= 0 e22231: b2587 - b2588 + b2590 >= 0 e22232: b2587 - b2588 + b2591 >= 0 e22233: b2587 - b2588 + b2592 >= 0 e22234: b2587 - b2588 + b2593 >= 0 e22235: b2588 - b2589 + b2590 >= 0 e22236: b2588 - b2589 + b2591 >= 0 e22237: b2588 - b2589 + b2592 >= 0 e22238: b2588 - b2589 + b2593 >= 0 e22239: b2589 - b2590 + b2591 >= 0 e22240: b2589 - b2590 + b2592 >= 0 e22241: b2589 - b2590 + b2593 >= 0 e22242: b2590 - b2591 + b2592 >= 0 e22243: b2590 - b2591 + b2593 >= 0 e22244: b2591 - b2592 + b2593 >= 0 e22245: b2594 = 1 e22246: b2595 = 1 e22247: b2596 = 1 e22248: b2597 = 1 e22249: b2598 = 1 e22250: b2599 = 1 e22251: b2599 - b2600 + b2601 <= 1 e22252: b2599 - b2600 + b2602 <= 1 e22253: b2599 - b2600 + b2603 <= 1 e22254: b2599 - b2600 + b2604 <= 1 e22255: b2599 - b2600 + b2605 <= 1 e22256: b2599 - b2600 + b2606 <= 1 e22257: b2599 - b2600 + b2607 <= 1 e22258: b2600 - b2601 + b2602 <= 1 e22259: b2600 - b2601 + b2603 <= 1 e22260: b2600 - b2601 + b2604 <= 1 e22261: b2600 - b2601 + b2605 <= 1 e22262: b2600 - b2601 + b2606 <= 1 e22263: b2600 - b2601 + b2607 <= 1 e22264: b2600 - b2601 + b2608 <= 1 e22265: b2601 - b2602 + b2603 <= 1 e22266: b2601 - b2602 + b2604 <= 1 e22267: b2601 - b2602 + b2605 <= 1 e22268: b2601 - b2602 + b2606 <= 1 e22269: b2601 - b2602 + b2607 <= 1 e22270: b2601 - b2602 + b2608 <= 1 e22271: b2601 - b2602 + b2609 <= 1 e22272: b2602 - b2603 + b2604 <= 1 e22273: b2602 - b2603 + b2605 <= 1 e22274: b2602 - b2603 + b2606 <= 1 e22275: b2602 - b2603 + b2607 <= 1 e22276: b2602 - b2603 + b2608 <= 1 e22277: b2602 - b2603 + b2609 <= 1 e22278: b2602 - b2603 + b2610 <= 1 e22279: b2603 - b2604 + b2605 <= 1 e22280: b2603 - b2604 + b2606 <= 1 e22281: b2603 - b2604 + b2607 <= 1 e22282: b2603 - b2604 + b2608 <= 1 e22283: b2603 - b2604 + b2609 <= 1 e22284: b2603 - b2604 + b2610 <= 1 e22285: b2603 - b2604 + b2611 <= 1 e22286: b2604 - b2605 + b2606 <= 1 e22287: b2604 - b2605 + b2607 <= 1 e22288: b2604 - b2605 + b2608 <= 1 e22289: b2604 - b2605 + b2609 <= 1 e22290: b2604 - b2605 + b2610 <= 1 e22291: b2604 - b2605 + b2611 <= 1 e22292: b2604 - b2605 + b2612 <= 1 e22293: b2605 - b2606 + b2607 <= 1 e22294: b2605 - b2606 + b2608 <= 1 e22295: b2605 - b2606 + b2609 <= 1 e22296: b2605 - b2606 + b2610 <= 1 e22297: b2605 - b2606 + b2611 <= 1 e22298: b2605 - b2606 + b2612 <= 1 e22299: b2605 - b2606 + b2613 <= 1 e22300: b2606 - b2607 + b2608 <= 1 e22301: b2606 - b2607 + b2609 <= 1 e22302: b2606 - b2607 + b2610 <= 1 e22303: b2606 - b2607 + b2611 <= 1 e22304: b2606 - b2607 + b2612 <= 1 e22305: b2606 - b2607 + b2613 <= 1 e22306: b2606 - b2607 + b2614 <= 1 e22307: b2607 - b2608 + b2609 <= 1 e22308: b2607 - b2608 + b2610 <= 1 e22309: b2607 - b2608 + b2611 <= 1 e22310: b2607 - b2608 + b2612 <= 1 e22311: b2607 - b2608 + b2613 <= 1 e22312: b2607 - b2608 + b2614 <= 1 e22313: b2607 - b2608 + b2615 <= 1 e22314: b2608 - b2609 + b2610 <= 1 e22315: b2608 - b2609 + b2611 <= 1 e22316: b2608 - b2609 + b2612 <= 1 e22317: b2608 - b2609 + b2613 <= 1 e22318: b2608 - b2609 + b2614 <= 1 e22319: b2608 - b2609 + b2615 <= 1 e22320: b2608 - b2609 + b2616 <= 1 e22321: b2609 - b2610 + b2611 <= 1 e22322: b2609 - b2610 + b2612 <= 1 e22323: b2609 - b2610 + b2613 <= 1 e22324: b2609 - b2610 + b2614 <= 1 e22325: b2609 - b2610 + b2615 <= 1 e22326: b2609 - b2610 + b2616 <= 1 e22327: b2609 - b2610 + b2617 <= 1 e22328: b2610 - b2611 + b2612 <= 1 e22329: b2610 - b2611 + b2613 <= 1 e22330: b2610 - b2611 + b2614 <= 1 e22331: b2610 - b2611 + b2615 <= 1 e22332: b2610 - b2611 + b2616 <= 1 e22333: b2610 - b2611 + b2617 <= 1 e22334: b2611 - b2612 + b2613 <= 1 e22335: b2611 - b2612 + b2614 <= 1 e22336: b2611 - b2612 + b2615 <= 1 e22337: b2611 - b2612 + b2616 <= 1 e22338: b2611 - b2612 + b2617 <= 1 e22339: b2612 - b2613 + b2614 <= 1 e22340: b2612 - b2613 + b2615 <= 1 e22341: b2612 - b2613 + b2616 <= 1 e22342: b2612 - b2613 + b2617 <= 1 e22343: b2613 - b2614 + b2615 <= 1 e22344: b2613 - b2614 + b2616 <= 1 e22345: b2613 - b2614 + b2617 <= 1 e22346: b2614 - b2615 + b2616 <= 1 e22347: b2614 - b2615 + b2617 <= 1 e22348: b2615 - b2616 + b2617 <= 1 e22349: b2599 - b2600 + b2601 >= 0 e22350: b2599 - b2600 + b2602 >= 0 e22351: b2599 - b2600 + b2603 >= 0 e22352: b2599 - b2600 + b2604 >= 0 e22353: b2599 - b2600 + b2605 >= 0 e22354: b2599 - b2600 + b2606 >= 0 e22355: b2600 - b2601 + b2602 >= 0 e22356: b2600 - b2601 + b2603 >= 0 e22357: b2600 - b2601 + b2604 >= 0 e22358: b2600 - b2601 + b2605 >= 0 e22359: b2600 - b2601 + b2606 >= 0 e22360: b2600 - b2601 + b2607 >= 0 e22361: b2601 - b2602 + b2603 >= 0 e22362: b2601 - b2602 + b2604 >= 0 e22363: b2601 - b2602 + b2605 >= 0 e22364: b2601 - b2602 + b2606 >= 0 e22365: b2601 - b2602 + b2607 >= 0 e22366: b2601 - b2602 + b2608 >= 0 e22367: b2602 - b2603 + b2604 >= 0 e22368: b2602 - b2603 + b2605 >= 0 e22369: b2602 - b2603 + b2606 >= 0 e22370: b2602 - b2603 + b2607 >= 0 e22371: b2602 - b2603 + b2608 >= 0 e22372: b2602 - b2603 + b2609 >= 0 e22373: b2603 - b2604 + b2605 >= 0 e22374: b2603 - b2604 + b2606 >= 0 e22375: b2603 - b2604 + b2607 >= 0 e22376: b2603 - b2604 + b2608 >= 0 e22377: b2603 - b2604 + b2609 >= 0 e22378: b2603 - b2604 + b2610 >= 0 e22379: b2604 - b2605 + b2606 >= 0 e22380: b2604 - b2605 + b2607 >= 0 e22381: b2604 - b2605 + b2608 >= 0 e22382: b2604 - b2605 + b2609 >= 0 e22383: b2604 - b2605 + b2610 >= 0 e22384: b2604 - b2605 + b2611 >= 0 e22385: b2605 - b2606 + b2607 >= 0 e22386: b2605 - b2606 + b2608 >= 0 e22387: b2605 - b2606 + b2609 >= 0 e22388: b2605 - b2606 + b2610 >= 0 e22389: b2605 - b2606 + b2611 >= 0 e22390: b2605 - b2606 + b2612 >= 0 e22391: b2606 - b2607 + b2608 >= 0 e22392: b2606 - b2607 + b2609 >= 0 e22393: b2606 - b2607 + b2610 >= 0 e22394: b2606 - b2607 + b2611 >= 0 e22395: b2606 - b2607 + b2612 >= 0 e22396: b2606 - b2607 + b2613 >= 0 e22397: b2607 - b2608 + b2609 >= 0 e22398: b2607 - b2608 + b2610 >= 0 e22399: b2607 - b2608 + b2611 >= 0 e22400: b2607 - b2608 + b2612 >= 0 e22401: b2607 - b2608 + b2613 >= 0 e22402: b2607 - b2608 + b2614 >= 0 e22403: b2608 - b2609 + b2610 >= 0 e22404: b2608 - b2609 + b2611 >= 0 e22405: b2608 - b2609 + b2612 >= 0 e22406: b2608 - b2609 + b2613 >= 0 e22407: b2608 - b2609 + b2614 >= 0 e22408: b2608 - b2609 + b2615 >= 0 e22409: b2609 - b2610 + b2611 >= 0 e22410: b2609 - b2610 + b2612 >= 0 e22411: b2609 - b2610 + b2613 >= 0 e22412: b2609 - b2610 + b2614 >= 0 e22413: b2609 - b2610 + b2615 >= 0 e22414: b2609 - b2610 + b2616 >= 0 e22415: b2610 - b2611 + b2612 >= 0 e22416: b2610 - b2611 + b2613 >= 0 e22417: b2610 - b2611 + b2614 >= 0 e22418: b2610 - b2611 + b2615 >= 0 e22419: b2610 - b2611 + b2616 >= 0 e22420: b2610 - b2611 + b2617 >= 0 e22421: b2611 - b2612 + b2613 >= 0 e22422: b2611 - b2612 + b2614 >= 0 e22423: b2611 - b2612 + b2615 >= 0 e22424: b2611 - b2612 + b2616 >= 0 e22425: b2611 - b2612 + b2617 >= 0 e22426: b2612 - b2613 + b2614 >= 0 e22427: b2612 - b2613 + b2615 >= 0 e22428: b2612 - b2613 + b2616 >= 0 e22429: b2612 - b2613 + b2617 >= 0 e22430: b2613 - b2614 + b2615 >= 0 e22431: b2613 - b2614 + b2616 >= 0 e22432: b2613 - b2614 + b2617 >= 0 e22433: b2614 - b2615 + b2616 >= 0 e22434: b2614 - b2615 + b2617 >= 0 e22435: b2615 - b2616 + b2617 >= 0 e22436: - b2618 + b2619 + x19263 <= 1 e22437: - b2618 + b2620 + x19263 <= 1 e22438: - b2618 + b2621 + x19263 <= 1 e22439: - b2618 + b2622 + x19263 <= 1 e22440: - b2618 + b2623 + x19263 <= 1 e22441: - b2618 + b2624 + x19263 <= 1 e22442: b2618 - b2619 + b2620 <= 1 e22443: b2618 - b2619 + b2621 <= 1 e22444: b2618 - b2619 + b2622 <= 1 e22445: b2618 - b2619 + b2623 <= 1 e22446: b2618 - b2619 + b2624 <= 1 e22447: b2618 - b2619 + b2625 <= 1 e22448: b2619 - b2620 + b2621 <= 1 e22449: b2619 - b2620 + b2622 <= 1 e22450: b2619 - b2620 + b2623 <= 1 e22451: b2619 - b2620 + b2624 <= 1 e22452: b2619 - b2620 + b2625 <= 1 e22453: b2619 - b2620 + b2626 <= 1 e22454: b2620 - b2621 + b2622 <= 1 e22455: b2620 - b2621 + b2623 <= 1 e22456: b2620 - b2621 + b2624 <= 1 e22457: b2620 - b2621 + b2625 <= 1 e22458: b2620 - b2621 + b2626 <= 1 e22459: b2620 - b2621 + b2627 <= 1 e22460: b2621 - b2622 + b2623 <= 1 e22461: b2621 - b2622 + b2624 <= 1 e22462: b2621 - b2622 + b2625 <= 1 e22463: b2621 - b2622 + b2626 <= 1 e22464: b2621 - b2622 + b2627 <= 1 e22465: b2621 - b2622 + b2628 <= 1 e22466: b2622 - b2623 + b2624 <= 1 e22467: b2622 - b2623 + b2625 <= 1 e22468: b2622 - b2623 + b2626 <= 1 e22469: b2622 - b2623 + b2627 <= 1 e22470: b2622 - b2623 + b2628 <= 1 e22471: b2622 - b2623 + b2629 <= 1 e22472: b2623 - b2624 + b2625 <= 1 e22473: b2623 - b2624 + b2626 <= 1 e22474: b2623 - b2624 + b2627 <= 1 e22475: b2623 - b2624 + b2628 <= 1 e22476: b2623 - b2624 + b2629 <= 1 e22477: b2623 - b2624 + b2630 <= 1 e22478: b2624 - b2625 + b2626 <= 1 e22479: b2624 - b2625 + b2627 <= 1 e22480: b2624 - b2625 + b2628 <= 1 e22481: b2624 - b2625 + b2629 <= 1 e22482: b2624 - b2625 + b2630 <= 1 e22483: b2624 - b2625 + b2631 <= 1 e22484: b2625 - b2626 + b2627 <= 1 e22485: b2625 - b2626 + b2628 <= 1 e22486: b2625 - b2626 + b2629 <= 1 e22487: b2625 - b2626 + b2630 <= 1 e22488: b2625 - b2626 + b2631 <= 1 e22489: b2625 - b2626 + b2632 <= 1 e22490: b2626 - b2627 + b2628 <= 1 e22491: b2626 - b2627 + b2629 <= 1 e22492: b2626 - b2627 + b2630 <= 1 e22493: b2626 - b2627 + b2631 <= 1 e22494: b2626 - b2627 + b2632 <= 1 e22495: b2626 - b2627 + b2633 <= 1 e22496: b2627 - b2628 + b2629 <= 1 e22497: b2627 - b2628 + b2630 <= 1 e22498: b2627 - b2628 + b2631 <= 1 e22499: b2627 - b2628 + b2632 <= 1 e22500: b2627 - b2628 + b2633 <= 1 e22501: b2627 - b2628 + b2634 <= 1 e22502: b2628 - b2629 + b2630 <= 1 e22503: b2628 - b2629 + b2631 <= 1 e22504: b2628 - b2629 + b2632 <= 1 e22505: b2628 - b2629 + b2633 <= 1 e22506: b2628 - b2629 + b2634 <= 1 e22507: b2628 - b2629 + b2635 <= 1 e22508: b2629 - b2630 + b2631 <= 1 e22509: b2629 - b2630 + b2632 <= 1 e22510: b2629 - b2630 + b2633 <= 1 e22511: b2629 - b2630 + b2634 <= 1 e22512: b2629 - b2630 + b2635 <= 1 e22513: b2629 - b2630 + b2636 <= 1 e22514: b2630 - b2631 + b2632 <= 1 e22515: b2630 - b2631 + b2633 <= 1 e22516: b2630 - b2631 + b2634 <= 1 e22517: b2630 - b2631 + b2635 <= 1 e22518: b2630 - b2631 + b2636 <= 1 e22519: b2630 - b2631 + b2637 <= 1 e22520: b2631 - b2632 + b2633 <= 1 e22521: b2631 - b2632 + b2634 <= 1 e22522: b2631 - b2632 + b2635 <= 1 e22523: b2631 - b2632 + b2636 <= 1 e22524: b2631 - b2632 + b2637 <= 1 e22525: b2631 - b2632 + b2638 <= 1 e22526: b2632 - b2633 + b2634 <= 1 e22527: b2632 - b2633 + b2635 <= 1 e22528: b2632 - b2633 + b2636 <= 1 e22529: b2632 - b2633 + b2637 <= 1 e22530: b2632 - b2633 + b2638 <= 1 e22531: b2632 - b2633 + b2639 <= 1 e22532: b2633 - b2634 + b2635 <= 1 e22533: b2633 - b2634 + b2636 <= 1 e22534: b2633 - b2634 + b2637 <= 1 e22535: b2633 - b2634 + b2638 <= 1 e22536: b2633 - b2634 + b2639 <= 1 e22537: b2633 - b2634 + b2640 <= 1 e22538: b2634 - b2635 + b2636 <= 1 e22539: b2634 - b2635 + b2637 <= 1 e22540: b2634 - b2635 + b2638 <= 1 e22541: b2634 - b2635 + b2639 <= 1 e22542: b2634 - b2635 + b2640 <= 1 e22543: b2634 - b2635 + b2641 <= 1 e22544: b2635 - b2636 + b2637 <= 1 e22545: b2635 - b2636 + b2638 <= 1 e22546: b2635 - b2636 + b2639 <= 1 e22547: b2635 - b2636 + b2640 <= 1 e22548: b2635 - b2636 + b2641 <= 1 e22549: b2636 - b2637 + b2638 <= 1 e22550: b2636 - b2637 + b2639 <= 1 e22551: b2636 - b2637 + b2640 <= 1 e22552: b2636 - b2637 + b2641 <= 1 e22553: b2637 - b2638 + b2639 <= 1 e22554: b2637 - b2638 + b2640 <= 1 e22555: b2637 - b2638 + b2641 <= 1 e22556: b2638 - b2639 + b2640 <= 1 e22557: b2638 - b2639 + b2641 <= 1 e22558: b2639 - b2640 + b2641 <= 1 e22559: - b2618 + b2619 + x19263 >= 0 e22560: - b2618 + b2620 + x19263 >= 0 e22561: - b2618 + b2621 + x19263 >= 0 e22562: - b2618 + b2622 + x19263 >= 0 e22563: - b2618 + b2623 + x19263 >= 0 e22564: - b2618 + b2624 + x19263 >= 0 e22565: - b2618 + b2625 + x19263 >= 0 e22566: b2618 - b2619 + b2620 >= 0 e22567: b2618 - b2619 + b2621 >= 0 e22568: b2618 - b2619 + b2622 >= 0 e22569: b2618 - b2619 + b2623 >= 0 e22570: b2618 - b2619 + b2624 >= 0 e22571: b2618 - b2619 + b2625 >= 0 e22572: b2618 - b2619 + b2626 >= 0 e22573: b2619 - b2620 + b2621 >= 0 e22574: b2619 - b2620 + b2622 >= 0 e22575: b2619 - b2620 + b2623 >= 0 e22576: b2619 - b2620 + b2624 >= 0 e22577: b2619 - b2620 + b2625 >= 0 e22578: b2619 - b2620 + b2626 >= 0 e22579: b2619 - b2620 + b2627 >= 0 e22580: b2620 - b2621 + b2622 >= 0 e22581: b2620 - b2621 + b2623 >= 0 e22582: b2620 - b2621 + b2624 >= 0 e22583: b2620 - b2621 + b2625 >= 0 e22584: b2620 - b2621 + b2626 >= 0 e22585: b2620 - b2621 + b2627 >= 0 e22586: b2620 - b2621 + b2628 >= 0 e22587: b2621 - b2622 + b2623 >= 0 e22588: b2621 - b2622 + b2624 >= 0 e22589: b2621 - b2622 + b2625 >= 0 e22590: b2621 - b2622 + b2626 >= 0 e22591: b2621 - b2622 + b2627 >= 0 e22592: b2621 - b2622 + b2628 >= 0 e22593: b2621 - b2622 + b2629 >= 0 e22594: b2622 - b2623 + b2624 >= 0 e22595: b2622 - b2623 + b2625 >= 0 e22596: b2622 - b2623 + b2626 >= 0 e22597: b2622 - b2623 + b2627 >= 0 e22598: b2622 - b2623 + b2628 >= 0 e22599: b2622 - b2623 + b2629 >= 0 e22600: b2622 - b2623 + b2630 >= 0 e22601: b2623 - b2624 + b2625 >= 0 e22602: b2623 - b2624 + b2626 >= 0 e22603: b2623 - b2624 + b2627 >= 0 e22604: b2623 - b2624 + b2628 >= 0 e22605: b2623 - b2624 + b2629 >= 0 e22606: b2623 - b2624 + b2630 >= 0 e22607: b2623 - b2624 + b2631 >= 0 e22608: b2624 - b2625 + b2626 >= 0 e22609: b2624 - b2625 + b2627 >= 0 e22610: b2624 - b2625 + b2628 >= 0 e22611: b2624 - b2625 + b2629 >= 0 e22612: b2624 - b2625 + b2630 >= 0 e22613: b2624 - b2625 + b2631 >= 0 e22614: b2624 - b2625 + b2632 >= 0 e22615: b2625 - b2626 + b2627 >= 0 e22616: b2625 - b2626 + b2628 >= 0 e22617: b2625 - b2626 + b2629 >= 0 e22618: b2625 - b2626 + b2630 >= 0 e22619: b2625 - b2626 + b2631 >= 0 e22620: b2625 - b2626 + b2632 >= 0 e22621: b2625 - b2626 + b2633 >= 0 e22622: b2626 - b2627 + b2628 >= 0 e22623: b2626 - b2627 + b2629 >= 0 e22624: b2626 - b2627 + b2630 >= 0 e22625: b2626 - b2627 + b2631 >= 0 e22626: b2626 - b2627 + b2632 >= 0 e22627: b2626 - b2627 + b2633 >= 0 e22628: b2626 - b2627 + b2634 >= 0 e22629: b2627 - b2628 + b2629 >= 0 e22630: b2627 - b2628 + b2630 >= 0 e22631: b2627 - b2628 + b2631 >= 0 e22632: b2627 - b2628 + b2632 >= 0 e22633: b2627 - b2628 + b2633 >= 0 e22634: b2627 - b2628 + b2634 >= 0 e22635: b2627 - b2628 + b2635 >= 0 e22636: b2628 - b2629 + b2630 >= 0 e22637: b2628 - b2629 + b2631 >= 0 e22638: b2628 - b2629 + b2632 >= 0 e22639: b2628 - b2629 + b2633 >= 0 e22640: b2628 - b2629 + b2634 >= 0 e22641: b2628 - b2629 + b2635 >= 0 e22642: b2628 - b2629 + b2636 >= 0 e22643: b2629 - b2630 + b2631 >= 0 e22644: b2629 - b2630 + b2632 >= 0 e22645: b2629 - b2630 + b2633 >= 0 e22646: b2629 - b2630 + b2634 >= 0 e22647: b2629 - b2630 + b2635 >= 0 e22648: b2629 - b2630 + b2636 >= 0 e22649: b2629 - b2630 + b2637 >= 0 e22650: b2630 - b2631 + b2632 >= 0 e22651: b2630 - b2631 + b2633 >= 0 e22652: b2630 - b2631 + b2634 >= 0 e22653: b2630 - b2631 + b2635 >= 0 e22654: b2630 - b2631 + b2636 >= 0 e22655: b2630 - b2631 + b2637 >= 0 e22656: b2630 - b2631 + b2638 >= 0 e22657: b2631 - b2632 + b2633 >= 0 e22658: b2631 - b2632 + b2634 >= 0 e22659: b2631 - b2632 + b2635 >= 0 e22660: b2631 - b2632 + b2636 >= 0 e22661: b2631 - b2632 + b2637 >= 0 e22662: b2631 - b2632 + b2638 >= 0 e22663: b2631 - b2632 + b2639 >= 0 e22664: b2632 - b2633 + b2634 >= 0 e22665: b2632 - b2633 + b2635 >= 0 e22666: b2632 - b2633 + b2636 >= 0 e22667: b2632 - b2633 + b2637 >= 0 e22668: b2632 - b2633 + b2638 >= 0 e22669: b2632 - b2633 + b2639 >= 0 e22670: b2632 - b2633 + b2640 >= 0 e22671: b2633 - b2634 + b2635 >= 0 e22672: b2633 - b2634 + b2636 >= 0 e22673: b2633 - b2634 + b2637 >= 0 e22674: b2633 - b2634 + b2638 >= 0 e22675: b2633 - b2634 + b2639 >= 0 e22676: b2633 - b2634 + b2640 >= 0 e22677: b2633 - b2634 + b2641 >= 0 e22678: b2634 - b2635 + b2636 >= 0 e22679: b2634 - b2635 + b2637 >= 0 e22680: b2634 - b2635 + b2638 >= 0 e22681: b2634 - b2635 + b2639 >= 0 e22682: b2634 - b2635 + b2640 >= 0 e22683: b2634 - b2635 + b2641 >= 0 e22684: b2635 - b2636 + b2637 >= 0 e22685: b2635 - b2636 + b2638 >= 0 e22686: b2635 - b2636 + b2639 >= 0 e22687: b2635 - b2636 + b2640 >= 0 e22688: b2635 - b2636 + b2641 >= 0 e22689: b2636 - b2637 + b2638 >= 0 e22690: b2636 - b2637 + b2639 >= 0 e22691: b2636 - b2637 + b2640 >= 0 e22692: b2636 - b2637 + b2641 >= 0 e22693: b2637 - b2638 + b2639 >= 0 e22694: b2637 - b2638 + b2640 >= 0 e22695: b2637 - b2638 + b2641 >= 0 e22696: b2638 - b2639 + b2640 >= 0 e22697: b2638 - b2639 + b2641 >= 0 e22698: b2639 - b2640 + b2641 >= 0 e22699: b2642 = 0 e22700: b2643 = 0 e22701: b2644 = 0 e22702: b2644 - b2645 + b2646 <= 1 e22703: b2644 - b2645 + b2647 <= 1 e22704: b2644 - b2645 + b2648 <= 1 e22705: b2644 - b2645 + b2649 <= 1 e22706: b2644 - b2645 + b2650 <= 1 e22707: b2644 - b2645 + b2651 <= 1 e22708: b2644 - b2645 + b2652 <= 1 e22709: b2645 - b2646 + b2647 <= 1 e22710: b2645 - b2646 + b2648 <= 1 e22711: b2645 - b2646 + b2649 <= 1 e22712: b2645 - b2646 + b2650 <= 1 e22713: b2645 - b2646 + b2651 <= 1 e22714: b2645 - b2646 + b2652 <= 1 e22715: b2645 - b2646 + b2653 <= 1 e22716: b2646 - b2647 + b2648 <= 1 e22717: b2646 - b2647 + b2649 <= 1 e22718: b2646 - b2647 + b2650 <= 1 e22719: b2646 - b2647 + b2651 <= 1 e22720: b2646 - b2647 + b2652 <= 1 e22721: b2646 - b2647 + b2653 <= 1 e22722: b2646 - b2647 + b2654 <= 1 e22723: b2647 - b2648 + b2649 <= 1 e22724: b2647 - b2648 + b2650 <= 1 e22725: b2647 - b2648 + b2651 <= 1 e22726: b2647 - b2648 + b2652 <= 1 e22727: b2647 - b2648 + b2653 <= 1 e22728: b2647 - b2648 + b2654 <= 1 e22729: b2647 - b2648 + b2655 <= 1 e22730: b2648 - b2649 + b2650 <= 1 e22731: b2648 - b2649 + b2651 <= 1 e22732: b2648 - b2649 + b2652 <= 1 e22733: b2648 - b2649 + b2653 <= 1 e22734: b2648 - b2649 + b2654 <= 1 e22735: b2648 - b2649 + b2655 <= 1 e22736: b2648 - b2649 + b2656 <= 1 e22737: b2649 - b2650 + b2651 <= 1 e22738: b2649 - b2650 + b2652 <= 1 e22739: b2649 - b2650 + b2653 <= 1 e22740: b2649 - b2650 + b2654 <= 1 e22741: b2649 - b2650 + b2655 <= 1 e22742: b2649 - b2650 + b2656 <= 1 e22743: b2649 - b2650 + b2657 <= 1 e22744: b2650 - b2651 + b2652 <= 1 e22745: b2650 - b2651 + b2653 <= 1 e22746: b2650 - b2651 + b2654 <= 1 e22747: b2650 - b2651 + b2655 <= 1 e22748: b2650 - b2651 + b2656 <= 1 e22749: b2650 - b2651 + b2657 <= 1 e22750: b2650 - b2651 + b2658 <= 1 e22751: b2651 - b2652 + b2653 <= 1 e22752: b2651 - b2652 + b2654 <= 1 e22753: b2651 - b2652 + b2655 <= 1 e22754: b2651 - b2652 + b2656 <= 1 e22755: b2651 - b2652 + b2657 <= 1 e22756: b2651 - b2652 + b2658 <= 1 e22757: b2651 - b2652 + b2659 <= 1 e22758: b2652 - b2653 + b2654 <= 1 e22759: b2652 - b2653 + b2655 <= 1 e22760: b2652 - b2653 + b2656 <= 1 e22761: b2652 - b2653 + b2657 <= 1 e22762: b2652 - b2653 + b2658 <= 1 e22763: b2652 - b2653 + b2659 <= 1 e22764: b2652 - b2653 + b2660 <= 1 e22765: b2653 - b2654 + b2655 <= 1 e22766: b2653 - b2654 + b2656 <= 1 e22767: b2653 - b2654 + b2657 <= 1 e22768: b2653 - b2654 + b2658 <= 1 e22769: b2653 - b2654 + b2659 <= 1 e22770: b2653 - b2654 + b2660 <= 1 e22771: b2653 - b2654 + b2661 <= 1 e22772: b2654 - b2655 + b2656 <= 1 e22773: b2654 - b2655 + b2657 <= 1 e22774: b2654 - b2655 + b2658 <= 1 e22775: b2654 - b2655 + b2659 <= 1 e22776: b2654 - b2655 + b2660 <= 1 e22777: b2654 - b2655 + b2661 <= 1 e22778: b2654 - b2655 + b2662 <= 1 e22779: b2655 - b2656 + b2657 <= 1 e22780: b2655 - b2656 + b2658 <= 1 e22781: b2655 - b2656 + b2659 <= 1 e22782: b2655 - b2656 + b2660 <= 1 e22783: b2655 - b2656 + b2661 <= 1 e22784: b2655 - b2656 + b2662 <= 1 e22785: b2655 - b2656 + b2663 <= 1 e22786: b2656 - b2657 + b2658 <= 1 e22787: b2656 - b2657 + b2659 <= 1 e22788: b2656 - b2657 + b2660 <= 1 e22789: b2656 - b2657 + b2661 <= 1 e22790: b2656 - b2657 + b2662 <= 1 e22791: b2656 - b2657 + b2663 <= 1 e22792: b2656 - b2657 + b2664 <= 1 e22793: b2657 - b2658 + b2659 <= 1 e22794: b2657 - b2658 + b2660 <= 1 e22795: b2657 - b2658 + b2661 <= 1 e22796: b2657 - b2658 + b2662 <= 1 e22797: b2657 - b2658 + b2663 <= 1 e22798: b2657 - b2658 + b2664 <= 1 e22799: b2657 - b2658 + b2665 <= 1 e22800: b2658 - b2659 + b2660 <= 1 e22801: b2658 - b2659 + b2661 <= 1 e22802: b2658 - b2659 + b2662 <= 1 e22803: b2658 - b2659 + b2663 <= 1 e22804: b2658 - b2659 + b2664 <= 1 e22805: b2658 - b2659 + b2665 <= 1 e22806: b2659 - b2660 + b2661 <= 1 e22807: b2659 - b2660 + b2662 <= 1 e22808: b2659 - b2660 + b2663 <= 1 e22809: b2659 - b2660 + b2664 <= 1 e22810: b2659 - b2660 + b2665 <= 1 e22811: b2660 - b2661 + b2662 <= 1 e22812: b2660 - b2661 + b2663 <= 1 e22813: b2660 - b2661 + b2664 <= 1 e22814: b2660 - b2661 + b2665 <= 1 e22815: b2661 - b2662 + b2663 <= 1 e22816: b2661 - b2662 + b2664 <= 1 e22817: b2661 - b2662 + b2665 <= 1 e22818: b2662 - b2663 + b2664 <= 1 e22819: b2662 - b2663 + b2665 <= 1 e22820: b2663 - b2664 + b2665 <= 1 e22821: b2644 - b2645 + b2646 >= 0 e22822: b2644 - b2645 + b2647 >= 0 e22823: b2644 - b2645 + b2648 >= 0 e22824: b2644 - b2645 + b2649 >= 0 e22825: b2644 - b2645 + b2650 >= 0 e22826: b2644 - b2645 + b2651 >= 0 e22827: b2645 - b2646 + b2647 >= 0 e22828: b2645 - b2646 + b2648 >= 0 e22829: b2645 - b2646 + b2649 >= 0 e22830: b2645 - b2646 + b2650 >= 0 e22831: b2645 - b2646 + b2651 >= 0 e22832: b2645 - b2646 + b2652 >= 0 e22833: b2646 - b2647 + b2648 >= 0 e22834: b2646 - b2647 + b2649 >= 0 e22835: b2646 - b2647 + b2650 >= 0 e22836: b2646 - b2647 + b2651 >= 0 e22837: b2646 - b2647 + b2652 >= 0 e22838: b2646 - b2647 + b2653 >= 0 e22839: b2647 - b2648 + b2649 >= 0 e22840: b2647 - b2648 + b2650 >= 0 e22841: b2647 - b2648 + b2651 >= 0 e22842: b2647 - b2648 + b2652 >= 0 e22843: b2647 - b2648 + b2653 >= 0 e22844: b2647 - b2648 + b2654 >= 0 e22845: b2648 - b2649 + b2650 >= 0 e22846: b2648 - b2649 + b2651 >= 0 e22847: b2648 - b2649 + b2652 >= 0 e22848: b2648 - b2649 + b2653 >= 0 e22849: b2648 - b2649 + b2654 >= 0 e22850: b2648 - b2649 + b2655 >= 0 e22851: b2649 - b2650 + b2651 >= 0 e22852: b2649 - b2650 + b2652 >= 0 e22853: b2649 - b2650 + b2653 >= 0 e22854: b2649 - b2650 + b2654 >= 0 e22855: b2649 - b2650 + b2655 >= 0 e22856: b2649 - b2650 + b2656 >= 0 e22857: b2650 - b2651 + b2652 >= 0 e22858: b2650 - b2651 + b2653 >= 0 e22859: b2650 - b2651 + b2654 >= 0 e22860: b2650 - b2651 + b2655 >= 0 e22861: b2650 - b2651 + b2656 >= 0 e22862: b2650 - b2651 + b2657 >= 0 e22863: b2651 - b2652 + b2653 >= 0 e22864: b2651 - b2652 + b2654 >= 0 e22865: b2651 - b2652 + b2655 >= 0 e22866: b2651 - b2652 + b2656 >= 0 e22867: b2651 - b2652 + b2657 >= 0 e22868: b2651 - b2652 + b2658 >= 0 e22869: b2652 - b2653 + b2654 >= 0 e22870: b2652 - b2653 + b2655 >= 0 e22871: b2652 - b2653 + b2656 >= 0 e22872: b2652 - b2653 + b2657 >= 0 e22873: b2652 - b2653 + b2658 >= 0 e22874: b2652 - b2653 + b2659 >= 0 e22875: b2653 - b2654 + b2655 >= 0 e22876: b2653 - b2654 + b2656 >= 0 e22877: b2653 - b2654 + b2657 >= 0 e22878: b2653 - b2654 + b2658 >= 0 e22879: b2653 - b2654 + b2659 >= 0 e22880: b2653 - b2654 + b2660 >= 0 e22881: b2654 - b2655 + b2656 >= 0 e22882: b2654 - b2655 + b2657 >= 0 e22883: b2654 - b2655 + b2658 >= 0 e22884: b2654 - b2655 + b2659 >= 0 e22885: b2654 - b2655 + b2660 >= 0 e22886: b2654 - b2655 + b2661 >= 0 e22887: b2655 - b2656 + b2657 >= 0 e22888: b2655 - b2656 + b2658 >= 0 e22889: b2655 - b2656 + b2659 >= 0 e22890: b2655 - b2656 + b2660 >= 0 e22891: b2655 - b2656 + b2661 >= 0 e22892: b2655 - b2656 + b2662 >= 0 e22893: b2656 - b2657 + b2658 >= 0 e22894: b2656 - b2657 + b2659 >= 0 e22895: b2656 - b2657 + b2660 >= 0 e22896: b2656 - b2657 + b2661 >= 0 e22897: b2656 - b2657 + b2662 >= 0 e22898: b2656 - b2657 + b2663 >= 0 e22899: b2657 - b2658 + b2659 >= 0 e22900: b2657 - b2658 + b2660 >= 0 e22901: b2657 - b2658 + b2661 >= 0 e22902: b2657 - b2658 + b2662 >= 0 e22903: b2657 - b2658 + b2663 >= 0 e22904: b2657 - b2658 + b2664 >= 0 e22905: b2658 - b2659 + b2660 >= 0 e22906: b2658 - b2659 + b2661 >= 0 e22907: b2658 - b2659 + b2662 >= 0 e22908: b2658 - b2659 + b2663 >= 0 e22909: b2658 - b2659 + b2664 >= 0 e22910: b2658 - b2659 + b2665 >= 0 e22911: b2659 - b2660 + b2661 >= 0 e22912: b2659 - b2660 + b2662 >= 0 e22913: b2659 - b2660 + b2663 >= 0 e22914: b2659 - b2660 + b2664 >= 0 e22915: b2659 - b2660 + b2665 >= 0 e22916: b2660 - b2661 + b2662 >= 0 e22917: b2660 - b2661 + b2663 >= 0 e22918: b2660 - b2661 + b2664 >= 0 e22919: b2660 - b2661 + b2665 >= 0 e22920: b2661 - b2662 + b2663 >= 0 e22921: b2661 - b2662 + b2664 >= 0 e22922: b2661 - b2662 + b2665 >= 0 e22923: b2662 - b2663 + b2664 >= 0 e22924: b2662 - b2663 + b2665 >= 0 e22925: b2663 - b2664 + b2665 >= 0 e22926: b2666 = 1 e22927: b2667 = 1 e22928: b2667 - b2668 + b2669 <= 1 e22929: b2667 - b2668 + b2670 <= 1 e22930: b2667 - b2668 + b2671 <= 1 e22931: b2667 - b2668 + b2672 <= 1 e22932: b2667 - b2668 + b2673 <= 1 e22933: b2667 - b2668 + b2674 <= 1 e22934: b2667 - b2668 + b2675 <= 1 e22935: b2668 - b2669 + b2670 <= 1 e22936: b2668 - b2669 + b2671 <= 1 e22937: b2668 - b2669 + b2672 <= 1 e22938: b2668 - b2669 + b2673 <= 1 e22939: b2668 - b2669 + b2674 <= 1 e22940: b2668 - b2669 + b2675 <= 1 e22941: b2668 - b2669 + b2676 <= 1 e22942: b2669 - b2670 + b2671 <= 1 e22943: b2669 - b2670 + b2672 <= 1 e22944: b2669 - b2670 + b2673 <= 1 e22945: b2669 - b2670 + b2674 <= 1 e22946: b2669 - b2670 + b2675 <= 1 e22947: b2669 - b2670 + b2676 <= 1 e22948: b2669 - b2670 + b2677 <= 1 e22949: b2670 - b2671 + b2672 <= 1 e22950: b2670 - b2671 + b2673 <= 1 e22951: b2670 - b2671 + b2674 <= 1 e22952: b2670 - b2671 + b2675 <= 1 e22953: b2670 - b2671 + b2676 <= 1 e22954: b2670 - b2671 + b2677 <= 1 e22955: b2670 - b2671 + b2678 <= 1 e22956: b2671 - b2672 + b2673 <= 1 e22957: b2671 - b2672 + b2674 <= 1 e22958: b2671 - b2672 + b2675 <= 1 e22959: b2671 - b2672 + b2676 <= 1 e22960: b2671 - b2672 + b2677 <= 1 e22961: b2671 - b2672 + b2678 <= 1 e22962: b2671 - b2672 + b2679 <= 1 e22963: b2672 - b2673 + b2674 <= 1 e22964: b2672 - b2673 + b2675 <= 1 e22965: b2672 - b2673 + b2676 <= 1 e22966: b2672 - b2673 + b2677 <= 1 e22967: b2672 - b2673 + b2678 <= 1 e22968: b2672 - b2673 + b2679 <= 1 e22969: b2672 - b2673 + b2680 <= 1 e22970: b2673 - b2674 + b2675 <= 1 e22971: b2673 - b2674 + b2676 <= 1 e22972: b2673 - b2674 + b2677 <= 1 e22973: b2673 - b2674 + b2678 <= 1 e22974: b2673 - b2674 + b2679 <= 1 e22975: b2673 - b2674 + b2680 <= 1 e22976: b2673 - b2674 + b2681 <= 1 e22977: b2674 - b2675 + b2676 <= 1 e22978: b2674 - b2675 + b2677 <= 1 e22979: b2674 - b2675 + b2678 <= 1 e22980: b2674 - b2675 + b2679 <= 1 e22981: b2674 - b2675 + b2680 <= 1 e22982: b2674 - b2675 + b2681 <= 1 e22983: b2674 - b2675 + b2682 <= 1 e22984: b2675 - b2676 + b2677 <= 1 e22985: b2675 - b2676 + b2678 <= 1 e22986: b2675 - b2676 + b2679 <= 1 e22987: b2675 - b2676 + b2680 <= 1 e22988: b2675 - b2676 + b2681 <= 1 e22989: b2675 - b2676 + b2682 <= 1 e22990: b2675 - b2676 + b2683 <= 1 e22991: b2676 - b2677 + b2678 <= 1 e22992: b2676 - b2677 + b2679 <= 1 e22993: b2676 - b2677 + b2680 <= 1 e22994: b2676 - b2677 + b2681 <= 1 e22995: b2676 - b2677 + b2682 <= 1 e22996: b2676 - b2677 + b2683 <= 1 e22997: b2676 - b2677 + b2684 <= 1 e22998: b2677 - b2678 + b2679 <= 1 e22999: b2677 - b2678 + b2680 <= 1 e23000: b2677 - b2678 + b2681 <= 1 e23001: b2677 - b2678 + b2682 <= 1 e23002: b2677 - b2678 + b2683 <= 1 e23003: b2677 - b2678 + b2684 <= 1 e23004: b2677 - b2678 + b2685 <= 1 e23005: b2678 - b2679 + b2680 <= 1 e23006: b2678 - b2679 + b2681 <= 1 e23007: b2678 - b2679 + b2682 <= 1 e23008: b2678 - b2679 + b2683 <= 1 e23009: b2678 - b2679 + b2684 <= 1 e23010: b2678 - b2679 + b2685 <= 1 e23011: b2678 - b2679 + b2686 <= 1 e23012: b2679 - b2680 + b2681 <= 1 e23013: b2679 - b2680 + b2682 <= 1 e23014: b2679 - b2680 + b2683 <= 1 e23015: b2679 - b2680 + b2684 <= 1 e23016: b2679 - b2680 + b2685 <= 1 e23017: b2679 - b2680 + b2686 <= 1 e23018: b2679 - b2680 + b2687 <= 1 e23019: b2680 - b2681 + b2682 <= 1 e23020: b2680 - b2681 + b2683 <= 1 e23021: b2680 - b2681 + b2684 <= 1 e23022: b2680 - b2681 + b2685 <= 1 e23023: b2680 - b2681 + b2686 <= 1 e23024: b2680 - b2681 + b2687 <= 1 e23025: b2680 - b2681 + b2688 <= 1 e23026: b2681 - b2682 + b2683 <= 1 e23027: b2681 - b2682 + b2684 <= 1 e23028: b2681 - b2682 + b2685 <= 1 e23029: b2681 - b2682 + b2686 <= 1 e23030: b2681 - b2682 + b2687 <= 1 e23031: b2681 - b2682 + b2688 <= 1 e23032: b2681 - b2682 + b2689 <= 1 e23033: b2682 - b2683 + b2684 <= 1 e23034: b2682 - b2683 + b2685 <= 1 e23035: b2682 - b2683 + b2686 <= 1 e23036: b2682 - b2683 + b2687 <= 1 e23037: b2682 - b2683 + b2688 <= 1 e23038: b2682 - b2683 + b2689 <= 1 e23039: b2683 - b2684 + b2685 <= 1 e23040: b2683 - b2684 + b2686 <= 1 e23041: b2683 - b2684 + b2687 <= 1 e23042: b2683 - b2684 + b2688 <= 1 e23043: b2683 - b2684 + b2689 <= 1 e23044: b2684 - b2685 + b2686 <= 1 e23045: b2684 - b2685 + b2687 <= 1 e23046: b2684 - b2685 + b2688 <= 1 e23047: b2684 - b2685 + b2689 <= 1 e23048: b2685 - b2686 + b2687 <= 1 e23049: b2685 - b2686 + b2688 <= 1 e23050: b2685 - b2686 + b2689 <= 1 e23051: b2686 - b2687 + b2688 <= 1 e23052: b2686 - b2687 + b2689 <= 1 e23053: b2687 - b2688 + b2689 <= 1 e23054: b2667 - b2668 + b2669 >= 0 e23055: b2667 - b2668 + b2670 >= 0 e23056: b2667 - b2668 + b2671 >= 0 e23057: b2667 - b2668 + b2672 >= 0 e23058: b2667 - b2668 + b2673 >= 0 e23059: b2667 - b2668 + b2674 >= 0 e23060: b2668 - b2669 + b2670 >= 0 e23061: b2668 - b2669 + b2671 >= 0 e23062: b2668 - b2669 + b2672 >= 0 e23063: b2668 - b2669 + b2673 >= 0 e23064: b2668 - b2669 + b2674 >= 0 e23065: b2668 - b2669 + b2675 >= 0 e23066: b2669 - b2670 + b2671 >= 0 e23067: b2669 - b2670 + b2672 >= 0 e23068: b2669 - b2670 + b2673 >= 0 e23069: b2669 - b2670 + b2674 >= 0 e23070: b2669 - b2670 + b2675 >= 0 e23071: b2669 - b2670 + b2676 >= 0 e23072: b2670 - b2671 + b2672 >= 0 e23073: b2670 - b2671 + b2673 >= 0 e23074: b2670 - b2671 + b2674 >= 0 e23075: b2670 - b2671 + b2675 >= 0 e23076: b2670 - b2671 + b2676 >= 0 e23077: b2670 - b2671 + b2677 >= 0 e23078: b2671 - b2672 + b2673 >= 0 e23079: b2671 - b2672 + b2674 >= 0 e23080: b2671 - b2672 + b2675 >= 0 e23081: b2671 - b2672 + b2676 >= 0 e23082: b2671 - b2672 + b2677 >= 0 e23083: b2671 - b2672 + b2678 >= 0 e23084: b2672 - b2673 + b2674 >= 0 e23085: b2672 - b2673 + b2675 >= 0 e23086: b2672 - b2673 + b2676 >= 0 e23087: b2672 - b2673 + b2677 >= 0 e23088: b2672 - b2673 + b2678 >= 0 e23089: b2672 - b2673 + b2679 >= 0 e23090: b2673 - b2674 + b2675 >= 0 e23091: b2673 - b2674 + b2676 >= 0 e23092: b2673 - b2674 + b2677 >= 0 e23093: b2673 - b2674 + b2678 >= 0 e23094: b2673 - b2674 + b2679 >= 0 e23095: b2673 - b2674 + b2680 >= 0 e23096: b2674 - b2675 + b2676 >= 0 e23097: b2674 - b2675 + b2677 >= 0 e23098: b2674 - b2675 + b2678 >= 0 e23099: b2674 - b2675 + b2679 >= 0 e23100: b2674 - b2675 + b2680 >= 0 e23101: b2674 - b2675 + b2681 >= 0 e23102: b2675 - b2676 + b2677 >= 0 e23103: b2675 - b2676 + b2678 >= 0 e23104: b2675 - b2676 + b2679 >= 0 e23105: b2675 - b2676 + b2680 >= 0 e23106: b2675 - b2676 + b2681 >= 0 e23107: b2675 - b2676 + b2682 >= 0 e23108: b2676 - b2677 + b2678 >= 0 e23109: b2676 - b2677 + b2679 >= 0 e23110: b2676 - b2677 + b2680 >= 0 e23111: b2676 - b2677 + b2681 >= 0 e23112: b2676 - b2677 + b2682 >= 0 e23113: b2676 - b2677 + b2683 >= 0 e23114: b2677 - b2678 + b2679 >= 0 e23115: b2677 - b2678 + b2680 >= 0 e23116: b2677 - b2678 + b2681 >= 0 e23117: b2677 - b2678 + b2682 >= 0 e23118: b2677 - b2678 + b2683 >= 0 e23119: b2677 - b2678 + b2684 >= 0 e23120: b2678 - b2679 + b2680 >= 0 e23121: b2678 - b2679 + b2681 >= 0 e23122: b2678 - b2679 + b2682 >= 0 e23123: b2678 - b2679 + b2683 >= 0 e23124: b2678 - b2679 + b2684 >= 0 e23125: b2678 - b2679 + b2685 >= 0 e23126: b2679 - b2680 + b2681 >= 0 e23127: b2679 - b2680 + b2682 >= 0 e23128: b2679 - b2680 + b2683 >= 0 e23129: b2679 - b2680 + b2684 >= 0 e23130: b2679 - b2680 + b2685 >= 0 e23131: b2679 - b2680 + b2686 >= 0 e23132: b2680 - b2681 + b2682 >= 0 e23133: b2680 - b2681 + b2683 >= 0 e23134: b2680 - b2681 + b2684 >= 0 e23135: b2680 - b2681 + b2685 >= 0 e23136: b2680 - b2681 + b2686 >= 0 e23137: b2680 - b2681 + b2687 >= 0 e23138: b2681 - b2682 + b2683 >= 0 e23139: b2681 - b2682 + b2684 >= 0 e23140: b2681 - b2682 + b2685 >= 0 e23141: b2681 - b2682 + b2686 >= 0 e23142: b2681 - b2682 + b2687 >= 0 e23143: b2681 - b2682 + b2688 >= 0 e23144: b2682 - b2683 + b2684 >= 0 e23145: b2682 - b2683 + b2685 >= 0 e23146: b2682 - b2683 + b2686 >= 0 e23147: b2682 - b2683 + b2687 >= 0 e23148: b2682 - b2683 + b2688 >= 0 e23149: b2682 - b2683 + b2689 >= 0 e23150: b2683 - b2684 + b2685 >= 0 e23151: b2683 - b2684 + b2686 >= 0 e23152: b2683 - b2684 + b2687 >= 0 e23153: b2683 - b2684 + b2688 >= 0 e23154: b2683 - b2684 + b2689 >= 0 e23155: b2684 - b2685 + b2686 >= 0 e23156: b2684 - b2685 + b2687 >= 0 e23157: b2684 - b2685 + b2688 >= 0 e23158: b2684 - b2685 + b2689 >= 0 e23159: b2685 - b2686 + b2687 >= 0 e23160: b2685 - b2686 + b2688 >= 0 e23161: b2685 - b2686 + b2689 >= 0 e23162: b2686 - b2687 + b2688 >= 0 e23163: b2686 - b2687 + b2689 >= 0 e23164: b2687 - b2688 + b2689 >= 0 e23165: b2690 = 1 e23166: b2691 = 1 e23167: b2692 = 1 e23168: b2693 = 1 e23169: b2693 - b2694 + b2695 <= 1 e23170: b2693 - b2694 + b2696 <= 1 e23171: b2693 - b2694 + b2697 <= 1 e23172: b2693 - b2694 + b2698 <= 1 e23173: b2693 - b2694 + b2699 <= 1 e23174: b2693 - b2694 + b2700 <= 1 e23175: b2693 - b2694 + b2701 <= 1 e23176: b2694 - b2695 + b2696 <= 1 e23177: b2694 - b2695 + b2697 <= 1 e23178: b2694 - b2695 + b2698 <= 1 e23179: b2694 - b2695 + b2699 <= 1 e23180: b2694 - b2695 + b2700 <= 1 e23181: b2694 - b2695 + b2701 <= 1 e23182: b2694 - b2695 + b2702 <= 1 e23183: b2695 - b2696 + b2697 <= 1 e23184: b2695 - b2696 + b2698 <= 1 e23185: b2695 - b2696 + b2699 <= 1 e23186: b2695 - b2696 + b2700 <= 1 e23187: b2695 - b2696 + b2701 <= 1 e23188: b2695 - b2696 + b2702 <= 1 e23189: b2695 - b2696 + b2703 <= 1 e23190: b2696 - b2697 + b2698 <= 1 e23191: b2696 - b2697 + b2699 <= 1 e23192: b2696 - b2697 + b2700 <= 1 e23193: b2696 - b2697 + b2701 <= 1 e23194: b2696 - b2697 + b2702 <= 1 e23195: b2696 - b2697 + b2703 <= 1 e23196: b2696 - b2697 + b2704 <= 1 e23197: b2697 - b2698 + b2699 <= 1 e23198: b2697 - b2698 + b2700 <= 1 e23199: b2697 - b2698 + b2701 <= 1 e23200: b2697 - b2698 + b2702 <= 1 e23201: b2697 - b2698 + b2703 <= 1 e23202: b2697 - b2698 + b2704 <= 1 e23203: b2697 - b2698 + b2705 <= 1 e23204: b2698 - b2699 + b2700 <= 1 e23205: b2698 - b2699 + b2701 <= 1 e23206: b2698 - b2699 + b2702 <= 1 e23207: b2698 - b2699 + b2703 <= 1 e23208: b2698 - b2699 + b2704 <= 1 e23209: b2698 - b2699 + b2705 <= 1 e23210: b2698 - b2699 + b2706 <= 1 e23211: b2699 - b2700 + b2701 <= 1 e23212: b2699 - b2700 + b2702 <= 1 e23213: b2699 - b2700 + b2703 <= 1 e23214: b2699 - b2700 + b2704 <= 1 e23215: b2699 - b2700 + b2705 <= 1 e23216: b2699 - b2700 + b2706 <= 1 e23217: b2699 - b2700 + b2707 <= 1 e23218: b2700 - b2701 + b2702 <= 1 e23219: b2700 - b2701 + b2703 <= 1 e23220: b2700 - b2701 + b2704 <= 1 e23221: b2700 - b2701 + b2705 <= 1 e23222: b2700 - b2701 + b2706 <= 1 e23223: b2700 - b2701 + b2707 <= 1 e23224: b2700 - b2701 + b2708 <= 1 e23225: b2701 - b2702 + b2703 <= 1 e23226: b2701 - b2702 + b2704 <= 1 e23227: b2701 - b2702 + b2705 <= 1 e23228: b2701 - b2702 + b2706 <= 1 e23229: b2701 - b2702 + b2707 <= 1 e23230: b2701 - b2702 + b2708 <= 1 e23231: b2701 - b2702 + b2709 <= 1 e23232: b2702 - b2703 + b2704 <= 1 e23233: b2702 - b2703 + b2705 <= 1 e23234: b2702 - b2703 + b2706 <= 1 e23235: b2702 - b2703 + b2707 <= 1 e23236: b2702 - b2703 + b2708 <= 1 e23237: b2702 - b2703 + b2709 <= 1 e23238: b2702 - b2703 + b2710 <= 1 e23239: b2703 - b2704 + b2705 <= 1 e23240: b2703 - b2704 + b2706 <= 1 e23241: b2703 - b2704 + b2707 <= 1 e23242: b2703 - b2704 + b2708 <= 1 e23243: b2703 - b2704 + b2709 <= 1 e23244: b2703 - b2704 + b2710 <= 1 e23245: b2703 - b2704 + b2711 <= 1 e23246: b2704 - b2705 + b2706 <= 1 e23247: b2704 - b2705 + b2707 <= 1 e23248: b2704 - b2705 + b2708 <= 1 e23249: b2704 - b2705 + b2709 <= 1 e23250: b2704 - b2705 + b2710 <= 1 e23251: b2704 - b2705 + b2711 <= 1 e23252: b2704 - b2705 + b2712 <= 1 e23253: b2705 - b2706 + b2707 <= 1 e23254: b2705 - b2706 + b2708 <= 1 e23255: b2705 - b2706 + b2709 <= 1 e23256: b2705 - b2706 + b2710 <= 1 e23257: b2705 - b2706 + b2711 <= 1 e23258: b2705 - b2706 + b2712 <= 1 e23259: b2705 - b2706 + b2713 <= 1 e23260: b2706 - b2707 + b2708 <= 1 e23261: b2706 - b2707 + b2709 <= 1 e23262: b2706 - b2707 + b2710 <= 1 e23263: b2706 - b2707 + b2711 <= 1 e23264: b2706 - b2707 + b2712 <= 1 e23265: b2706 - b2707 + b2713 <= 1 e23266: b2707 - b2708 + b2709 <= 1 e23267: b2707 - b2708 + b2710 <= 1 e23268: b2707 - b2708 + b2711 <= 1 e23269: b2707 - b2708 + b2712 <= 1 e23270: b2707 - b2708 + b2713 <= 1 e23271: b2708 - b2709 + b2710 <= 1 e23272: b2708 - b2709 + b2711 <= 1 e23273: b2708 - b2709 + b2712 <= 1 e23274: b2708 - b2709 + b2713 <= 1 e23275: b2709 - b2710 + b2711 <= 1 e23276: b2709 - b2710 + b2712 <= 1 e23277: b2709 - b2710 + b2713 <= 1 e23278: b2710 - b2711 + b2712 <= 1 e23279: b2710 - b2711 + b2713 <= 1 e23280: b2711 - b2712 + b2713 <= 1 e23281: b2693 - b2694 + b2695 >= 0 e23282: b2693 - b2694 + b2696 >= 0 e23283: b2693 - b2694 + b2697 >= 0 e23284: b2693 - b2694 + b2698 >= 0 e23285: b2693 - b2694 + b2699 >= 0 e23286: b2693 - b2694 + b2700 >= 0 e23287: b2694 - b2695 + b2696 >= 0 e23288: b2694 - b2695 + b2697 >= 0 e23289: b2694 - b2695 + b2698 >= 0 e23290: b2694 - b2695 + b2699 >= 0 e23291: b2694 - b2695 + b2700 >= 0 e23292: b2694 - b2695 + b2701 >= 0 e23293: b2695 - b2696 + b2697 >= 0 e23294: b2695 - b2696 + b2698 >= 0 e23295: b2695 - b2696 + b2699 >= 0 e23296: b2695 - b2696 + b2700 >= 0 e23297: b2695 - b2696 + b2701 >= 0 e23298: b2695 - b2696 + b2702 >= 0 e23299: b2696 - b2697 + b2698 >= 0 e23300: b2696 - b2697 + b2699 >= 0 e23301: b2696 - b2697 + b2700 >= 0 e23302: b2696 - b2697 + b2701 >= 0 e23303: b2696 - b2697 + b2702 >= 0 e23304: b2696 - b2697 + b2703 >= 0 e23305: b2697 - b2698 + b2699 >= 0 e23306: b2697 - b2698 + b2700 >= 0 e23307: b2697 - b2698 + b2701 >= 0 e23308: b2697 - b2698 + b2702 >= 0 e23309: b2697 - b2698 + b2703 >= 0 e23310: b2697 - b2698 + b2704 >= 0 e23311: b2698 - b2699 + b2700 >= 0 e23312: b2698 - b2699 + b2701 >= 0 e23313: b2698 - b2699 + b2702 >= 0 e23314: b2698 - b2699 + b2703 >= 0 e23315: b2698 - b2699 + b2704 >= 0 e23316: b2698 - b2699 + b2705 >= 0 e23317: b2699 - b2700 + b2701 >= 0 e23318: b2699 - b2700 + b2702 >= 0 e23319: b2699 - b2700 + b2703 >= 0 e23320: b2699 - b2700 + b2704 >= 0 e23321: b2699 - b2700 + b2705 >= 0 e23322: b2699 - b2700 + b2706 >= 0 e23323: b2700 - b2701 + b2702 >= 0 e23324: b2700 - b2701 + b2703 >= 0 e23325: b2700 - b2701 + b2704 >= 0 e23326: b2700 - b2701 + b2705 >= 0 e23327: b2700 - b2701 + b2706 >= 0 e23328: b2700 - b2701 + b2707 >= 0 e23329: b2701 - b2702 + b2703 >= 0 e23330: b2701 - b2702 + b2704 >= 0 e23331: b2701 - b2702 + b2705 >= 0 e23332: b2701 - b2702 + b2706 >= 0 e23333: b2701 - b2702 + b2707 >= 0 e23334: b2701 - b2702 + b2708 >= 0 e23335: b2702 - b2703 + b2704 >= 0 e23336: b2702 - b2703 + b2705 >= 0 e23337: b2702 - b2703 + b2706 >= 0 e23338: b2702 - b2703 + b2707 >= 0 e23339: b2702 - b2703 + b2708 >= 0 e23340: b2702 - b2703 + b2709 >= 0 e23341: b2703 - b2704 + b2705 >= 0 e23342: b2703 - b2704 + b2706 >= 0 e23343: b2703 - b2704 + b2707 >= 0 e23344: b2703 - b2704 + b2708 >= 0 e23345: b2703 - b2704 + b2709 >= 0 e23346: b2703 - b2704 + b2710 >= 0 e23347: b2704 - b2705 + b2706 >= 0 e23348: b2704 - b2705 + b2707 >= 0 e23349: b2704 - b2705 + b2708 >= 0 e23350: b2704 - b2705 + b2709 >= 0 e23351: b2704 - b2705 + b2710 >= 0 e23352: b2704 - b2705 + b2711 >= 0 e23353: b2705 - b2706 + b2707 >= 0 e23354: b2705 - b2706 + b2708 >= 0 e23355: b2705 - b2706 + b2709 >= 0 e23356: b2705 - b2706 + b2710 >= 0 e23357: b2705 - b2706 + b2711 >= 0 e23358: b2705 - b2706 + b2712 >= 0 e23359: b2706 - b2707 + b2708 >= 0 e23360: b2706 - b2707 + b2709 >= 0 e23361: b2706 - b2707 + b2710 >= 0 e23362: b2706 - b2707 + b2711 >= 0 e23363: b2706 - b2707 + b2712 >= 0 e23364: b2706 - b2707 + b2713 >= 0 e23365: b2707 - b2708 + b2709 >= 0 e23366: b2707 - b2708 + b2710 >= 0 e23367: b2707 - b2708 + b2711 >= 0 e23368: b2707 - b2708 + b2712 >= 0 e23369: b2707 - b2708 + b2713 >= 0 e23370: b2708 - b2709 + b2710 >= 0 e23371: b2708 - b2709 + b2711 >= 0 e23372: b2708 - b2709 + b2712 >= 0 e23373: b2708 - b2709 + b2713 >= 0 e23374: b2709 - b2710 + b2711 >= 0 e23375: b2709 - b2710 + b2712 >= 0 e23376: b2709 - b2710 + b2713 >= 0 e23377: b2710 - b2711 + b2712 >= 0 e23378: b2710 - b2711 + b2713 >= 0 e23379: b2711 - b2712 + b2713 >= 0 e23380: b2714 = 0 e23381: b2714 - b2715 + b2716 <= 1 e23382: b2714 - b2715 + b2717 <= 1 e23383: b2714 - b2715 + b2718 <= 1 e23384: b2714 - b2715 + b2719 <= 1 e23385: b2714 - b2715 + b2720 <= 1 e23386: b2714 - b2715 + b2721 <= 1 e23387: b2714 - b2715 + b2722 <= 1 e23388: b2715 - b2716 + b2717 <= 1 e23389: b2715 - b2716 + b2718 <= 1 e23390: b2715 - b2716 + b2719 <= 1 e23391: b2715 - b2716 + b2720 <= 1 e23392: b2715 - b2716 + b2721 <= 1 e23393: b2715 - b2716 + b2722 <= 1 e23394: b2715 - b2716 + b2723 <= 1 e23395: b2716 - b2717 + b2718 <= 1 e23396: b2716 - b2717 + b2719 <= 1 e23397: b2716 - b2717 + b2720 <= 1 e23398: b2716 - b2717 + b2721 <= 1 e23399: b2716 - b2717 + b2722 <= 1 e23400: b2716 - b2717 + b2723 <= 1 e23401: b2716 - b2717 + b2724 <= 1 e23402: b2717 - b2718 + b2719 <= 1 e23403: b2717 - b2718 + b2720 <= 1 e23404: b2717 - b2718 + b2721 <= 1 e23405: b2717 - b2718 + b2722 <= 1 e23406: b2717 - b2718 + b2723 <= 1 e23407: b2717 - b2718 + b2724 <= 1 e23408: b2717 - b2718 + b2725 <= 1 e23409: b2718 - b2719 + b2720 <= 1 e23410: b2718 - b2719 + b2721 <= 1 e23411: b2718 - b2719 + b2722 <= 1 e23412: b2718 - b2719 + b2723 <= 1 e23413: b2718 - b2719 + b2724 <= 1 e23414: b2718 - b2719 + b2725 <= 1 e23415: b2718 - b2719 + b2726 <= 1 e23416: b2719 - b2720 + b2721 <= 1 e23417: b2719 - b2720 + b2722 <= 1 e23418: b2719 - b2720 + b2723 <= 1 e23419: b2719 - b2720 + b2724 <= 1 e23420: b2719 - b2720 + b2725 <= 1 e23421: b2719 - b2720 + b2726 <= 1 e23422: b2719 - b2720 + b2727 <= 1 e23423: b2720 - b2721 + b2722 <= 1 e23424: b2720 - b2721 + b2723 <= 1 e23425: b2720 - b2721 + b2724 <= 1 e23426: b2720 - b2721 + b2725 <= 1 e23427: b2720 - b2721 + b2726 <= 1 e23428: b2720 - b2721 + b2727 <= 1 e23429: b2720 - b2721 + b2728 <= 1 e23430: b2721 - b2722 + b2723 <= 1 e23431: b2721 - b2722 + b2724 <= 1 e23432: b2721 - b2722 + b2725 <= 1 e23433: b2721 - b2722 + b2726 <= 1 e23434: b2721 - b2722 + b2727 <= 1 e23435: b2721 - b2722 + b2728 <= 1 e23436: b2721 - b2722 + b2729 <= 1 e23437: b2722 - b2723 + b2724 <= 1 e23438: b2722 - b2723 + b2725 <= 1 e23439: b2722 - b2723 + b2726 <= 1 e23440: b2722 - b2723 + b2727 <= 1 e23441: b2722 - b2723 + b2728 <= 1 e23442: b2722 - b2723 + b2729 <= 1 e23443: b2722 - b2723 + b2730 <= 1 e23444: b2723 - b2724 + b2725 <= 1 e23445: b2723 - b2724 + b2726 <= 1 e23446: b2723 - b2724 + b2727 <= 1 e23447: b2723 - b2724 + b2728 <= 1 e23448: b2723 - b2724 + b2729 <= 1 e23449: b2723 - b2724 + b2730 <= 1 e23450: b2723 - b2724 + b2731 <= 1 e23451: b2724 - b2725 + b2726 <= 1 e23452: b2724 - b2725 + b2727 <= 1 e23453: b2724 - b2725 + b2728 <= 1 e23454: b2724 - b2725 + b2729 <= 1 e23455: b2724 - b2725 + b2730 <= 1 e23456: b2724 - b2725 + b2731 <= 1 e23457: b2724 - b2725 + b2732 <= 1 e23458: b2725 - b2726 + b2727 <= 1 e23459: b2725 - b2726 + b2728 <= 1 e23460: b2725 - b2726 + b2729 <= 1 e23461: b2725 - b2726 + b2730 <= 1 e23462: b2725 - b2726 + b2731 <= 1 e23463: b2725 - b2726 + b2732 <= 1 e23464: b2725 - b2726 + b2733 <= 1 e23465: b2726 - b2727 + b2728 <= 1 e23466: b2726 - b2727 + b2729 <= 1 e23467: b2726 - b2727 + b2730 <= 1 e23468: b2726 - b2727 + b2731 <= 1 e23469: b2726 - b2727 + b2732 <= 1 e23470: b2726 - b2727 + b2733 <= 1 e23471: b2726 - b2727 + b2734 <= 1 e23472: b2727 - b2728 + b2729 <= 1 e23473: b2727 - b2728 + b2730 <= 1 e23474: b2727 - b2728 + b2731 <= 1 e23475: b2727 - b2728 + b2732 <= 1 e23476: b2727 - b2728 + b2733 <= 1 e23477: b2727 - b2728 + b2734 <= 1 e23478: b2727 - b2728 + b2735 <= 1 e23479: b2728 - b2729 + b2730 <= 1 e23480: b2728 - b2729 + b2731 <= 1 e23481: b2728 - b2729 + b2732 <= 1 e23482: b2728 - b2729 + b2733 <= 1 e23483: b2728 - b2729 + b2734 <= 1 e23484: b2728 - b2729 + b2735 <= 1 e23485: b2728 - b2729 + b2736 <= 1 e23486: b2729 - b2730 + b2731 <= 1 e23487: b2729 - b2730 + b2732 <= 1 e23488: b2729 - b2730 + b2733 <= 1 e23489: b2729 - b2730 + b2734 <= 1 e23490: b2729 - b2730 + b2735 <= 1 e23491: b2729 - b2730 + b2736 <= 1 e23492: b2729 - b2730 + b2737 <= 1 e23493: b2730 - b2731 + b2732 <= 1 e23494: b2730 - b2731 + b2733 <= 1 e23495: b2730 - b2731 + b2734 <= 1 e23496: b2730 - b2731 + b2735 <= 1 e23497: b2730 - b2731 + b2736 <= 1 e23498: b2730 - b2731 + b2737 <= 1 e23499: b2731 - b2732 + b2733 <= 1 e23500: b2731 - b2732 + b2734 <= 1 e23501: b2731 - b2732 + b2735 <= 1 e23502: b2731 - b2732 + b2736 <= 1 e23503: b2731 - b2732 + b2737 <= 1 e23504: b2732 - b2733 + b2734 <= 1 e23505: b2732 - b2733 + b2735 <= 1 e23506: b2732 - b2733 + b2736 <= 1 e23507: b2732 - b2733 + b2737 <= 1 e23508: b2733 - b2734 + b2735 <= 1 e23509: b2733 - b2734 + b2736 <= 1 e23510: b2733 - b2734 + b2737 <= 1 e23511: b2734 - b2735 + b2736 <= 1 e23512: b2734 - b2735 + b2737 <= 1 e23513: b2735 - b2736 + b2737 <= 1 e23514: b2714 - b2715 + b2716 >= 0 e23515: b2714 - b2715 + b2717 >= 0 e23516: b2714 - b2715 + b2718 >= 0 e23517: b2714 - b2715 + b2719 >= 0 e23518: b2714 - b2715 + b2720 >= 0 e23519: b2714 - b2715 + b2721 >= 0 e23520: b2714 - b2715 + b2722 >= 0 e23521: b2715 - b2716 + b2717 >= 0 e23522: b2715 - b2716 + b2718 >= 0 e23523: b2715 - b2716 + b2719 >= 0 e23524: b2715 - b2716 + b2720 >= 0 e23525: b2715 - b2716 + b2721 >= 0 e23526: b2715 - b2716 + b2722 >= 0 e23527: b2715 - b2716 + b2723 >= 0 e23528: b2716 - b2717 + b2718 >= 0 e23529: b2716 - b2717 + b2719 >= 0 e23530: b2716 - b2717 + b2720 >= 0 e23531: b2716 - b2717 + b2721 >= 0 e23532: b2716 - b2717 + b2722 >= 0 e23533: b2716 - b2717 + b2723 >= 0 e23534: b2716 - b2717 + b2724 >= 0 e23535: b2717 - b2718 + b2719 >= 0 e23536: b2717 - b2718 + b2720 >= 0 e23537: b2717 - b2718 + b2721 >= 0 e23538: b2717 - b2718 + b2722 >= 0 e23539: b2717 - b2718 + b2723 >= 0 e23540: b2717 - b2718 + b2724 >= 0 e23541: b2717 - b2718 + b2725 >= 0 e23542: b2718 - b2719 + b2720 >= 0 e23543: b2718 - b2719 + b2721 >= 0 e23544: b2718 - b2719 + b2722 >= 0 e23545: b2718 - b2719 + b2723 >= 0 e23546: b2718 - b2719 + b2724 >= 0 e23547: b2718 - b2719 + b2725 >= 0 e23548: b2718 - b2719 + b2726 >= 0 e23549: b2719 - b2720 + b2721 >= 0 e23550: b2719 - b2720 + b2722 >= 0 e23551: b2719 - b2720 + b2723 >= 0 e23552: b2719 - b2720 + b2724 >= 0 e23553: b2719 - b2720 + b2725 >= 0 e23554: b2719 - b2720 + b2726 >= 0 e23555: b2719 - b2720 + b2727 >= 0 e23556: b2720 - b2721 + b2722 >= 0 e23557: b2720 - b2721 + b2723 >= 0 e23558: b2720 - b2721 + b2724 >= 0 e23559: b2720 - b2721 + b2725 >= 0 e23560: b2720 - b2721 + b2726 >= 0 e23561: b2720 - b2721 + b2727 >= 0 e23562: b2720 - b2721 + b2728 >= 0 e23563: b2721 - b2722 + b2723 >= 0 e23564: b2721 - b2722 + b2724 >= 0 e23565: b2721 - b2722 + b2725 >= 0 e23566: b2721 - b2722 + b2726 >= 0 e23567: b2721 - b2722 + b2727 >= 0 e23568: b2721 - b2722 + b2728 >= 0 e23569: b2721 - b2722 + b2729 >= 0 e23570: b2722 - b2723 + b2724 >= 0 e23571: b2722 - b2723 + b2725 >= 0 e23572: b2722 - b2723 + b2726 >= 0 e23573: b2722 - b2723 + b2727 >= 0 e23574: b2722 - b2723 + b2728 >= 0 e23575: b2722 - b2723 + b2729 >= 0 e23576: b2722 - b2723 + b2730 >= 0 e23577: b2723 - b2724 + b2725 >= 0 e23578: b2723 - b2724 + b2726 >= 0 e23579: b2723 - b2724 + b2727 >= 0 e23580: b2723 - b2724 + b2728 >= 0 e23581: b2723 - b2724 + b2729 >= 0 e23582: b2723 - b2724 + b2730 >= 0 e23583: b2723 - b2724 + b2731 >= 0 e23584: b2724 - b2725 + b2726 >= 0 e23585: b2724 - b2725 + b2727 >= 0 e23586: b2724 - b2725 + b2728 >= 0 e23587: b2724 - b2725 + b2729 >= 0 e23588: b2724 - b2725 + b2730 >= 0 e23589: b2724 - b2725 + b2731 >= 0 e23590: b2724 - b2725 + b2732 >= 0 e23591: b2725 - b2726 + b2727 >= 0 e23592: b2725 - b2726 + b2728 >= 0 e23593: b2725 - b2726 + b2729 >= 0 e23594: b2725 - b2726 + b2730 >= 0 e23595: b2725 - b2726 + b2731 >= 0 e23596: b2725 - b2726 + b2732 >= 0 e23597: b2725 - b2726 + b2733 >= 0 e23598: b2726 - b2727 + b2728 >= 0 e23599: b2726 - b2727 + b2729 >= 0 e23600: b2726 - b2727 + b2730 >= 0 e23601: b2726 - b2727 + b2731 >= 0 e23602: b2726 - b2727 + b2732 >= 0 e23603: b2726 - b2727 + b2733 >= 0 e23604: b2726 - b2727 + b2734 >= 0 e23605: b2727 - b2728 + b2729 >= 0 e23606: b2727 - b2728 + b2730 >= 0 e23607: b2727 - b2728 + b2731 >= 0 e23608: b2727 - b2728 + b2732 >= 0 e23609: b2727 - b2728 + b2733 >= 0 e23610: b2727 - b2728 + b2734 >= 0 e23611: b2727 - b2728 + b2735 >= 0 e23612: b2728 - b2729 + b2730 >= 0 e23613: b2728 - b2729 + b2731 >= 0 e23614: b2728 - b2729 + b2732 >= 0 e23615: b2728 - b2729 + b2733 >= 0 e23616: b2728 - b2729 + b2734 >= 0 e23617: b2728 - b2729 + b2735 >= 0 e23618: b2728 - b2729 + b2736 >= 0 e23619: b2729 - b2730 + b2731 >= 0 e23620: b2729 - b2730 + b2732 >= 0 e23621: b2729 - b2730 + b2733 >= 0 e23622: b2729 - b2730 + b2734 >= 0 e23623: b2729 - b2730 + b2735 >= 0 e23624: b2729 - b2730 + b2736 >= 0 e23625: b2729 - b2730 + b2737 >= 0 e23626: b2730 - b2731 + b2732 >= 0 e23627: b2730 - b2731 + b2733 >= 0 e23628: b2730 - b2731 + b2734 >= 0 e23629: b2730 - b2731 + b2735 >= 0 e23630: b2730 - b2731 + b2736 >= 0 e23631: b2730 - b2731 + b2737 >= 0 e23632: b2731 - b2732 + b2733 >= 0 e23633: b2731 - b2732 + b2734 >= 0 e23634: b2731 - b2732 + b2735 >= 0 e23635: b2731 - b2732 + b2736 >= 0 e23636: b2731 - b2732 + b2737 >= 0 e23637: b2732 - b2733 + b2734 >= 0 e23638: b2732 - b2733 + b2735 >= 0 e23639: b2732 - b2733 + b2736 >= 0 e23640: b2732 - b2733 + b2737 >= 0 e23641: b2733 - b2734 + b2735 >= 0 e23642: b2733 - b2734 + b2736 >= 0 e23643: b2733 - b2734 + b2737 >= 0 e23644: b2734 - b2735 + b2736 >= 0 e23645: b2734 - b2735 + b2737 >= 0 e23646: b2735 - b2736 + b2737 >= 0 e23647: b2738 = 0 e23648: b2739 = 0 e23649: b2740 = 0 e23650: b2740 - b2741 + b2742 <= 1 e23651: b2740 - b2741 + b2743 <= 1 e23652: b2740 - b2741 + b2744 <= 1 e23653: b2740 - b2741 + b2745 <= 1 e23654: b2740 - b2741 + b2746 <= 1 e23655: b2740 - b2741 + b2747 <= 1 e23656: b2740 - b2741 + b2748 <= 1 e23657: b2741 - b2742 + b2743 <= 1 e23658: b2741 - b2742 + b2744 <= 1 e23659: b2741 - b2742 + b2745 <= 1 e23660: b2741 - b2742 + b2746 <= 1 e23661: b2741 - b2742 + b2747 <= 1 e23662: b2741 - b2742 + b2748 <= 1 e23663: b2741 - b2742 + b2749 <= 1 e23664: b2742 - b2743 + b2744 <= 1 e23665: b2742 - b2743 + b2745 <= 1 e23666: b2742 - b2743 + b2746 <= 1 e23667: b2742 - b2743 + b2747 <= 1 e23668: b2742 - b2743 + b2748 <= 1 e23669: b2742 - b2743 + b2749 <= 1 e23670: b2742 - b2743 + b2750 <= 1 e23671: b2743 - b2744 + b2745 <= 1 e23672: b2743 - b2744 + b2746 <= 1 e23673: b2743 - b2744 + b2747 <= 1 e23674: b2743 - b2744 + b2748 <= 1 e23675: b2743 - b2744 + b2749 <= 1 e23676: b2743 - b2744 + b2750 <= 1 e23677: b2743 - b2744 + b2751 <= 1 e23678: b2744 - b2745 + b2746 <= 1 e23679: b2744 - b2745 + b2747 <= 1 e23680: b2744 - b2745 + b2748 <= 1 e23681: b2744 - b2745 + b2749 <= 1 e23682: b2744 - b2745 + b2750 <= 1 e23683: b2744 - b2745 + b2751 <= 1 e23684: b2744 - b2745 + b2752 <= 1 e23685: b2745 - b2746 + b2747 <= 1 e23686: b2745 - b2746 + b2748 <= 1 e23687: b2745 - b2746 + b2749 <= 1 e23688: b2745 - b2746 + b2750 <= 1 e23689: b2745 - b2746 + b2751 <= 1 e23690: b2745 - b2746 + b2752 <= 1 e23691: b2745 - b2746 + b2753 <= 1 e23692: b2746 - b2747 + b2748 <= 1 e23693: b2746 - b2747 + b2749 <= 1 e23694: b2746 - b2747 + b2750 <= 1 e23695: b2746 - b2747 + b2751 <= 1 e23696: b2746 - b2747 + b2752 <= 1 e23697: b2746 - b2747 + b2753 <= 1 e23698: b2746 - b2747 + b2754 <= 1 e23699: b2747 - b2748 + b2749 <= 1 e23700: b2747 - b2748 + b2750 <= 1 e23701: b2747 - b2748 + b2751 <= 1 e23702: b2747 - b2748 + b2752 <= 1 e23703: b2747 - b2748 + b2753 <= 1 e23704: b2747 - b2748 + b2754 <= 1 e23705: b2747 - b2748 + b2755 <= 1 e23706: b2748 - b2749 + b2750 <= 1 e23707: b2748 - b2749 + b2751 <= 1 e23708: b2748 - b2749 + b2752 <= 1 e23709: b2748 - b2749 + b2753 <= 1 e23710: b2748 - b2749 + b2754 <= 1 e23711: b2748 - b2749 + b2755 <= 1 e23712: b2748 - b2749 + b2756 <= 1 e23713: b2749 - b2750 + b2751 <= 1 e23714: b2749 - b2750 + b2752 <= 1 e23715: b2749 - b2750 + b2753 <= 1 e23716: b2749 - b2750 + b2754 <= 1 e23717: b2749 - b2750 + b2755 <= 1 e23718: b2749 - b2750 + b2756 <= 1 e23719: b2749 - b2750 + b2757 <= 1 e23720: b2750 - b2751 + b2752 <= 1 e23721: b2750 - b2751 + b2753 <= 1 e23722: b2750 - b2751 + b2754 <= 1 e23723: b2750 - b2751 + b2755 <= 1 e23724: b2750 - b2751 + b2756 <= 1 e23725: b2750 - b2751 + b2757 <= 1 e23726: b2750 - b2751 + b2758 <= 1 e23727: b2751 - b2752 + b2753 <= 1 e23728: b2751 - b2752 + b2754 <= 1 e23729: b2751 - b2752 + b2755 <= 1 e23730: b2751 - b2752 + b2756 <= 1 e23731: b2751 - b2752 + b2757 <= 1 e23732: b2751 - b2752 + b2758 <= 1 e23733: b2751 - b2752 + b2759 <= 1 e23734: b2752 - b2753 + b2754 <= 1 e23735: b2752 - b2753 + b2755 <= 1 e23736: b2752 - b2753 + b2756 <= 1 e23737: b2752 - b2753 + b2757 <= 1 e23738: b2752 - b2753 + b2758 <= 1 e23739: b2752 - b2753 + b2759 <= 1 e23740: b2752 - b2753 + b2760 <= 1 e23741: b2753 - b2754 + b2755 <= 1 e23742: b2753 - b2754 + b2756 <= 1 e23743: b2753 - b2754 + b2757 <= 1 e23744: b2753 - b2754 + b2758 <= 1 e23745: b2753 - b2754 + b2759 <= 1 e23746: b2753 - b2754 + b2760 <= 1 e23747: b2753 - b2754 + b2761 <= 1 e23748: b2754 - b2755 + b2756 <= 1 e23749: b2754 - b2755 + b2757 <= 1 e23750: b2754 - b2755 + b2758 <= 1 e23751: b2754 - b2755 + b2759 <= 1 e23752: b2754 - b2755 + b2760 <= 1 e23753: b2754 - b2755 + b2761 <= 1 e23754: b2755 - b2756 + b2757 <= 1 e23755: b2755 - b2756 + b2758 <= 1 e23756: b2755 - b2756 + b2759 <= 1 e23757: b2755 - b2756 + b2760 <= 1 e23758: b2755 - b2756 + b2761 <= 1 e23759: b2756 - b2757 + b2758 <= 1 e23760: b2756 - b2757 + b2759 <= 1 e23761: b2756 - b2757 + b2760 <= 1 e23762: b2756 - b2757 + b2761 <= 1 e23763: b2757 - b2758 + b2759 <= 1 e23764: b2757 - b2758 + b2760 <= 1 e23765: b2757 - b2758 + b2761 <= 1 e23766: b2758 - b2759 + b2760 <= 1 e23767: b2758 - b2759 + b2761 <= 1 e23768: b2759 - b2760 + b2761 <= 1 e23769: b2740 - b2741 + b2742 >= 0 e23770: b2740 - b2741 + b2743 >= 0 e23771: b2740 - b2741 + b2744 >= 0 e23772: b2740 - b2741 + b2745 >= 0 e23773: b2740 - b2741 + b2746 >= 0 e23774: b2740 - b2741 + b2747 >= 0 e23775: b2740 - b2741 + b2748 >= 0 e23776: b2741 - b2742 + b2743 >= 0 e23777: b2741 - b2742 + b2744 >= 0 e23778: b2741 - b2742 + b2745 >= 0 e23779: b2741 - b2742 + b2746 >= 0 e23780: b2741 - b2742 + b2747 >= 0 e23781: b2741 - b2742 + b2748 >= 0 e23782: b2741 - b2742 + b2749 >= 0 e23783: b2742 - b2743 + b2744 >= 0 e23784: b2742 - b2743 + b2745 >= 0 e23785: b2742 - b2743 + b2746 >= 0 e23786: b2742 - b2743 + b2747 >= 0 e23787: b2742 - b2743 + b2748 >= 0 e23788: b2742 - b2743 + b2749 >= 0 e23789: b2742 - b2743 + b2750 >= 0 e23790: b2743 - b2744 + b2745 >= 0 e23791: b2743 - b2744 + b2746 >= 0 e23792: b2743 - b2744 + b2747 >= 0 e23793: b2743 - b2744 + b2748 >= 0 e23794: b2743 - b2744 + b2749 >= 0 e23795: b2743 - b2744 + b2750 >= 0 e23796: b2743 - b2744 + b2751 >= 0 e23797: b2744 - b2745 + b2746 >= 0 e23798: b2744 - b2745 + b2747 >= 0 e23799: b2744 - b2745 + b2748 >= 0 e23800: b2744 - b2745 + b2749 >= 0 e23801: b2744 - b2745 + b2750 >= 0 e23802: b2744 - b2745 + b2751 >= 0 e23803: b2744 - b2745 + b2752 >= 0 e23804: b2745 - b2746 + b2747 >= 0 e23805: b2745 - b2746 + b2748 >= 0 e23806: b2745 - b2746 + b2749 >= 0 e23807: b2745 - b2746 + b2750 >= 0 e23808: b2745 - b2746 + b2751 >= 0 e23809: b2745 - b2746 + b2752 >= 0 e23810: b2745 - b2746 + b2753 >= 0 e23811: b2746 - b2747 + b2748 >= 0 e23812: b2746 - b2747 + b2749 >= 0 e23813: b2746 - b2747 + b2750 >= 0 e23814: b2746 - b2747 + b2751 >= 0 e23815: b2746 - b2747 + b2752 >= 0 e23816: b2746 - b2747 + b2753 >= 0 e23817: b2746 - b2747 + b2754 >= 0 e23818: b2747 - b2748 + b2749 >= 0 e23819: b2747 - b2748 + b2750 >= 0 e23820: b2747 - b2748 + b2751 >= 0 e23821: b2747 - b2748 + b2752 >= 0 e23822: b2747 - b2748 + b2753 >= 0 e23823: b2747 - b2748 + b2754 >= 0 e23824: b2747 - b2748 + b2755 >= 0 e23825: b2748 - b2749 + b2750 >= 0 e23826: b2748 - b2749 + b2751 >= 0 e23827: b2748 - b2749 + b2752 >= 0 e23828: b2748 - b2749 + b2753 >= 0 e23829: b2748 - b2749 + b2754 >= 0 e23830: b2748 - b2749 + b2755 >= 0 e23831: b2748 - b2749 + b2756 >= 0 e23832: b2749 - b2750 + b2751 >= 0 e23833: b2749 - b2750 + b2752 >= 0 e23834: b2749 - b2750 + b2753 >= 0 e23835: b2749 - b2750 + b2754 >= 0 e23836: b2749 - b2750 + b2755 >= 0 e23837: b2749 - b2750 + b2756 >= 0 e23838: b2749 - b2750 + b2757 >= 0 e23839: b2750 - b2751 + b2752 >= 0 e23840: b2750 - b2751 + b2753 >= 0 e23841: b2750 - b2751 + b2754 >= 0 e23842: b2750 - b2751 + b2755 >= 0 e23843: b2750 - b2751 + b2756 >= 0 e23844: b2750 - b2751 + b2757 >= 0 e23845: b2750 - b2751 + b2758 >= 0 e23846: b2751 - b2752 + b2753 >= 0 e23847: b2751 - b2752 + b2754 >= 0 e23848: b2751 - b2752 + b2755 >= 0 e23849: b2751 - b2752 + b2756 >= 0 e23850: b2751 - b2752 + b2757 >= 0 e23851: b2751 - b2752 + b2758 >= 0 e23852: b2751 - b2752 + b2759 >= 0 e23853: b2752 - b2753 + b2754 >= 0 e23854: b2752 - b2753 + b2755 >= 0 e23855: b2752 - b2753 + b2756 >= 0 e23856: b2752 - b2753 + b2757 >= 0 e23857: b2752 - b2753 + b2758 >= 0 e23858: b2752 - b2753 + b2759 >= 0 e23859: b2752 - b2753 + b2760 >= 0 e23860: b2753 - b2754 + b2755 >= 0 e23861: b2753 - b2754 + b2756 >= 0 e23862: b2753 - b2754 + b2757 >= 0 e23863: b2753 - b2754 + b2758 >= 0 e23864: b2753 - b2754 + b2759 >= 0 e23865: b2753 - b2754 + b2760 >= 0 e23866: b2753 - b2754 + b2761 >= 0 e23867: b2754 - b2755 + b2756 >= 0 e23868: b2754 - b2755 + b2757 >= 0 e23869: b2754 - b2755 + b2758 >= 0 e23870: b2754 - b2755 + b2759 >= 0 e23871: b2754 - b2755 + b2760 >= 0 e23872: b2754 - b2755 + b2761 >= 0 e23873: b2755 - b2756 + b2757 >= 0 e23874: b2755 - b2756 + b2758 >= 0 e23875: b2755 - b2756 + b2759 >= 0 e23876: b2755 - b2756 + b2760 >= 0 e23877: b2755 - b2756 + b2761 >= 0 e23878: b2756 - b2757 + b2758 >= 0 e23879: b2756 - b2757 + b2759 >= 0 e23880: b2756 - b2757 + b2760 >= 0 e23881: b2756 - b2757 + b2761 >= 0 e23882: b2757 - b2758 + b2759 >= 0 e23883: b2757 - b2758 + b2760 >= 0 e23884: b2757 - b2758 + b2761 >= 0 e23885: b2758 - b2759 + b2760 >= 0 e23886: b2758 - b2759 + b2761 >= 0 e23887: b2759 - b2760 + b2761 >= 0 e23888: b2762 = 1 e23889: b2762 - b2763 + b2764 <= 1 e23890: b2762 - b2763 + b2765 <= 1 e23891: b2762 - b2763 + b2766 <= 1 e23892: b2762 - b2763 + b2767 <= 1 e23893: b2762 - b2763 + b2768 <= 1 e23894: b2762 - b2763 + b2769 <= 1 e23895: b2762 - b2763 + b2770 <= 1 e23896: b2763 - b2764 + b2765 <= 1 e23897: b2763 - b2764 + b2766 <= 1 e23898: b2763 - b2764 + b2767 <= 1 e23899: b2763 - b2764 + b2768 <= 1 e23900: b2763 - b2764 + b2769 <= 1 e23901: b2763 - b2764 + b2770 <= 1 e23902: b2763 - b2764 + b2771 <= 1 e23903: b2764 - b2765 + b2766 <= 1 e23904: b2764 - b2765 + b2767 <= 1 e23905: b2764 - b2765 + b2768 <= 1 e23906: b2764 - b2765 + b2769 <= 1 e23907: b2764 - b2765 + b2770 <= 1 e23908: b2764 - b2765 + b2771 <= 1 e23909: b2764 - b2765 + b2772 <= 1 e23910: b2765 - b2766 + b2767 <= 1 e23911: b2765 - b2766 + b2768 <= 1 e23912: b2765 - b2766 + b2769 <= 1 e23913: b2765 - b2766 + b2770 <= 1 e23914: b2765 - b2766 + b2771 <= 1 e23915: b2765 - b2766 + b2772 <= 1 e23916: b2765 - b2766 + b2773 <= 1 e23917: b2766 - b2767 + b2768 <= 1 e23918: b2766 - b2767 + b2769 <= 1 e23919: b2766 - b2767 + b2770 <= 1 e23920: b2766 - b2767 + b2771 <= 1 e23921: b2766 - b2767 + b2772 <= 1 e23922: b2766 - b2767 + b2773 <= 1 e23923: b2766 - b2767 + b2774 <= 1 e23924: b2767 - b2768 + b2769 <= 1 e23925: b2767 - b2768 + b2770 <= 1 e23926: b2767 - b2768 + b2771 <= 1 e23927: b2767 - b2768 + b2772 <= 1 e23928: b2767 - b2768 + b2773 <= 1 e23929: b2767 - b2768 + b2774 <= 1 e23930: b2767 - b2768 + b2775 <= 1 e23931: b2768 - b2769 + b2770 <= 1 e23932: b2768 - b2769 + b2771 <= 1 e23933: b2768 - b2769 + b2772 <= 1 e23934: b2768 - b2769 + b2773 <= 1 e23935: b2768 - b2769 + b2774 <= 1 e23936: b2768 - b2769 + b2775 <= 1 e23937: b2768 - b2769 + b2776 <= 1 e23938: b2769 - b2770 + b2771 <= 1 e23939: b2769 - b2770 + b2772 <= 1 e23940: b2769 - b2770 + b2773 <= 1 e23941: b2769 - b2770 + b2774 <= 1 e23942: b2769 - b2770 + b2775 <= 1 e23943: b2769 - b2770 + b2776 <= 1 e23944: b2769 - b2770 + b2777 <= 1 e23945: b2770 - b2771 + b2772 <= 1 e23946: b2770 - b2771 + b2773 <= 1 e23947: b2770 - b2771 + b2774 <= 1 e23948: b2770 - b2771 + b2775 <= 1 e23949: b2770 - b2771 + b2776 <= 1 e23950: b2770 - b2771 + b2777 <= 1 e23951: b2770 - b2771 + b2778 <= 1 e23952: b2771 - b2772 + b2773 <= 1 e23953: b2771 - b2772 + b2774 <= 1 e23954: b2771 - b2772 + b2775 <= 1 e23955: b2771 - b2772 + b2776 <= 1 e23956: b2771 - b2772 + b2777 <= 1 e23957: b2771 - b2772 + b2778 <= 1 e23958: b2771 - b2772 + b2779 <= 1 e23959: b2772 - b2773 + b2774 <= 1 e23960: b2772 - b2773 + b2775 <= 1 e23961: b2772 - b2773 + b2776 <= 1 e23962: b2772 - b2773 + b2777 <= 1 e23963: b2772 - b2773 + b2778 <= 1 e23964: b2772 - b2773 + b2779 <= 1 e23965: b2772 - b2773 + b2780 <= 1 e23966: b2773 - b2774 + b2775 <= 1 e23967: b2773 - b2774 + b2776 <= 1 e23968: b2773 - b2774 + b2777 <= 1 e23969: b2773 - b2774 + b2778 <= 1 e23970: b2773 - b2774 + b2779 <= 1 e23971: b2773 - b2774 + b2780 <= 1 e23972: b2773 - b2774 + b2781 <= 1 e23973: b2774 - b2775 + b2776 <= 1 e23974: b2774 - b2775 + b2777 <= 1 e23975: b2774 - b2775 + b2778 <= 1 e23976: b2774 - b2775 + b2779 <= 1 e23977: b2774 - b2775 + b2780 <= 1 e23978: b2774 - b2775 + b2781 <= 1 e23979: b2774 - b2775 + b2782 <= 1 e23980: b2775 - b2776 + b2777 <= 1 e23981: b2775 - b2776 + b2778 <= 1 e23982: b2775 - b2776 + b2779 <= 1 e23983: b2775 - b2776 + b2780 <= 1 e23984: b2775 - b2776 + b2781 <= 1 e23985: b2775 - b2776 + b2782 <= 1 e23986: b2775 - b2776 + b2783 <= 1 e23987: b2776 - b2777 + b2778 <= 1 e23988: b2776 - b2777 + b2779 <= 1 e23989: b2776 - b2777 + b2780 <= 1 e23990: b2776 - b2777 + b2781 <= 1 e23991: b2776 - b2777 + b2782 <= 1 e23992: b2776 - b2777 + b2783 <= 1 e23993: b2776 - b2777 + b2784 <= 1 e23994: b2777 - b2778 + b2779 <= 1 e23995: b2777 - b2778 + b2780 <= 1 e23996: b2777 - b2778 + b2781 <= 1 e23997: b2777 - b2778 + b2782 <= 1 e23998: b2777 - b2778 + b2783 <= 1 e23999: b2777 - b2778 + b2784 <= 1 e24000: b2777 - b2778 + b2785 <= 1 e24001: b2778 - b2779 + b2780 <= 1 e24002: b2778 - b2779 + b2781 <= 1 e24003: b2778 - b2779 + b2782 <= 1 e24004: b2778 - b2779 + b2783 <= 1 e24005: b2778 - b2779 + b2784 <= 1 e24006: b2778 - b2779 + b2785 <= 1 e24007: b2779 - b2780 + b2781 <= 1 e24008: b2779 - b2780 + b2782 <= 1 e24009: b2779 - b2780 + b2783 <= 1 e24010: b2779 - b2780 + b2784 <= 1 e24011: b2779 - b2780 + b2785 <= 1 e24012: b2780 - b2781 + b2782 <= 1 e24013: b2780 - b2781 + b2783 <= 1 e24014: b2780 - b2781 + b2784 <= 1 e24015: b2780 - b2781 + b2785 <= 1 e24016: b2781 - b2782 + b2783 <= 1 e24017: b2781 - b2782 + b2784 <= 1 e24018: b2781 - b2782 + b2785 <= 1 e24019: b2782 - b2783 + b2784 <= 1 e24020: b2782 - b2783 + b2785 <= 1 e24021: b2783 - b2784 + b2785 <= 1 e24022: b2762 - b2763 + b2764 >= 0 e24023: b2762 - b2763 + b2765 >= 0 e24024: b2762 - b2763 + b2766 >= 0 e24025: b2762 - b2763 + b2767 >= 0 e24026: b2762 - b2763 + b2768 >= 0 e24027: b2762 - b2763 + b2769 >= 0 e24028: b2762 - b2763 + b2770 >= 0 e24029: b2763 - b2764 + b2765 >= 0 e24030: b2763 - b2764 + b2766 >= 0 e24031: b2763 - b2764 + b2767 >= 0 e24032: b2763 - b2764 + b2768 >= 0 e24033: b2763 - b2764 + b2769 >= 0 e24034: b2763 - b2764 + b2770 >= 0 e24035: b2763 - b2764 + b2771 >= 0 e24036: b2764 - b2765 + b2766 >= 0 e24037: b2764 - b2765 + b2767 >= 0 e24038: b2764 - b2765 + b2768 >= 0 e24039: b2764 - b2765 + b2769 >= 0 e24040: b2764 - b2765 + b2770 >= 0 e24041: b2764 - b2765 + b2771 >= 0 e24042: b2764 - b2765 + b2772 >= 0 e24043: b2765 - b2766 + b2767 >= 0 e24044: b2765 - b2766 + b2768 >= 0 e24045: b2765 - b2766 + b2769 >= 0 e24046: b2765 - b2766 + b2770 >= 0 e24047: b2765 - b2766 + b2771 >= 0 e24048: b2765 - b2766 + b2772 >= 0 e24049: b2765 - b2766 + b2773 >= 0 e24050: b2766 - b2767 + b2768 >= 0 e24051: b2766 - b2767 + b2769 >= 0 e24052: b2766 - b2767 + b2770 >= 0 e24053: b2766 - b2767 + b2771 >= 0 e24054: b2766 - b2767 + b2772 >= 0 e24055: b2766 - b2767 + b2773 >= 0 e24056: b2766 - b2767 + b2774 >= 0 e24057: b2767 - b2768 + b2769 >= 0 e24058: b2767 - b2768 + b2770 >= 0 e24059: b2767 - b2768 + b2771 >= 0 e24060: b2767 - b2768 + b2772 >= 0 e24061: b2767 - b2768 + b2773 >= 0 e24062: b2767 - b2768 + b2774 >= 0 e24063: b2767 - b2768 + b2775 >= 0 e24064: b2768 - b2769 + b2770 >= 0 e24065: b2768 - b2769 + b2771 >= 0 e24066: b2768 - b2769 + b2772 >= 0 e24067: b2768 - b2769 + b2773 >= 0 e24068: b2768 - b2769 + b2774 >= 0 e24069: b2768 - b2769 + b2775 >= 0 e24070: b2768 - b2769 + b2776 >= 0 e24071: b2769 - b2770 + b2771 >= 0 e24072: b2769 - b2770 + b2772 >= 0 e24073: b2769 - b2770 + b2773 >= 0 e24074: b2769 - b2770 + b2774 >= 0 e24075: b2769 - b2770 + b2775 >= 0 e24076: b2769 - b2770 + b2776 >= 0 e24077: b2769 - b2770 + b2777 >= 0 e24078: b2770 - b2771 + b2772 >= 0 e24079: b2770 - b2771 + b2773 >= 0 e24080: b2770 - b2771 + b2774 >= 0 e24081: b2770 - b2771 + b2775 >= 0 e24082: b2770 - b2771 + b2776 >= 0 e24083: b2770 - b2771 + b2777 >= 0 e24084: b2770 - b2771 + b2778 >= 0 e24085: b2771 - b2772 + b2773 >= 0 e24086: b2771 - b2772 + b2774 >= 0 e24087: b2771 - b2772 + b2775 >= 0 e24088: b2771 - b2772 + b2776 >= 0 e24089: b2771 - b2772 + b2777 >= 0 e24090: b2771 - b2772 + b2778 >= 0 e24091: b2771 - b2772 + b2779 >= 0 e24092: b2772 - b2773 + b2774 >= 0 e24093: b2772 - b2773 + b2775 >= 0 e24094: b2772 - b2773 + b2776 >= 0 e24095: b2772 - b2773 + b2777 >= 0 e24096: b2772 - b2773 + b2778 >= 0 e24097: b2772 - b2773 + b2779 >= 0 e24098: b2772 - b2773 + b2780 >= 0 e24099: b2773 - b2774 + b2775 >= 0 e24100: b2773 - b2774 + b2776 >= 0 e24101: b2773 - b2774 + b2777 >= 0 e24102: b2773 - b2774 + b2778 >= 0 e24103: b2773 - b2774 + b2779 >= 0 e24104: b2773 - b2774 + b2780 >= 0 e24105: b2773 - b2774 + b2781 >= 0 e24106: b2774 - b2775 + b2776 >= 0 e24107: b2774 - b2775 + b2777 >= 0 e24108: b2774 - b2775 + b2778 >= 0 e24109: b2774 - b2775 + b2779 >= 0 e24110: b2774 - b2775 + b2780 >= 0 e24111: b2774 - b2775 + b2781 >= 0 e24112: b2774 - b2775 + b2782 >= 0 e24113: b2775 - b2776 + b2777 >= 0 e24114: b2775 - b2776 + b2778 >= 0 e24115: b2775 - b2776 + b2779 >= 0 e24116: b2775 - b2776 + b2780 >= 0 e24117: b2775 - b2776 + b2781 >= 0 e24118: b2775 - b2776 + b2782 >= 0 e24119: b2775 - b2776 + b2783 >= 0 e24120: b2776 - b2777 + b2778 >= 0 e24121: b2776 - b2777 + b2779 >= 0 e24122: b2776 - b2777 + b2780 >= 0 e24123: b2776 - b2777 + b2781 >= 0 e24124: b2776 - b2777 + b2782 >= 0 e24125: b2776 - b2777 + b2783 >= 0 e24126: b2776 - b2777 + b2784 >= 0 e24127: b2777 - b2778 + b2779 >= 0 e24128: b2777 - b2778 + b2780 >= 0 e24129: b2777 - b2778 + b2781 >= 0 e24130: b2777 - b2778 + b2782 >= 0 e24131: b2777 - b2778 + b2783 >= 0 e24132: b2777 - b2778 + b2784 >= 0 e24133: b2777 - b2778 + b2785 >= 0 e24134: b2778 - b2779 + b2780 >= 0 e24135: b2778 - b2779 + b2781 >= 0 e24136: b2778 - b2779 + b2782 >= 0 e24137: b2778 - b2779 + b2783 >= 0 e24138: b2778 - b2779 + b2784 >= 0 e24139: b2778 - b2779 + b2785 >= 0 e24140: b2779 - b2780 + b2781 >= 0 e24141: b2779 - b2780 + b2782 >= 0 e24142: b2779 - b2780 + b2783 >= 0 e24143: b2779 - b2780 + b2784 >= 0 e24144: b2779 - b2780 + b2785 >= 0 e24145: b2780 - b2781 + b2782 >= 0 e24146: b2780 - b2781 + b2783 >= 0 e24147: b2780 - b2781 + b2784 >= 0 e24148: b2780 - b2781 + b2785 >= 0 e24149: b2781 - b2782 + b2783 >= 0 e24150: b2781 - b2782 + b2784 >= 0 e24151: b2781 - b2782 + b2785 >= 0 e24152: b2782 - b2783 + b2784 >= 0 e24153: b2782 - b2783 + b2785 >= 0 e24154: b2783 - b2784 + b2785 >= 0 e24155: b2786 = 1 e24156: b2787 = 1 e24157: b2788 = 1 e24158: b2788 - b2789 + b2790 <= 1 e24159: b2788 - b2789 + b2791 <= 1 e24160: b2788 - b2789 + b2792 <= 1 e24161: b2788 - b2789 + b2793 <= 1 e24162: b2788 - b2789 + b2794 <= 1 e24163: b2788 - b2789 + b2795 <= 1 e24164: b2789 - b2790 + b2791 <= 1 e24165: b2789 - b2790 + b2792 <= 1 e24166: b2789 - b2790 + b2793 <= 1 e24167: b2789 - b2790 + b2794 <= 1 e24168: b2789 - b2790 + b2795 <= 1 e24169: b2789 - b2790 + b2796 <= 1 e24170: b2790 - b2791 + b2792 <= 1 e24171: b2790 - b2791 + b2793 <= 1 e24172: b2790 - b2791 + b2794 <= 1 e24173: b2790 - b2791 + b2795 <= 1 e24174: b2790 - b2791 + b2796 <= 1 e24175: b2790 - b2791 + b2797 <= 1 e24176: b2791 - b2792 + b2793 <= 1 e24177: b2791 - b2792 + b2794 <= 1 e24178: b2791 - b2792 + b2795 <= 1 e24179: b2791 - b2792 + b2796 <= 1 e24180: b2791 - b2792 + b2797 <= 1 e24181: b2791 - b2792 + b2798 <= 1 e24182: b2792 - b2793 + b2794 <= 1 e24183: b2792 - b2793 + b2795 <= 1 e24184: b2792 - b2793 + b2796 <= 1 e24185: b2792 - b2793 + b2797 <= 1 e24186: b2792 - b2793 + b2798 <= 1 e24187: b2792 - b2793 + b2799 <= 1 e24188: b2793 - b2794 + b2795 <= 1 e24189: b2793 - b2794 + b2796 <= 1 e24190: b2793 - b2794 + b2797 <= 1 e24191: b2793 - b2794 + b2798 <= 1 e24192: b2793 - b2794 + b2799 <= 1 e24193: b2793 - b2794 + b2800 <= 1 e24194: b2794 - b2795 + b2796 <= 1 e24195: b2794 - b2795 + b2797 <= 1 e24196: b2794 - b2795 + b2798 <= 1 e24197: b2794 - b2795 + b2799 <= 1 e24198: b2794 - b2795 + b2800 <= 1 e24199: b2794 - b2795 + b2801 <= 1 e24200: b2795 - b2796 + b2797 <= 1 e24201: b2795 - b2796 + b2798 <= 1 e24202: b2795 - b2796 + b2799 <= 1 e24203: b2795 - b2796 + b2800 <= 1 e24204: b2795 - b2796 + b2801 <= 1 e24205: b2795 - b2796 + b2802 <= 1 e24206: b2796 - b2797 + b2798 <= 1 e24207: b2796 - b2797 + b2799 <= 1 e24208: b2796 - b2797 + b2800 <= 1 e24209: b2796 - b2797 + b2801 <= 1 e24210: b2796 - b2797 + b2802 <= 1 e24211: b2796 - b2797 + b2803 <= 1 e24212: b2797 - b2798 + b2799 <= 1 e24213: b2797 - b2798 + b2800 <= 1 e24214: b2797 - b2798 + b2801 <= 1 e24215: b2797 - b2798 + b2802 <= 1 e24216: b2797 - b2798 + b2803 <= 1 e24217: b2797 - b2798 + b2804 <= 1 e24218: b2798 - b2799 + b2800 <= 1 e24219: b2798 - b2799 + b2801 <= 1 e24220: b2798 - b2799 + b2802 <= 1 e24221: b2798 - b2799 + b2803 <= 1 e24222: b2798 - b2799 + b2804 <= 1 e24223: b2798 - b2799 + b2805 <= 1 e24224: b2799 - b2800 + b2801 <= 1 e24225: b2799 - b2800 + b2802 <= 1 e24226: b2799 - b2800 + b2803 <= 1 e24227: b2799 - b2800 + b2804 <= 1 e24228: b2799 - b2800 + b2805 <= 1 e24229: b2799 - b2800 + b2806 <= 1 e24230: b2800 - b2801 + b2802 <= 1 e24231: b2800 - b2801 + b2803 <= 1 e24232: b2800 - b2801 + b2804 <= 1 e24233: b2800 - b2801 + b2805 <= 1 e24234: b2800 - b2801 + b2806 <= 1 e24235: b2800 - b2801 + b2807 <= 1 e24236: b2801 - b2802 + b2803 <= 1 e24237: b2801 - b2802 + b2804 <= 1 e24238: b2801 - b2802 + b2805 <= 1 e24239: b2801 - b2802 + b2806 <= 1 e24240: b2801 - b2802 + b2807 <= 1 e24241: b2801 - b2802 + b2808 <= 1 e24242: b2802 - b2803 + b2804 <= 1 e24243: b2802 - b2803 + b2805 <= 1 e24244: b2802 - b2803 + b2806 <= 1 e24245: b2802 - b2803 + b2807 <= 1 e24246: b2802 - b2803 + b2808 <= 1 e24247: b2802 - b2803 + b2809 <= 1 e24248: b2803 - b2804 + b2805 <= 1 e24249: b2803 - b2804 + b2806 <= 1 e24250: b2803 - b2804 + b2807 <= 1 e24251: b2803 - b2804 + b2808 <= 1 e24252: b2803 - b2804 + b2809 <= 1 e24253: b2804 - b2805 + b2806 <= 1 e24254: b2804 - b2805 + b2807 <= 1 e24255: b2804 - b2805 + b2808 <= 1 e24256: b2804 - b2805 + b2809 <= 1 e24257: b2805 - b2806 + b2807 <= 1 e24258: b2805 - b2806 + b2808 <= 1 e24259: b2805 - b2806 + b2809 <= 1 e24260: b2806 - b2807 + b2808 <= 1 e24261: b2806 - b2807 + b2809 <= 1 e24262: b2807 - b2808 + b2809 <= 1 e24263: b2788 - b2789 + b2790 >= 0 e24264: b2788 - b2789 + b2791 >= 0 e24265: b2788 - b2789 + b2792 >= 0 e24266: b2788 - b2789 + b2793 >= 0 e24267: b2788 - b2789 + b2794 >= 0 e24268: b2788 - b2789 + b2795 >= 0 e24269: b2789 - b2790 + b2791 >= 0 e24270: b2789 - b2790 + b2792 >= 0 e24271: b2789 - b2790 + b2793 >= 0 e24272: b2789 - b2790 + b2794 >= 0 e24273: b2789 - b2790 + b2795 >= 0 e24274: b2789 - b2790 + b2796 >= 0 e24275: b2790 - b2791 + b2792 >= 0 e24276: b2790 - b2791 + b2793 >= 0 e24277: b2790 - b2791 + b2794 >= 0 e24278: b2790 - b2791 + b2795 >= 0 e24279: b2790 - b2791 + b2796 >= 0 e24280: b2790 - b2791 + b2797 >= 0 e24281: b2791 - b2792 + b2793 >= 0 e24282: b2791 - b2792 + b2794 >= 0 e24283: b2791 - b2792 + b2795 >= 0 e24284: b2791 - b2792 + b2796 >= 0 e24285: b2791 - b2792 + b2797 >= 0 e24286: b2791 - b2792 + b2798 >= 0 e24287: b2792 - b2793 + b2794 >= 0 e24288: b2792 - b2793 + b2795 >= 0 e24289: b2792 - b2793 + b2796 >= 0 e24290: b2792 - b2793 + b2797 >= 0 e24291: b2792 - b2793 + b2798 >= 0 e24292: b2792 - b2793 + b2799 >= 0 e24293: b2793 - b2794 + b2795 >= 0 e24294: b2793 - b2794 + b2796 >= 0 e24295: b2793 - b2794 + b2797 >= 0 e24296: b2793 - b2794 + b2798 >= 0 e24297: b2793 - b2794 + b2799 >= 0 e24298: b2793 - b2794 + b2800 >= 0 e24299: b2794 - b2795 + b2796 >= 0 e24300: b2794 - b2795 + b2797 >= 0 e24301: b2794 - b2795 + b2798 >= 0 e24302: b2794 - b2795 + b2799 >= 0 e24303: b2794 - b2795 + b2800 >= 0 e24304: b2794 - b2795 + b2801 >= 0 e24305: b2795 - b2796 + b2797 >= 0 e24306: b2795 - b2796 + b2798 >= 0 e24307: b2795 - b2796 + b2799 >= 0 e24308: b2795 - b2796 + b2800 >= 0 e24309: b2795 - b2796 + b2801 >= 0 e24310: b2795 - b2796 + b2802 >= 0 e24311: b2796 - b2797 + b2798 >= 0 e24312: b2796 - b2797 + b2799 >= 0 e24313: b2796 - b2797 + b2800 >= 0 e24314: b2796 - b2797 + b2801 >= 0 e24315: b2796 - b2797 + b2802 >= 0 e24316: b2796 - b2797 + b2803 >= 0 e24317: b2797 - b2798 + b2799 >= 0 e24318: b2797 - b2798 + b2800 >= 0 e24319: b2797 - b2798 + b2801 >= 0 e24320: b2797 - b2798 + b2802 >= 0 e24321: b2797 - b2798 + b2803 >= 0 e24322: b2797 - b2798 + b2804 >= 0 e24323: b2798 - b2799 + b2800 >= 0 e24324: b2798 - b2799 + b2801 >= 0 e24325: b2798 - b2799 + b2802 >= 0 e24326: b2798 - b2799 + b2803 >= 0 e24327: b2798 - b2799 + b2804 >= 0 e24328: b2798 - b2799 + b2805 >= 0 e24329: b2799 - b2800 + b2801 >= 0 e24330: b2799 - b2800 + b2802 >= 0 e24331: b2799 - b2800 + b2803 >= 0 e24332: b2799 - b2800 + b2804 >= 0 e24333: b2799 - b2800 + b2805 >= 0 e24334: b2799 - b2800 + b2806 >= 0 e24335: b2800 - b2801 + b2802 >= 0 e24336: b2800 - b2801 + b2803 >= 0 e24337: b2800 - b2801 + b2804 >= 0 e24338: b2800 - b2801 + b2805 >= 0 e24339: b2800 - b2801 + b2806 >= 0 e24340: b2800 - b2801 + b2807 >= 0 e24341: b2801 - b2802 + b2803 >= 0 e24342: b2801 - b2802 + b2804 >= 0 e24343: b2801 - b2802 + b2805 >= 0 e24344: b2801 - b2802 + b2806 >= 0 e24345: b2801 - b2802 + b2807 >= 0 e24346: b2801 - b2802 + b2808 >= 0 e24347: b2802 - b2803 + b2804 >= 0 e24348: b2802 - b2803 + b2805 >= 0 e24349: b2802 - b2803 + b2806 >= 0 e24350: b2802 - b2803 + b2807 >= 0 e24351: b2802 - b2803 + b2808 >= 0 e24352: b2802 - b2803 + b2809 >= 0 e24353: b2803 - b2804 + b2805 >= 0 e24354: b2803 - b2804 + b2806 >= 0 e24355: b2803 - b2804 + b2807 >= 0 e24356: b2803 - b2804 + b2808 >= 0 e24357: b2803 - b2804 + b2809 >= 0 e24358: b2804 - b2805 + b2806 >= 0 e24359: b2804 - b2805 + b2807 >= 0 e24360: b2804 - b2805 + b2808 >= 0 e24361: b2804 - b2805 + b2809 >= 0 e24362: b2805 - b2806 + b2807 >= 0 e24363: b2805 - b2806 + b2808 >= 0 e24364: b2805 - b2806 + b2809 >= 0 e24365: b2806 - b2807 + b2808 >= 0 e24366: b2806 - b2807 + b2809 >= 0 e24367: b2807 - b2808 + b2809 >= 0 e24368: - b2810 + b2811 + x19264 <= 1 e24369: - b2810 + b2812 + x19264 <= 1 e24370: - b2810 + b2813 + x19264 <= 1 e24371: - b2810 + b2814 + x19264 <= 1 e24372: - b2810 + b2815 + x19264 <= 1 e24373: - b2810 + b2816 + x19264 <= 1 e24374: - b2810 + b2817 + x19264 <= 1 e24375: b2810 - b2811 + b2812 <= 1 e24376: b2810 - b2811 + b2813 <= 1 e24377: b2810 - b2811 + b2814 <= 1 e24378: b2810 - b2811 + b2815 <= 1 e24379: b2810 - b2811 + b2816 <= 1 e24380: b2810 - b2811 + b2817 <= 1 e24381: b2810 - b2811 + b2818 <= 1 e24382: b2811 - b2812 + b2813 <= 1 e24383: b2811 - b2812 + b2814 <= 1 e24384: b2811 - b2812 + b2815 <= 1 e24385: b2811 - b2812 + b2816 <= 1 e24386: b2811 - b2812 + b2817 <= 1 e24387: b2811 - b2812 + b2818 <= 1 e24388: b2811 - b2812 + b2819 <= 1 e24389: b2812 - b2813 + b2814 <= 1 e24390: b2812 - b2813 + b2815 <= 1 e24391: b2812 - b2813 + b2816 <= 1 e24392: b2812 - b2813 + b2817 <= 1 e24393: b2812 - b2813 + b2818 <= 1 e24394: b2812 - b2813 + b2819 <= 1 e24395: b2812 - b2813 + b2820 <= 1 e24396: b2813 - b2814 + b2815 <= 1 e24397: b2813 - b2814 + b2816 <= 1 e24398: b2813 - b2814 + b2817 <= 1 e24399: b2813 - b2814 + b2818 <= 1 e24400: b2813 - b2814 + b2819 <= 1 e24401: b2813 - b2814 + b2820 <= 1 e24402: b2813 - b2814 + b2821 <= 1 e24403: b2814 - b2815 + b2816 <= 1 e24404: b2814 - b2815 + b2817 <= 1 e24405: b2814 - b2815 + b2818 <= 1 e24406: b2814 - b2815 + b2819 <= 1 e24407: b2814 - b2815 + b2820 <= 1 e24408: b2814 - b2815 + b2821 <= 1 e24409: b2814 - b2815 + b2822 <= 1 e24410: b2815 - b2816 + b2817 <= 1 e24411: b2815 - b2816 + b2818 <= 1 e24412: b2815 - b2816 + b2819 <= 1 e24413: b2815 - b2816 + b2820 <= 1 e24414: b2815 - b2816 + b2821 <= 1 e24415: b2815 - b2816 + b2822 <= 1 e24416: b2815 - b2816 + b2823 <= 1 e24417: b2816 - b2817 + b2818 <= 1 e24418: b2816 - b2817 + b2819 <= 1 e24419: b2816 - b2817 + b2820 <= 1 e24420: b2816 - b2817 + b2821 <= 1 e24421: b2816 - b2817 + b2822 <= 1 e24422: b2816 - b2817 + b2823 <= 1 e24423: b2816 - b2817 + b2824 <= 1 e24424: b2817 - b2818 + b2819 <= 1 e24425: b2817 - b2818 + b2820 <= 1 e24426: b2817 - b2818 + b2821 <= 1 e24427: b2817 - b2818 + b2822 <= 1 e24428: b2817 - b2818 + b2823 <= 1 e24429: b2817 - b2818 + b2824 <= 1 e24430: b2817 - b2818 + b2825 <= 1 e24431: b2818 - b2819 + b2820 <= 1 e24432: b2818 - b2819 + b2821 <= 1 e24433: b2818 - b2819 + b2822 <= 1 e24434: b2818 - b2819 + b2823 <= 1 e24435: b2818 - b2819 + b2824 <= 1 e24436: b2818 - b2819 + b2825 <= 1 e24437: b2818 - b2819 + b2826 <= 1 e24438: b2819 - b2820 + b2821 <= 1 e24439: b2819 - b2820 + b2822 <= 1 e24440: b2819 - b2820 + b2823 <= 1 e24441: b2819 - b2820 + b2824 <= 1 e24442: b2819 - b2820 + b2825 <= 1 e24443: b2819 - b2820 + b2826 <= 1 e24444: b2819 - b2820 + b2827 <= 1 e24445: b2820 - b2821 + b2822 <= 1 e24446: b2820 - b2821 + b2823 <= 1 e24447: b2820 - b2821 + b2824 <= 1 e24448: b2820 - b2821 + b2825 <= 1 e24449: b2820 - b2821 + b2826 <= 1 e24450: b2820 - b2821 + b2827 <= 1 e24451: b2820 - b2821 + b2828 <= 1 e24452: b2821 - b2822 + b2823 <= 1 e24453: b2821 - b2822 + b2824 <= 1 e24454: b2821 - b2822 + b2825 <= 1 e24455: b2821 - b2822 + b2826 <= 1 e24456: b2821 - b2822 + b2827 <= 1 e24457: b2821 - b2822 + b2828 <= 1 e24458: b2821 - b2822 + b2829 <= 1 e24459: b2822 - b2823 + b2824 <= 1 e24460: b2822 - b2823 + b2825 <= 1 e24461: b2822 - b2823 + b2826 <= 1 e24462: b2822 - b2823 + b2827 <= 1 e24463: b2822 - b2823 + b2828 <= 1 e24464: b2822 - b2823 + b2829 <= 1 e24465: b2822 - b2823 + b2830 <= 1 e24466: b2823 - b2824 + b2825 <= 1 e24467: b2823 - b2824 + b2826 <= 1 e24468: b2823 - b2824 + b2827 <= 1 e24469: b2823 - b2824 + b2828 <= 1 e24470: b2823 - b2824 + b2829 <= 1 e24471: b2823 - b2824 + b2830 <= 1 e24472: b2823 - b2824 + b2831 <= 1 e24473: b2824 - b2825 + b2826 <= 1 e24474: b2824 - b2825 + b2827 <= 1 e24475: b2824 - b2825 + b2828 <= 1 e24476: b2824 - b2825 + b2829 <= 1 e24477: b2824 - b2825 + b2830 <= 1 e24478: b2824 - b2825 + b2831 <= 1 e24479: b2824 - b2825 + b2832 <= 1 e24480: b2825 - b2826 + b2827 <= 1 e24481: b2825 - b2826 + b2828 <= 1 e24482: b2825 - b2826 + b2829 <= 1 e24483: b2825 - b2826 + b2830 <= 1 e24484: b2825 - b2826 + b2831 <= 1 e24485: b2825 - b2826 + b2832 <= 1 e24486: b2825 - b2826 + b2833 <= 1 e24487: b2826 - b2827 + b2828 <= 1 e24488: b2826 - b2827 + b2829 <= 1 e24489: b2826 - b2827 + b2830 <= 1 e24490: b2826 - b2827 + b2831 <= 1 e24491: b2826 - b2827 + b2832 <= 1 e24492: b2826 - b2827 + b2833 <= 1 e24493: b2827 - b2828 + b2829 <= 1 e24494: b2827 - b2828 + b2830 <= 1 e24495: b2827 - b2828 + b2831 <= 1 e24496: b2827 - b2828 + b2832 <= 1 e24497: b2827 - b2828 + b2833 <= 1 e24498: b2828 - b2829 + b2830 <= 1 e24499: b2828 - b2829 + b2831 <= 1 e24500: b2828 - b2829 + b2832 <= 1 e24501: b2828 - b2829 + b2833 <= 1 e24502: b2829 - b2830 + b2831 <= 1 e24503: b2829 - b2830 + b2832 <= 1 e24504: b2829 - b2830 + b2833 <= 1 e24505: b2830 - b2831 + b2832 <= 1 e24506: b2830 - b2831 + b2833 <= 1 e24507: b2831 - b2832 + b2833 <= 1 e24508: - b2810 + b2811 + x19264 >= 0 e24509: - b2810 + b2812 + x19264 >= 0 e24510: - b2810 + b2813 + x19264 >= 0 e24511: - b2810 + b2814 + x19264 >= 0 e24512: - b2810 + b2815 + x19264 >= 0 e24513: - b2810 + b2816 + x19264 >= 0 e24514: - b2810 + b2817 + x19264 >= 0 e24515: b2810 - b2811 + b2812 >= 0 e24516: b2810 - b2811 + b2813 >= 0 e24517: b2810 - b2811 + b2814 >= 0 e24518: b2810 - b2811 + b2815 >= 0 e24519: b2810 - b2811 + b2816 >= 0 e24520: b2810 - b2811 + b2817 >= 0 e24521: b2810 - b2811 + b2818 >= 0 e24522: b2811 - b2812 + b2813 >= 0 e24523: b2811 - b2812 + b2814 >= 0 e24524: b2811 - b2812 + b2815 >= 0 e24525: b2811 - b2812 + b2816 >= 0 e24526: b2811 - b2812 + b2817 >= 0 e24527: b2811 - b2812 + b2818 >= 0 e24528: b2811 - b2812 + b2819 >= 0 e24529: b2812 - b2813 + b2814 >= 0 e24530: b2812 - b2813 + b2815 >= 0 e24531: b2812 - b2813 + b2816 >= 0 e24532: b2812 - b2813 + b2817 >= 0 e24533: b2812 - b2813 + b2818 >= 0 e24534: b2812 - b2813 + b2819 >= 0 e24535: b2812 - b2813 + b2820 >= 0 e24536: b2813 - b2814 + b2815 >= 0 e24537: b2813 - b2814 + b2816 >= 0 e24538: b2813 - b2814 + b2817 >= 0 e24539: b2813 - b2814 + b2818 >= 0 e24540: b2813 - b2814 + b2819 >= 0 e24541: b2813 - b2814 + b2820 >= 0 e24542: b2813 - b2814 + b2821 >= 0 e24543: b2814 - b2815 + b2816 >= 0 e24544: b2814 - b2815 + b2817 >= 0 e24545: b2814 - b2815 + b2818 >= 0 e24546: b2814 - b2815 + b2819 >= 0 e24547: b2814 - b2815 + b2820 >= 0 e24548: b2814 - b2815 + b2821 >= 0 e24549: b2814 - b2815 + b2822 >= 0 e24550: b2815 - b2816 + b2817 >= 0 e24551: b2815 - b2816 + b2818 >= 0 e24552: b2815 - b2816 + b2819 >= 0 e24553: b2815 - b2816 + b2820 >= 0 e24554: b2815 - b2816 + b2821 >= 0 e24555: b2815 - b2816 + b2822 >= 0 e24556: b2815 - b2816 + b2823 >= 0 e24557: b2816 - b2817 + b2818 >= 0 e24558: b2816 - b2817 + b2819 >= 0 e24559: b2816 - b2817 + b2820 >= 0 e24560: b2816 - b2817 + b2821 >= 0 e24561: b2816 - b2817 + b2822 >= 0 e24562: b2816 - b2817 + b2823 >= 0 e24563: b2816 - b2817 + b2824 >= 0 e24564: b2817 - b2818 + b2819 >= 0 e24565: b2817 - b2818 + b2820 >= 0 e24566: b2817 - b2818 + b2821 >= 0 e24567: b2817 - b2818 + b2822 >= 0 e24568: b2817 - b2818 + b2823 >= 0 e24569: b2817 - b2818 + b2824 >= 0 e24570: b2817 - b2818 + b2825 >= 0 e24571: b2818 - b2819 + b2820 >= 0 e24572: b2818 - b2819 + b2821 >= 0 e24573: b2818 - b2819 + b2822 >= 0 e24574: b2818 - b2819 + b2823 >= 0 e24575: b2818 - b2819 + b2824 >= 0 e24576: b2818 - b2819 + b2825 >= 0 e24577: b2818 - b2819 + b2826 >= 0 e24578: b2819 - b2820 + b2821 >= 0 e24579: b2819 - b2820 + b2822 >= 0 e24580: b2819 - b2820 + b2823 >= 0 e24581: b2819 - b2820 + b2824 >= 0 e24582: b2819 - b2820 + b2825 >= 0 e24583: b2819 - b2820 + b2826 >= 0 e24584: b2819 - b2820 + b2827 >= 0 e24585: b2820 - b2821 + b2822 >= 0 e24586: b2820 - b2821 + b2823 >= 0 e24587: b2820 - b2821 + b2824 >= 0 e24588: b2820 - b2821 + b2825 >= 0 e24589: b2820 - b2821 + b2826 >= 0 e24590: b2820 - b2821 + b2827 >= 0 e24591: b2820 - b2821 + b2828 >= 0 e24592: b2821 - b2822 + b2823 >= 0 e24593: b2821 - b2822 + b2824 >= 0 e24594: b2821 - b2822 + b2825 >= 0 e24595: b2821 - b2822 + b2826 >= 0 e24596: b2821 - b2822 + b2827 >= 0 e24597: b2821 - b2822 + b2828 >= 0 e24598: b2821 - b2822 + b2829 >= 0 e24599: b2822 - b2823 + b2824 >= 0 e24600: b2822 - b2823 + b2825 >= 0 e24601: b2822 - b2823 + b2826 >= 0 e24602: b2822 - b2823 + b2827 >= 0 e24603: b2822 - b2823 + b2828 >= 0 e24604: b2822 - b2823 + b2829 >= 0 e24605: b2822 - b2823 + b2830 >= 0 e24606: b2823 - b2824 + b2825 >= 0 e24607: b2823 - b2824 + b2826 >= 0 e24608: b2823 - b2824 + b2827 >= 0 e24609: b2823 - b2824 + b2828 >= 0 e24610: b2823 - b2824 + b2829 >= 0 e24611: b2823 - b2824 + b2830 >= 0 e24612: b2823 - b2824 + b2831 >= 0 e24613: b2824 - b2825 + b2826 >= 0 e24614: b2824 - b2825 + b2827 >= 0 e24615: b2824 - b2825 + b2828 >= 0 e24616: b2824 - b2825 + b2829 >= 0 e24617: b2824 - b2825 + b2830 >= 0 e24618: b2824 - b2825 + b2831 >= 0 e24619: b2824 - b2825 + b2832 >= 0 e24620: b2825 - b2826 + b2827 >= 0 e24621: b2825 - b2826 + b2828 >= 0 e24622: b2825 - b2826 + b2829 >= 0 e24623: b2825 - b2826 + b2830 >= 0 e24624: b2825 - b2826 + b2831 >= 0 e24625: b2825 - b2826 + b2832 >= 0 e24626: b2825 - b2826 + b2833 >= 0 e24627: b2826 - b2827 + b2828 >= 0 e24628: b2826 - b2827 + b2829 >= 0 e24629: b2826 - b2827 + b2830 >= 0 e24630: b2826 - b2827 + b2831 >= 0 e24631: b2826 - b2827 + b2832 >= 0 e24632: b2826 - b2827 + b2833 >= 0 e24633: b2827 - b2828 + b2829 >= 0 e24634: b2827 - b2828 + b2830 >= 0 e24635: b2827 - b2828 + b2831 >= 0 e24636: b2827 - b2828 + b2832 >= 0 e24637: b2827 - b2828 + b2833 >= 0 e24638: b2828 - b2829 + b2830 >= 0 e24639: b2828 - b2829 + b2831 >= 0 e24640: b2828 - b2829 + b2832 >= 0 e24641: b2828 - b2829 + b2833 >= 0 e24642: b2829 - b2830 + b2831 >= 0 e24643: b2829 - b2830 + b2832 >= 0 e24644: b2829 - b2830 + b2833 >= 0 e24645: b2830 - b2831 + b2832 >= 0 e24646: b2830 - b2831 + b2833 >= 0 e24647: b2831 - b2832 + b2833 >= 0 e24648: b2834 = 1 e24649: b2835 = 1 e24650: b2836 = 1 e24651: b2837 = 1 e24652: b2837 - b2838 + b2839 <= 1 e24653: b2837 - b2838 + b2840 <= 1 e24654: b2837 - b2838 + b2841 <= 1 e24655: b2837 - b2838 + b2842 <= 1 e24656: b2837 - b2838 + b2843 <= 1 e24657: b2837 - b2838 + b2844 <= 1 e24658: b2838 - b2839 + b2840 <= 1 e24659: b2838 - b2839 + b2841 <= 1 e24660: b2838 - b2839 + b2842 <= 1 e24661: b2838 - b2839 + b2843 <= 1 e24662: b2838 - b2839 + b2844 <= 1 e24663: b2838 - b2839 + b2845 <= 1 e24664: b2839 - b2840 + b2841 <= 1 e24665: b2839 - b2840 + b2842 <= 1 e24666: b2839 - b2840 + b2843 <= 1 e24667: b2839 - b2840 + b2844 <= 1 e24668: b2839 - b2840 + b2845 <= 1 e24669: b2839 - b2840 + b2846 <= 1 e24670: b2840 - b2841 + b2842 <= 1 e24671: b2840 - b2841 + b2843 <= 1 e24672: b2840 - b2841 + b2844 <= 1 e24673: b2840 - b2841 + b2845 <= 1 e24674: b2840 - b2841 + b2846 <= 1 e24675: b2840 - b2841 + b2847 <= 1 e24676: b2841 - b2842 + b2843 <= 1 e24677: b2841 - b2842 + b2844 <= 1 e24678: b2841 - b2842 + b2845 <= 1 e24679: b2841 - b2842 + b2846 <= 1 e24680: b2841 - b2842 + b2847 <= 1 e24681: b2841 - b2842 + b2848 <= 1 e24682: b2842 - b2843 + b2844 <= 1 e24683: b2842 - b2843 + b2845 <= 1 e24684: b2842 - b2843 + b2846 <= 1 e24685: b2842 - b2843 + b2847 <= 1 e24686: b2842 - b2843 + b2848 <= 1 e24687: b2842 - b2843 + b2849 <= 1 e24688: b2843 - b2844 + b2845 <= 1 e24689: b2843 - b2844 + b2846 <= 1 e24690: b2843 - b2844 + b2847 <= 1 e24691: b2843 - b2844 + b2848 <= 1 e24692: b2843 - b2844 + b2849 <= 1 e24693: b2843 - b2844 + b2850 <= 1 e24694: b2844 - b2845 + b2846 <= 1 e24695: b2844 - b2845 + b2847 <= 1 e24696: b2844 - b2845 + b2848 <= 1 e24697: b2844 - b2845 + b2849 <= 1 e24698: b2844 - b2845 + b2850 <= 1 e24699: b2844 - b2845 + b2851 <= 1 e24700: b2845 - b2846 + b2847 <= 1 e24701: b2845 - b2846 + b2848 <= 1 e24702: b2845 - b2846 + b2849 <= 1 e24703: b2845 - b2846 + b2850 <= 1 e24704: b2845 - b2846 + b2851 <= 1 e24705: b2845 - b2846 + b2852 <= 1 e24706: b2846 - b2847 + b2848 <= 1 e24707: b2846 - b2847 + b2849 <= 1 e24708: b2846 - b2847 + b2850 <= 1 e24709: b2846 - b2847 + b2851 <= 1 e24710: b2846 - b2847 + b2852 <= 1 e24711: b2846 - b2847 + b2853 <= 1 e24712: b2847 - b2848 + b2849 <= 1 e24713: b2847 - b2848 + b2850 <= 1 e24714: b2847 - b2848 + b2851 <= 1 e24715: b2847 - b2848 + b2852 <= 1 e24716: b2847 - b2848 + b2853 <= 1 e24717: b2847 - b2848 + b2854 <= 1 e24718: b2848 - b2849 + b2850 <= 1 e24719: b2848 - b2849 + b2851 <= 1 e24720: b2848 - b2849 + b2852 <= 1 e24721: b2848 - b2849 + b2853 <= 1 e24722: b2848 - b2849 + b2854 <= 1 e24723: b2848 - b2849 + b2855 <= 1 e24724: b2849 - b2850 + b2851 <= 1 e24725: b2849 - b2850 + b2852 <= 1 e24726: b2849 - b2850 + b2853 <= 1 e24727: b2849 - b2850 + b2854 <= 1 e24728: b2849 - b2850 + b2855 <= 1 e24729: b2849 - b2850 + b2856 <= 1 e24730: b2850 - b2851 + b2852 <= 1 e24731: b2850 - b2851 + b2853 <= 1 e24732: b2850 - b2851 + b2854 <= 1 e24733: b2850 - b2851 + b2855 <= 1 e24734: b2850 - b2851 + b2856 <= 1 e24735: b2850 - b2851 + b2857 <= 1 e24736: b2851 - b2852 + b2853 <= 1 e24737: b2851 - b2852 + b2854 <= 1 e24738: b2851 - b2852 + b2855 <= 1 e24739: b2851 - b2852 + b2856 <= 1 e24740: b2851 - b2852 + b2857 <= 1 e24741: b2852 - b2853 + b2854 <= 1 e24742: b2852 - b2853 + b2855 <= 1 e24743: b2852 - b2853 + b2856 <= 1 e24744: b2852 - b2853 + b2857 <= 1 e24745: b2853 - b2854 + b2855 <= 1 e24746: b2853 - b2854 + b2856 <= 1 e24747: b2853 - b2854 + b2857 <= 1 e24748: b2854 - b2855 + b2856 <= 1 e24749: b2854 - b2855 + b2857 <= 1 e24750: b2855 - b2856 + b2857 <= 1 e24751: b2837 - b2838 + b2839 >= 0 e24752: b2837 - b2838 + b2840 >= 0 e24753: b2837 - b2838 + b2841 >= 0 e24754: b2837 - b2838 + b2842 >= 0 e24755: b2837 - b2838 + b2843 >= 0 e24756: b2837 - b2838 + b2844 >= 0 e24757: b2838 - b2839 + b2840 >= 0 e24758: b2838 - b2839 + b2841 >= 0 e24759: b2838 - b2839 + b2842 >= 0 e24760: b2838 - b2839 + b2843 >= 0 e24761: b2838 - b2839 + b2844 >= 0 e24762: b2838 - b2839 + b2845 >= 0 e24763: b2839 - b2840 + b2841 >= 0 e24764: b2839 - b2840 + b2842 >= 0 e24765: b2839 - b2840 + b2843 >= 0 e24766: b2839 - b2840 + b2844 >= 0 e24767: b2839 - b2840 + b2845 >= 0 e24768: b2839 - b2840 + b2846 >= 0 e24769: b2840 - b2841 + b2842 >= 0 e24770: b2840 - b2841 + b2843 >= 0 e24771: b2840 - b2841 + b2844 >= 0 e24772: b2840 - b2841 + b2845 >= 0 e24773: b2840 - b2841 + b2846 >= 0 e24774: b2840 - b2841 + b2847 >= 0 e24775: b2841 - b2842 + b2843 >= 0 e24776: b2841 - b2842 + b2844 >= 0 e24777: b2841 - b2842 + b2845 >= 0 e24778: b2841 - b2842 + b2846 >= 0 e24779: b2841 - b2842 + b2847 >= 0 e24780: b2841 - b2842 + b2848 >= 0 e24781: b2842 - b2843 + b2844 >= 0 e24782: b2842 - b2843 + b2845 >= 0 e24783: b2842 - b2843 + b2846 >= 0 e24784: b2842 - b2843 + b2847 >= 0 e24785: b2842 - b2843 + b2848 >= 0 e24786: b2842 - b2843 + b2849 >= 0 e24787: b2843 - b2844 + b2845 >= 0 e24788: b2843 - b2844 + b2846 >= 0 e24789: b2843 - b2844 + b2847 >= 0 e24790: b2843 - b2844 + b2848 >= 0 e24791: b2843 - b2844 + b2849 >= 0 e24792: b2843 - b2844 + b2850 >= 0 e24793: b2844 - b2845 + b2846 >= 0 e24794: b2844 - b2845 + b2847 >= 0 e24795: b2844 - b2845 + b2848 >= 0 e24796: b2844 - b2845 + b2849 >= 0 e24797: b2844 - b2845 + b2850 >= 0 e24798: b2844 - b2845 + b2851 >= 0 e24799: b2845 - b2846 + b2847 >= 0 e24800: b2845 - b2846 + b2848 >= 0 e24801: b2845 - b2846 + b2849 >= 0 e24802: b2845 - b2846 + b2850 >= 0 e24803: b2845 - b2846 + b2851 >= 0 e24804: b2845 - b2846 + b2852 >= 0 e24805: b2846 - b2847 + b2848 >= 0 e24806: b2846 - b2847 + b2849 >= 0 e24807: b2846 - b2847 + b2850 >= 0 e24808: b2846 - b2847 + b2851 >= 0 e24809: b2846 - b2847 + b2852 >= 0 e24810: b2846 - b2847 + b2853 >= 0 e24811: b2847 - b2848 + b2849 >= 0 e24812: b2847 - b2848 + b2850 >= 0 e24813: b2847 - b2848 + b2851 >= 0 e24814: b2847 - b2848 + b2852 >= 0 e24815: b2847 - b2848 + b2853 >= 0 e24816: b2847 - b2848 + b2854 >= 0 e24817: b2848 - b2849 + b2850 >= 0 e24818: b2848 - b2849 + b2851 >= 0 e24819: b2848 - b2849 + b2852 >= 0 e24820: b2848 - b2849 + b2853 >= 0 e24821: b2848 - b2849 + b2854 >= 0 e24822: b2848 - b2849 + b2855 >= 0 e24823: b2849 - b2850 + b2851 >= 0 e24824: b2849 - b2850 + b2852 >= 0 e24825: b2849 - b2850 + b2853 >= 0 e24826: b2849 - b2850 + b2854 >= 0 e24827: b2849 - b2850 + b2855 >= 0 e24828: b2849 - b2850 + b2856 >= 0 e24829: b2850 - b2851 + b2852 >= 0 e24830: b2850 - b2851 + b2853 >= 0 e24831: b2850 - b2851 + b2854 >= 0 e24832: b2850 - b2851 + b2855 >= 0 e24833: b2850 - b2851 + b2856 >= 0 e24834: b2850 - b2851 + b2857 >= 0 e24835: b2851 - b2852 + b2853 >= 0 e24836: b2851 - b2852 + b2854 >= 0 e24837: b2851 - b2852 + b2855 >= 0 e24838: b2851 - b2852 + b2856 >= 0 e24839: b2851 - b2852 + b2857 >= 0 e24840: b2852 - b2853 + b2854 >= 0 e24841: b2852 - b2853 + b2855 >= 0 e24842: b2852 - b2853 + b2856 >= 0 e24843: b2852 - b2853 + b2857 >= 0 e24844: b2853 - b2854 + b2855 >= 0 e24845: b2853 - b2854 + b2856 >= 0 e24846: b2853 - b2854 + b2857 >= 0 e24847: b2854 - b2855 + b2856 >= 0 e24848: b2854 - b2855 + b2857 >= 0 e24849: b2855 - b2856 + b2857 >= 0 e24850: - b2858 + b2859 + x19265 <= 1 e24851: - b2858 + b2860 + x19265 <= 1 e24852: - b2858 + b2861 + x19265 <= 1 e24853: - b2858 + b2862 + x19265 <= 1 e24854: - b2858 + b2863 + x19265 <= 1 e24855: - b2858 + b2864 + x19265 <= 1 e24856: b2858 - b2859 + b2860 <= 1 e24857: b2858 - b2859 + b2861 <= 1 e24858: b2858 - b2859 + b2862 <= 1 e24859: b2858 - b2859 + b2863 <= 1 e24860: b2858 - b2859 + b2864 <= 1 e24861: b2858 - b2859 + b2865 <= 1 e24862: b2859 - b2860 + b2861 <= 1 e24863: b2859 - b2860 + b2862 <= 1 e24864: b2859 - b2860 + b2863 <= 1 e24865: b2859 - b2860 + b2864 <= 1 e24866: b2859 - b2860 + b2865 <= 1 e24867: b2859 - b2860 + b2866 <= 1 e24868: b2860 - b2861 + b2862 <= 1 e24869: b2860 - b2861 + b2863 <= 1 e24870: b2860 - b2861 + b2864 <= 1 e24871: b2860 - b2861 + b2865 <= 1 e24872: b2860 - b2861 + b2866 <= 1 e24873: b2860 - b2861 + b2867 <= 1 e24874: b2861 - b2862 + b2863 <= 1 e24875: b2861 - b2862 + b2864 <= 1 e24876: b2861 - b2862 + b2865 <= 1 e24877: b2861 - b2862 + b2866 <= 1 e24878: b2861 - b2862 + b2867 <= 1 e24879: b2861 - b2862 + b2868 <= 1 e24880: b2862 - b2863 + b2864 <= 1 e24881: b2862 - b2863 + b2865 <= 1 e24882: b2862 - b2863 + b2866 <= 1 e24883: b2862 - b2863 + b2867 <= 1 e24884: b2862 - b2863 + b2868 <= 1 e24885: b2862 - b2863 + b2869 <= 1 e24886: b2863 - b2864 + b2865 <= 1 e24887: b2863 - b2864 + b2866 <= 1 e24888: b2863 - b2864 + b2867 <= 1 e24889: b2863 - b2864 + b2868 <= 1 e24890: b2863 - b2864 + b2869 <= 1 e24891: b2863 - b2864 + b2870 <= 1 e24892: b2864 - b2865 + b2866 <= 1 e24893: b2864 - b2865 + b2867 <= 1 e24894: b2864 - b2865 + b2868 <= 1 e24895: b2864 - b2865 + b2869 <= 1 e24896: b2864 - b2865 + b2870 <= 1 e24897: b2864 - b2865 + b2871 <= 1 e24898: b2865 - b2866 + b2867 <= 1 e24899: b2865 - b2866 + b2868 <= 1 e24900: b2865 - b2866 + b2869 <= 1 e24901: b2865 - b2866 + b2870 <= 1 e24902: b2865 - b2866 + b2871 <= 1 e24903: b2865 - b2866 + b2872 <= 1 e24904: b2866 - b2867 + b2868 <= 1 e24905: b2866 - b2867 + b2869 <= 1 e24906: b2866 - b2867 + b2870 <= 1 e24907: b2866 - b2867 + b2871 <= 1 e24908: b2866 - b2867 + b2872 <= 1 e24909: b2866 - b2867 + b2873 <= 1 e24910: b2867 - b2868 + b2869 <= 1 e24911: b2867 - b2868 + b2870 <= 1 e24912: b2867 - b2868 + b2871 <= 1 e24913: b2867 - b2868 + b2872 <= 1 e24914: b2867 - b2868 + b2873 <= 1 e24915: b2867 - b2868 + b2874 <= 1 e24916: b2868 - b2869 + b2870 <= 1 e24917: b2868 - b2869 + b2871 <= 1 e24918: b2868 - b2869 + b2872 <= 1 e24919: b2868 - b2869 + b2873 <= 1 e24920: b2868 - b2869 + b2874 <= 1 e24921: b2868 - b2869 + b2875 <= 1 e24922: b2869 - b2870 + b2871 <= 1 e24923: b2869 - b2870 + b2872 <= 1 e24924: b2869 - b2870 + b2873 <= 1 e24925: b2869 - b2870 + b2874 <= 1 e24926: b2869 - b2870 + b2875 <= 1 e24927: b2869 - b2870 + b2876 <= 1 e24928: b2870 - b2871 + b2872 <= 1 e24929: b2870 - b2871 + b2873 <= 1 e24930: b2870 - b2871 + b2874 <= 1 e24931: b2870 - b2871 + b2875 <= 1 e24932: b2870 - b2871 + b2876 <= 1 e24933: b2870 - b2871 + b2877 <= 1 e24934: b2871 - b2872 + b2873 <= 1 e24935: b2871 - b2872 + b2874 <= 1 e24936: b2871 - b2872 + b2875 <= 1 e24937: b2871 - b2872 + b2876 <= 1 e24938: b2871 - b2872 + b2877 <= 1 e24939: b2871 - b2872 + b2878 <= 1 e24940: b2872 - b2873 + b2874 <= 1 e24941: b2872 - b2873 + b2875 <= 1 e24942: b2872 - b2873 + b2876 <= 1 e24943: b2872 - b2873 + b2877 <= 1 e24944: b2872 - b2873 + b2878 <= 1 e24945: b2872 - b2873 + b2879 <= 1 e24946: b2873 - b2874 + b2875 <= 1 e24947: b2873 - b2874 + b2876 <= 1 e24948: b2873 - b2874 + b2877 <= 1 e24949: b2873 - b2874 + b2878 <= 1 e24950: b2873 - b2874 + b2879 <= 1 e24951: b2873 - b2874 + b2880 <= 1 e24952: b2874 - b2875 + b2876 <= 1 e24953: b2874 - b2875 + b2877 <= 1 e24954: b2874 - b2875 + b2878 <= 1 e24955: b2874 - b2875 + b2879 <= 1 e24956: b2874 - b2875 + b2880 <= 1 e24957: b2874 - b2875 + b2881 <= 1 e24958: b2875 - b2876 + b2877 <= 1 e24959: b2875 - b2876 + b2878 <= 1 e24960: b2875 - b2876 + b2879 <= 1 e24961: b2875 - b2876 + b2880 <= 1 e24962: b2875 - b2876 + b2881 <= 1 e24963: b2876 - b2877 + b2878 <= 1 e24964: b2876 - b2877 + b2879 <= 1 e24965: b2876 - b2877 + b2880 <= 1 e24966: b2876 - b2877 + b2881 <= 1 e24967: b2877 - b2878 + b2879 <= 1 e24968: b2877 - b2878 + b2880 <= 1 e24969: b2877 - b2878 + b2881 <= 1 e24970: b2878 - b2879 + b2880 <= 1 e24971: b2878 - b2879 + b2881 <= 1 e24972: b2879 - b2880 + b2881 <= 1 e24973: - b2858 + b2859 + x19265 >= 0 e24974: - b2858 + b2860 + x19265 >= 0 e24975: - b2858 + b2861 + x19265 >= 0 e24976: - b2858 + b2862 + x19265 >= 0 e24977: - b2858 + b2863 + x19265 >= 0 e24978: - b2858 + b2864 + x19265 >= 0 e24979: b2858 - b2859 + b2860 >= 0 e24980: b2858 - b2859 + b2861 >= 0 e24981: b2858 - b2859 + b2862 >= 0 e24982: b2858 - b2859 + b2863 >= 0 e24983: b2858 - b2859 + b2864 >= 0 e24984: b2858 - b2859 + b2865 >= 0 e24985: b2859 - b2860 + b2861 >= 0 e24986: b2859 - b2860 + b2862 >= 0 e24987: b2859 - b2860 + b2863 >= 0 e24988: b2859 - b2860 + b2864 >= 0 e24989: b2859 - b2860 + b2865 >= 0 e24990: b2859 - b2860 + b2866 >= 0 e24991: b2860 - b2861 + b2862 >= 0 e24992: b2860 - b2861 + b2863 >= 0 e24993: b2860 - b2861 + b2864 >= 0 e24994: b2860 - b2861 + b2865 >= 0 e24995: b2860 - b2861 + b2866 >= 0 e24996: b2860 - b2861 + b2867 >= 0 e24997: b2861 - b2862 + b2863 >= 0 e24998: b2861 - b2862 + b2864 >= 0 e24999: b2861 - b2862 + b2865 >= 0 e25000: b2861 - b2862 + b2866 >= 0 e25001: b2861 - b2862 + b2867 >= 0 e25002: b2861 - b2862 + b2868 >= 0 e25003: b2862 - b2863 + b2864 >= 0 e25004: b2862 - b2863 + b2865 >= 0 e25005: b2862 - b2863 + b2866 >= 0 e25006: b2862 - b2863 + b2867 >= 0 e25007: b2862 - b2863 + b2868 >= 0 e25008: b2862 - b2863 + b2869 >= 0 e25009: b2863 - b2864 + b2865 >= 0 e25010: b2863 - b2864 + b2866 >= 0 e25011: b2863 - b2864 + b2867 >= 0 e25012: b2863 - b2864 + b2868 >= 0 e25013: b2863 - b2864 + b2869 >= 0 e25014: b2863 - b2864 + b2870 >= 0 e25015: b2864 - b2865 + b2866 >= 0 e25016: b2864 - b2865 + b2867 >= 0 e25017: b2864 - b2865 + b2868 >= 0 e25018: b2864 - b2865 + b2869 >= 0 e25019: b2864 - b2865 + b2870 >= 0 e25020: b2864 - b2865 + b2871 >= 0 e25021: b2865 - b2866 + b2867 >= 0 e25022: b2865 - b2866 + b2868 >= 0 e25023: b2865 - b2866 + b2869 >= 0 e25024: b2865 - b2866 + b2870 >= 0 e25025: b2865 - b2866 + b2871 >= 0 e25026: b2865 - b2866 + b2872 >= 0 e25027: b2866 - b2867 + b2868 >= 0 e25028: b2866 - b2867 + b2869 >= 0 e25029: b2866 - b2867 + b2870 >= 0 e25030: b2866 - b2867 + b2871 >= 0 e25031: b2866 - b2867 + b2872 >= 0 e25032: b2866 - b2867 + b2873 >= 0 e25033: b2867 - b2868 + b2869 >= 0 e25034: b2867 - b2868 + b2870 >= 0 e25035: b2867 - b2868 + b2871 >= 0 e25036: b2867 - b2868 + b2872 >= 0 e25037: b2867 - b2868 + b2873 >= 0 e25038: b2867 - b2868 + b2874 >= 0 e25039: b2868 - b2869 + b2870 >= 0 e25040: b2868 - b2869 + b2871 >= 0 e25041: b2868 - b2869 + b2872 >= 0 e25042: b2868 - b2869 + b2873 >= 0 e25043: b2868 - b2869 + b2874 >= 0 e25044: b2868 - b2869 + b2875 >= 0 e25045: b2869 - b2870 + b2871 >= 0 e25046: b2869 - b2870 + b2872 >= 0 e25047: b2869 - b2870 + b2873 >= 0 e25048: b2869 - b2870 + b2874 >= 0 e25049: b2869 - b2870 + b2875 >= 0 e25050: b2869 - b2870 + b2876 >= 0 e25051: b2870 - b2871 + b2872 >= 0 e25052: b2870 - b2871 + b2873 >= 0 e25053: b2870 - b2871 + b2874 >= 0 e25054: b2870 - b2871 + b2875 >= 0 e25055: b2870 - b2871 + b2876 >= 0 e25056: b2870 - b2871 + b2877 >= 0 e25057: b2871 - b2872 + b2873 >= 0 e25058: b2871 - b2872 + b2874 >= 0 e25059: b2871 - b2872 + b2875 >= 0 e25060: b2871 - b2872 + b2876 >= 0 e25061: b2871 - b2872 + b2877 >= 0 e25062: b2871 - b2872 + b2878 >= 0 e25063: b2872 - b2873 + b2874 >= 0 e25064: b2872 - b2873 + b2875 >= 0 e25065: b2872 - b2873 + b2876 >= 0 e25066: b2872 - b2873 + b2877 >= 0 e25067: b2872 - b2873 + b2878 >= 0 e25068: b2872 - b2873 + b2879 >= 0 e25069: b2873 - b2874 + b2875 >= 0 e25070: b2873 - b2874 + b2876 >= 0 e25071: b2873 - b2874 + b2877 >= 0 e25072: b2873 - b2874 + b2878 >= 0 e25073: b2873 - b2874 + b2879 >= 0 e25074: b2873 - b2874 + b2880 >= 0 e25075: b2874 - b2875 + b2876 >= 0 e25076: b2874 - b2875 + b2877 >= 0 e25077: b2874 - b2875 + b2878 >= 0 e25078: b2874 - b2875 + b2879 >= 0 e25079: b2874 - b2875 + b2880 >= 0 e25080: b2874 - b2875 + b2881 >= 0 e25081: b2875 - b2876 + b2877 >= 0 e25082: b2875 - b2876 + b2878 >= 0 e25083: b2875 - b2876 + b2879 >= 0 e25084: b2875 - b2876 + b2880 >= 0 e25085: b2875 - b2876 + b2881 >= 0 e25086: b2876 - b2877 + b2878 >= 0 e25087: b2876 - b2877 + b2879 >= 0 e25088: b2876 - b2877 + b2880 >= 0 e25089: b2876 - b2877 + b2881 >= 0 e25090: b2877 - b2878 + b2879 >= 0 e25091: b2877 - b2878 + b2880 >= 0 e25092: b2877 - b2878 + b2881 >= 0 e25093: b2878 - b2879 + b2880 >= 0 e25094: b2878 - b2879 + b2881 >= 0 e25095: b2879 - b2880 + b2881 >= 0 e25096: - b2882 + b2883 + x19266 <= 1 e25097: - b2882 + b2884 + x19266 <= 1 e25098: - b2882 + b2885 + x19266 <= 1 e25099: - b2882 + b2886 + x19266 <= 1 e25100: - b2882 + b2887 + x19266 <= 1 e25101: - b2882 + b2888 + x19266 <= 1 e25102: - b2882 + b2889 + x19266 <= 1 e25103: b2882 - b2883 + b2884 <= 1 e25104: b2882 - b2883 + b2885 <= 1 e25105: b2882 - b2883 + b2886 <= 1 e25106: b2882 - b2883 + b2887 <= 1 e25107: b2882 - b2883 + b2888 <= 1 e25108: b2882 - b2883 + b2889 <= 1 e25109: b2882 - b2883 + b2890 <= 1 e25110: b2883 - b2884 + b2885 <= 1 e25111: b2883 - b2884 + b2886 <= 1 e25112: b2883 - b2884 + b2887 <= 1 e25113: b2883 - b2884 + b2888 <= 1 e25114: b2883 - b2884 + b2889 <= 1 e25115: b2883 - b2884 + b2890 <= 1 e25116: b2883 - b2884 + b2891 <= 1 e25117: b2884 - b2885 + b2886 <= 1 e25118: b2884 - b2885 + b2887 <= 1 e25119: b2884 - b2885 + b2888 <= 1 e25120: b2884 - b2885 + b2889 <= 1 e25121: b2884 - b2885 + b2890 <= 1 e25122: b2884 - b2885 + b2891 <= 1 e25123: b2884 - b2885 + b2892 <= 1 e25124: b2885 - b2886 + b2887 <= 1 e25125: b2885 - b2886 + b2888 <= 1 e25126: b2885 - b2886 + b2889 <= 1 e25127: b2885 - b2886 + b2890 <= 1 e25128: b2885 - b2886 + b2891 <= 1 e25129: b2885 - b2886 + b2892 <= 1 e25130: b2885 - b2886 + b2893 <= 1 e25131: b2886 - b2887 + b2888 <= 1 e25132: b2886 - b2887 + b2889 <= 1 e25133: b2886 - b2887 + b2890 <= 1 e25134: b2886 - b2887 + b2891 <= 1 e25135: b2886 - b2887 + b2892 <= 1 e25136: b2886 - b2887 + b2893 <= 1 e25137: b2886 - b2887 + b2894 <= 1 e25138: b2887 - b2888 + b2889 <= 1 e25139: b2887 - b2888 + b2890 <= 1 e25140: b2887 - b2888 + b2891 <= 1 e25141: b2887 - b2888 + b2892 <= 1 e25142: b2887 - b2888 + b2893 <= 1 e25143: b2887 - b2888 + b2894 <= 1 e25144: b2887 - b2888 + b2895 <= 1 e25145: b2888 - b2889 + b2890 <= 1 e25146: b2888 - b2889 + b2891 <= 1 e25147: b2888 - b2889 + b2892 <= 1 e25148: b2888 - b2889 + b2893 <= 1 e25149: b2888 - b2889 + b2894 <= 1 e25150: b2888 - b2889 + b2895 <= 1 e25151: b2888 - b2889 + b2896 <= 1 e25152: b2889 - b2890 + b2891 <= 1 e25153: b2889 - b2890 + b2892 <= 1 e25154: b2889 - b2890 + b2893 <= 1 e25155: b2889 - b2890 + b2894 <= 1 e25156: b2889 - b2890 + b2895 <= 1 e25157: b2889 - b2890 + b2896 <= 1 e25158: b2889 - b2890 + b2897 <= 1 e25159: b2890 - b2891 + b2892 <= 1 e25160: b2890 - b2891 + b2893 <= 1 e25161: b2890 - b2891 + b2894 <= 1 e25162: b2890 - b2891 + b2895 <= 1 e25163: b2890 - b2891 + b2896 <= 1 e25164: b2890 - b2891 + b2897 <= 1 e25165: b2890 - b2891 + b2898 <= 1 e25166: b2891 - b2892 + b2893 <= 1 e25167: b2891 - b2892 + b2894 <= 1 e25168: b2891 - b2892 + b2895 <= 1 e25169: b2891 - b2892 + b2896 <= 1 e25170: b2891 - b2892 + b2897 <= 1 e25171: b2891 - b2892 + b2898 <= 1 e25172: b2891 - b2892 + b2899 <= 1 e25173: b2892 - b2893 + b2894 <= 1 e25174: b2892 - b2893 + b2895 <= 1 e25175: b2892 - b2893 + b2896 <= 1 e25176: b2892 - b2893 + b2897 <= 1 e25177: b2892 - b2893 + b2898 <= 1 e25178: b2892 - b2893 + b2899 <= 1 e25179: b2892 - b2893 + b2900 <= 1 e25180: b2893 - b2894 + b2895 <= 1 e25181: b2893 - b2894 + b2896 <= 1 e25182: b2893 - b2894 + b2897 <= 1 e25183: b2893 - b2894 + b2898 <= 1 e25184: b2893 - b2894 + b2899 <= 1 e25185: b2893 - b2894 + b2900 <= 1 e25186: b2893 - b2894 + b2901 <= 1 e25187: b2894 - b2895 + b2896 <= 1 e25188: b2894 - b2895 + b2897 <= 1 e25189: b2894 - b2895 + b2898 <= 1 e25190: b2894 - b2895 + b2899 <= 1 e25191: b2894 - b2895 + b2900 <= 1 e25192: b2894 - b2895 + b2901 <= 1 e25193: b2894 - b2895 + b2902 <= 1 e25194: b2895 - b2896 + b2897 <= 1 e25195: b2895 - b2896 + b2898 <= 1 e25196: b2895 - b2896 + b2899 <= 1 e25197: b2895 - b2896 + b2900 <= 1 e25198: b2895 - b2896 + b2901 <= 1 e25199: b2895 - b2896 + b2902 <= 1 e25200: b2895 - b2896 + b2903 <= 1 e25201: b2896 - b2897 + b2898 <= 1 e25202: b2896 - b2897 + b2899 <= 1 e25203: b2896 - b2897 + b2900 <= 1 e25204: b2896 - b2897 + b2901 <= 1 e25205: b2896 - b2897 + b2902 <= 1 e25206: b2896 - b2897 + b2903 <= 1 e25207: b2896 - b2897 + b2904 <= 1 e25208: b2897 - b2898 + b2899 <= 1 e25209: b2897 - b2898 + b2900 <= 1 e25210: b2897 - b2898 + b2901 <= 1 e25211: b2897 - b2898 + b2902 <= 1 e25212: b2897 - b2898 + b2903 <= 1 e25213: b2897 - b2898 + b2904 <= 1 e25214: b2897 - b2898 + b2905 <= 1 e25215: b2898 - b2899 + b2900 <= 1 e25216: b2898 - b2899 + b2901 <= 1 e25217: b2898 - b2899 + b2902 <= 1 e25218: b2898 - b2899 + b2903 <= 1 e25219: b2898 - b2899 + b2904 <= 1 e25220: b2898 - b2899 + b2905 <= 1 e25221: b2899 - b2900 + b2901 <= 1 e25222: b2899 - b2900 + b2902 <= 1 e25223: b2899 - b2900 + b2903 <= 1 e25224: b2899 - b2900 + b2904 <= 1 e25225: b2899 - b2900 + b2905 <= 1 e25226: b2900 - b2901 + b2902 <= 1 e25227: b2900 - b2901 + b2903 <= 1 e25228: b2900 - b2901 + b2904 <= 1 e25229: b2900 - b2901 + b2905 <= 1 e25230: b2901 - b2902 + b2903 <= 1 e25231: b2901 - b2902 + b2904 <= 1 e25232: b2901 - b2902 + b2905 <= 1 e25233: b2902 - b2903 + b2904 <= 1 e25234: b2902 - b2903 + b2905 <= 1 e25235: b2903 - b2904 + b2905 <= 1 e25236: - b2882 + b2883 + x19266 >= 0 e25237: - b2882 + b2884 + x19266 >= 0 e25238: - b2882 + b2885 + x19266 >= 0 e25239: - b2882 + b2886 + x19266 >= 0 e25240: - b2882 + b2887 + x19266 >= 0 e25241: - b2882 + b2888 + x19266 >= 0 e25242: - b2882 + b2889 + x19266 >= 0 e25243: b2882 - b2883 + b2884 >= 0 e25244: b2882 - b2883 + b2885 >= 0 e25245: b2882 - b2883 + b2886 >= 0 e25246: b2882 - b2883 + b2887 >= 0 e25247: b2882 - b2883 + b2888 >= 0 e25248: b2882 - b2883 + b2889 >= 0 e25249: b2882 - b2883 + b2890 >= 0 e25250: b2883 - b2884 + b2885 >= 0 e25251: b2883 - b2884 + b2886 >= 0 e25252: b2883 - b2884 + b2887 >= 0 e25253: b2883 - b2884 + b2888 >= 0 e25254: b2883 - b2884 + b2889 >= 0 e25255: b2883 - b2884 + b2890 >= 0 e25256: b2883 - b2884 + b2891 >= 0 e25257: b2884 - b2885 + b2886 >= 0 e25258: b2884 - b2885 + b2887 >= 0 e25259: b2884 - b2885 + b2888 >= 0 e25260: b2884 - b2885 + b2889 >= 0 e25261: b2884 - b2885 + b2890 >= 0 e25262: b2884 - b2885 + b2891 >= 0 e25263: b2884 - b2885 + b2892 >= 0 e25264: b2885 - b2886 + b2887 >= 0 e25265: b2885 - b2886 + b2888 >= 0 e25266: b2885 - b2886 + b2889 >= 0 e25267: b2885 - b2886 + b2890 >= 0 e25268: b2885 - b2886 + b2891 >= 0 e25269: b2885 - b2886 + b2892 >= 0 e25270: b2885 - b2886 + b2893 >= 0 e25271: b2886 - b2887 + b2888 >= 0 e25272: b2886 - b2887 + b2889 >= 0 e25273: b2886 - b2887 + b2890 >= 0 e25274: b2886 - b2887 + b2891 >= 0 e25275: b2886 - b2887 + b2892 >= 0 e25276: b2886 - b2887 + b2893 >= 0 e25277: b2886 - b2887 + b2894 >= 0 e25278: b2887 - b2888 + b2889 >= 0 e25279: b2887 - b2888 + b2890 >= 0 e25280: b2887 - b2888 + b2891 >= 0 e25281: b2887 - b2888 + b2892 >= 0 e25282: b2887 - b2888 + b2893 >= 0 e25283: b2887 - b2888 + b2894 >= 0 e25284: b2887 - b2888 + b2895 >= 0 e25285: b2888 - b2889 + b2890 >= 0 e25286: b2888 - b2889 + b2891 >= 0 e25287: b2888 - b2889 + b2892 >= 0 e25288: b2888 - b2889 + b2893 >= 0 e25289: b2888 - b2889 + b2894 >= 0 e25290: b2888 - b2889 + b2895 >= 0 e25291: b2888 - b2889 + b2896 >= 0 e25292: b2889 - b2890 + b2891 >= 0 e25293: b2889 - b2890 + b2892 >= 0 e25294: b2889 - b2890 + b2893 >= 0 e25295: b2889 - b2890 + b2894 >= 0 e25296: b2889 - b2890 + b2895 >= 0 e25297: b2889 - b2890 + b2896 >= 0 e25298: b2889 - b2890 + b2897 >= 0 e25299: b2890 - b2891 + b2892 >= 0 e25300: b2890 - b2891 + b2893 >= 0 e25301: b2890 - b2891 + b2894 >= 0 e25302: b2890 - b2891 + b2895 >= 0 e25303: b2890 - b2891 + b2896 >= 0 e25304: b2890 - b2891 + b2897 >= 0 e25305: b2890 - b2891 + b2898 >= 0 e25306: b2891 - b2892 + b2893 >= 0 e25307: b2891 - b2892 + b2894 >= 0 e25308: b2891 - b2892 + b2895 >= 0 e25309: b2891 - b2892 + b2896 >= 0 e25310: b2891 - b2892 + b2897 >= 0 e25311: b2891 - b2892 + b2898 >= 0 e25312: b2891 - b2892 + b2899 >= 0 e25313: b2892 - b2893 + b2894 >= 0 e25314: b2892 - b2893 + b2895 >= 0 e25315: b2892 - b2893 + b2896 >= 0 e25316: b2892 - b2893 + b2897 >= 0 e25317: b2892 - b2893 + b2898 >= 0 e25318: b2892 - b2893 + b2899 >= 0 e25319: b2892 - b2893 + b2900 >= 0 e25320: b2893 - b2894 + b2895 >= 0 e25321: b2893 - b2894 + b2896 >= 0 e25322: b2893 - b2894 + b2897 >= 0 e25323: b2893 - b2894 + b2898 >= 0 e25324: b2893 - b2894 + b2899 >= 0 e25325: b2893 - b2894 + b2900 >= 0 e25326: b2893 - b2894 + b2901 >= 0 e25327: b2894 - b2895 + b2896 >= 0 e25328: b2894 - b2895 + b2897 >= 0 e25329: b2894 - b2895 + b2898 >= 0 e25330: b2894 - b2895 + b2899 >= 0 e25331: b2894 - b2895 + b2900 >= 0 e25332: b2894 - b2895 + b2901 >= 0 e25333: b2894 - b2895 + b2902 >= 0 e25334: b2895 - b2896 + b2897 >= 0 e25335: b2895 - b2896 + b2898 >= 0 e25336: b2895 - b2896 + b2899 >= 0 e25337: b2895 - b2896 + b2900 >= 0 e25338: b2895 - b2896 + b2901 >= 0 e25339: b2895 - b2896 + b2902 >= 0 e25340: b2895 - b2896 + b2903 >= 0 e25341: b2896 - b2897 + b2898 >= 0 e25342: b2896 - b2897 + b2899 >= 0 e25343: b2896 - b2897 + b2900 >= 0 e25344: b2896 - b2897 + b2901 >= 0 e25345: b2896 - b2897 + b2902 >= 0 e25346: b2896 - b2897 + b2903 >= 0 e25347: b2896 - b2897 + b2904 >= 0 e25348: b2897 - b2898 + b2899 >= 0 e25349: b2897 - b2898 + b2900 >= 0 e25350: b2897 - b2898 + b2901 >= 0 e25351: b2897 - b2898 + b2902 >= 0 e25352: b2897 - b2898 + b2903 >= 0 e25353: b2897 - b2898 + b2904 >= 0 e25354: b2897 - b2898 + b2905 >= 0 e25355: b2898 - b2899 + b2900 >= 0 e25356: b2898 - b2899 + b2901 >= 0 e25357: b2898 - b2899 + b2902 >= 0 e25358: b2898 - b2899 + b2903 >= 0 e25359: b2898 - b2899 + b2904 >= 0 e25360: b2898 - b2899 + b2905 >= 0 e25361: b2899 - b2900 + b2901 >= 0 e25362: b2899 - b2900 + b2902 >= 0 e25363: b2899 - b2900 + b2903 >= 0 e25364: b2899 - b2900 + b2904 >= 0 e25365: b2899 - b2900 + b2905 >= 0 e25366: b2900 - b2901 + b2902 >= 0 e25367: b2900 - b2901 + b2903 >= 0 e25368: b2900 - b2901 + b2904 >= 0 e25369: b2900 - b2901 + b2905 >= 0 e25370: b2901 - b2902 + b2903 >= 0 e25371: b2901 - b2902 + b2904 >= 0 e25372: b2901 - b2902 + b2905 >= 0 e25373: b2902 - b2903 + b2904 >= 0 e25374: b2902 - b2903 + b2905 >= 0 e25375: b2903 - b2904 + b2905 >= 0 e25376: b2906 = 0 e25377: b2907 = 0 e25378: b2908 = 0 e25379: b2909 = 0 e25380: b2909 - b2910 + b2911 <= 1 e25381: b2909 - b2910 + b2912 <= 1 e25382: b2909 - b2910 + b2913 <= 1 e25383: b2909 - b2910 + b2914 <= 1 e25384: b2909 - b2910 + b2915 <= 1 e25385: b2909 - b2910 + b2916 <= 1 e25386: b2909 - b2910 + b2917 <= 1 e25387: b2910 - b2911 + b2912 <= 1 e25388: b2910 - b2911 + b2913 <= 1 e25389: b2910 - b2911 + b2914 <= 1 e25390: b2910 - b2911 + b2915 <= 1 e25391: b2910 - b2911 + b2916 <= 1 e25392: b2910 - b2911 + b2917 <= 1 e25393: b2910 - b2911 + b2918 <= 1 e25394: b2911 - b2912 + b2913 <= 1 e25395: b2911 - b2912 + b2914 <= 1 e25396: b2911 - b2912 + b2915 <= 1 e25397: b2911 - b2912 + b2916 <= 1 e25398: b2911 - b2912 + b2917 <= 1 e25399: b2911 - b2912 + b2918 <= 1 e25400: b2911 - b2912 + b2919 <= 1 e25401: b2912 - b2913 + b2914 <= 1 e25402: b2912 - b2913 + b2915 <= 1 e25403: b2912 - b2913 + b2916 <= 1 e25404: b2912 - b2913 + b2917 <= 1 e25405: b2912 - b2913 + b2918 <= 1 e25406: b2912 - b2913 + b2919 <= 1 e25407: b2912 - b2913 + b2920 <= 1 e25408: b2913 - b2914 + b2915 <= 1 e25409: b2913 - b2914 + b2916 <= 1 e25410: b2913 - b2914 + b2917 <= 1 e25411: b2913 - b2914 + b2918 <= 1 e25412: b2913 - b2914 + b2919 <= 1 e25413: b2913 - b2914 + b2920 <= 1 e25414: b2913 - b2914 + b2921 <= 1 e25415: b2914 - b2915 + b2916 <= 1 e25416: b2914 - b2915 + b2917 <= 1 e25417: b2914 - b2915 + b2918 <= 1 e25418: b2914 - b2915 + b2919 <= 1 e25419: b2914 - b2915 + b2920 <= 1 e25420: b2914 - b2915 + b2921 <= 1 e25421: b2914 - b2915 + b2922 <= 1 e25422: b2915 - b2916 + b2917 <= 1 e25423: b2915 - b2916 + b2918 <= 1 e25424: b2915 - b2916 + b2919 <= 1 e25425: b2915 - b2916 + b2920 <= 1 e25426: b2915 - b2916 + b2921 <= 1 e25427: b2915 - b2916 + b2922 <= 1 e25428: b2915 - b2916 + b2923 <= 1 e25429: b2916 - b2917 + b2918 <= 1 e25430: b2916 - b2917 + b2919 <= 1 e25431: b2916 - b2917 + b2920 <= 1 e25432: b2916 - b2917 + b2921 <= 1 e25433: b2916 - b2917 + b2922 <= 1 e25434: b2916 - b2917 + b2923 <= 1 e25435: b2916 - b2917 + b2924 <= 1 e25436: b2917 - b2918 + b2919 <= 1 e25437: b2917 - b2918 + b2920 <= 1 e25438: b2917 - b2918 + b2921 <= 1 e25439: b2917 - b2918 + b2922 <= 1 e25440: b2917 - b2918 + b2923 <= 1 e25441: b2917 - b2918 + b2924 <= 1 e25442: b2917 - b2918 + b2925 <= 1 e25443: b2918 - b2919 + b2920 <= 1 e25444: b2918 - b2919 + b2921 <= 1 e25445: b2918 - b2919 + b2922 <= 1 e25446: b2918 - b2919 + b2923 <= 1 e25447: b2918 - b2919 + b2924 <= 1 e25448: b2918 - b2919 + b2925 <= 1 e25449: b2918 - b2919 + b2926 <= 1 e25450: b2919 - b2920 + b2921 <= 1 e25451: b2919 - b2920 + b2922 <= 1 e25452: b2919 - b2920 + b2923 <= 1 e25453: b2919 - b2920 + b2924 <= 1 e25454: b2919 - b2920 + b2925 <= 1 e25455: b2919 - b2920 + b2926 <= 1 e25456: b2919 - b2920 + b2927 <= 1 e25457: b2920 - b2921 + b2922 <= 1 e25458: b2920 - b2921 + b2923 <= 1 e25459: b2920 - b2921 + b2924 <= 1 e25460: b2920 - b2921 + b2925 <= 1 e25461: b2920 - b2921 + b2926 <= 1 e25462: b2920 - b2921 + b2927 <= 1 e25463: b2920 - b2921 + b2928 <= 1 e25464: b2921 - b2922 + b2923 <= 1 e25465: b2921 - b2922 + b2924 <= 1 e25466: b2921 - b2922 + b2925 <= 1 e25467: b2921 - b2922 + b2926 <= 1 e25468: b2921 - b2922 + b2927 <= 1 e25469: b2921 - b2922 + b2928 <= 1 e25470: b2921 - b2922 + b2929 <= 1 e25471: b2922 - b2923 + b2924 <= 1 e25472: b2922 - b2923 + b2925 <= 1 e25473: b2922 - b2923 + b2926 <= 1 e25474: b2922 - b2923 + b2927 <= 1 e25475: b2922 - b2923 + b2928 <= 1 e25476: b2922 - b2923 + b2929 <= 1 e25477: b2923 - b2924 + b2925 <= 1 e25478: b2923 - b2924 + b2926 <= 1 e25479: b2923 - b2924 + b2927 <= 1 e25480: b2923 - b2924 + b2928 <= 1 e25481: b2923 - b2924 + b2929 <= 1 e25482: b2924 - b2925 + b2926 <= 1 e25483: b2924 - b2925 + b2927 <= 1 e25484: b2924 - b2925 + b2928 <= 1 e25485: b2924 - b2925 + b2929 <= 1 e25486: b2925 - b2926 + b2927 <= 1 e25487: b2925 - b2926 + b2928 <= 1 e25488: b2925 - b2926 + b2929 <= 1 e25489: b2926 - b2927 + b2928 <= 1 e25490: b2926 - b2927 + b2929 <= 1 e25491: b2927 - b2928 + b2929 <= 1 e25492: b2909 - b2910 + b2911 >= 0 e25493: b2909 - b2910 + b2912 >= 0 e25494: b2909 - b2910 + b2913 >= 0 e25495: b2909 - b2910 + b2914 >= 0 e25496: b2909 - b2910 + b2915 >= 0 e25497: b2909 - b2910 + b2916 >= 0 e25498: b2909 - b2910 + b2917 >= 0 e25499: b2910 - b2911 + b2912 >= 0 e25500: b2910 - b2911 + b2913 >= 0 e25501: b2910 - b2911 + b2914 >= 0 e25502: b2910 - b2911 + b2915 >= 0 e25503: b2910 - b2911 + b2916 >= 0 e25504: b2910 - b2911 + b2917 >= 0 e25505: b2910 - b2911 + b2918 >= 0 e25506: b2911 - b2912 + b2913 >= 0 e25507: b2911 - b2912 + b2914 >= 0 e25508: b2911 - b2912 + b2915 >= 0 e25509: b2911 - b2912 + b2916 >= 0 e25510: b2911 - b2912 + b2917 >= 0 e25511: b2911 - b2912 + b2918 >= 0 e25512: b2911 - b2912 + b2919 >= 0 e25513: b2912 - b2913 + b2914 >= 0 e25514: b2912 - b2913 + b2915 >= 0 e25515: b2912 - b2913 + b2916 >= 0 e25516: b2912 - b2913 + b2917 >= 0 e25517: b2912 - b2913 + b2918 >= 0 e25518: b2912 - b2913 + b2919 >= 0 e25519: b2912 - b2913 + b2920 >= 0 e25520: b2913 - b2914 + b2915 >= 0 e25521: b2913 - b2914 + b2916 >= 0 e25522: b2913 - b2914 + b2917 >= 0 e25523: b2913 - b2914 + b2918 >= 0 e25524: b2913 - b2914 + b2919 >= 0 e25525: b2913 - b2914 + b2920 >= 0 e25526: b2913 - b2914 + b2921 >= 0 e25527: b2914 - b2915 + b2916 >= 0 e25528: b2914 - b2915 + b2917 >= 0 e25529: b2914 - b2915 + b2918 >= 0 e25530: b2914 - b2915 + b2919 >= 0 e25531: b2914 - b2915 + b2920 >= 0 e25532: b2914 - b2915 + b2921 >= 0 e25533: b2914 - b2915 + b2922 >= 0 e25534: b2915 - b2916 + b2917 >= 0 e25535: b2915 - b2916 + b2918 >= 0 e25536: b2915 - b2916 + b2919 >= 0 e25537: b2915 - b2916 + b2920 >= 0 e25538: b2915 - b2916 + b2921 >= 0 e25539: b2915 - b2916 + b2922 >= 0 e25540: b2915 - b2916 + b2923 >= 0 e25541: b2916 - b2917 + b2918 >= 0 e25542: b2916 - b2917 + b2919 >= 0 e25543: b2916 - b2917 + b2920 >= 0 e25544: b2916 - b2917 + b2921 >= 0 e25545: b2916 - b2917 + b2922 >= 0 e25546: b2916 - b2917 + b2923 >= 0 e25547: b2916 - b2917 + b2924 >= 0 e25548: b2917 - b2918 + b2919 >= 0 e25549: b2917 - b2918 + b2920 >= 0 e25550: b2917 - b2918 + b2921 >= 0 e25551: b2917 - b2918 + b2922 >= 0 e25552: b2917 - b2918 + b2923 >= 0 e25553: b2917 - b2918 + b2924 >= 0 e25554: b2917 - b2918 + b2925 >= 0 e25555: b2918 - b2919 + b2920 >= 0 e25556: b2918 - b2919 + b2921 >= 0 e25557: b2918 - b2919 + b2922 >= 0 e25558: b2918 - b2919 + b2923 >= 0 e25559: b2918 - b2919 + b2924 >= 0 e25560: b2918 - b2919 + b2925 >= 0 e25561: b2918 - b2919 + b2926 >= 0 e25562: b2919 - b2920 + b2921 >= 0 e25563: b2919 - b2920 + b2922 >= 0 e25564: b2919 - b2920 + b2923 >= 0 e25565: b2919 - b2920 + b2924 >= 0 e25566: b2919 - b2920 + b2925 >= 0 e25567: b2919 - b2920 + b2926 >= 0 e25568: b2919 - b2920 + b2927 >= 0 e25569: b2920 - b2921 + b2922 >= 0 e25570: b2920 - b2921 + b2923 >= 0 e25571: b2920 - b2921 + b2924 >= 0 e25572: b2920 - b2921 + b2925 >= 0 e25573: b2920 - b2921 + b2926 >= 0 e25574: b2920 - b2921 + b2927 >= 0 e25575: b2920 - b2921 + b2928 >= 0 e25576: b2921 - b2922 + b2923 >= 0 e25577: b2921 - b2922 + b2924 >= 0 e25578: b2921 - b2922 + b2925 >= 0 e25579: b2921 - b2922 + b2926 >= 0 e25580: b2921 - b2922 + b2927 >= 0 e25581: b2921 - b2922 + b2928 >= 0 e25582: b2921 - b2922 + b2929 >= 0 e25583: b2922 - b2923 + b2924 >= 0 e25584: b2922 - b2923 + b2925 >= 0 e25585: b2922 - b2923 + b2926 >= 0 e25586: b2922 - b2923 + b2927 >= 0 e25587: b2922 - b2923 + b2928 >= 0 e25588: b2922 - b2923 + b2929 >= 0 e25589: b2923 - b2924 + b2925 >= 0 e25590: b2923 - b2924 + b2926 >= 0 e25591: b2923 - b2924 + b2927 >= 0 e25592: b2923 - b2924 + b2928 >= 0 e25593: b2923 - b2924 + b2929 >= 0 e25594: b2924 - b2925 + b2926 >= 0 e25595: b2924 - b2925 + b2927 >= 0 e25596: b2924 - b2925 + b2928 >= 0 e25597: b2924 - b2925 + b2929 >= 0 e25598: b2925 - b2926 + b2927 >= 0 e25599: b2925 - b2926 + b2928 >= 0 e25600: b2925 - b2926 + b2929 >= 0 e25601: b2926 - b2927 + b2928 >= 0 e25602: b2926 - b2927 + b2929 >= 0 e25603: b2927 - b2928 + b2929 >= 0 e25604: - b2930 + b2931 + x19267 <= 1 e25605: - b2930 + b2932 + x19267 <= 1 e25606: - b2930 + b2933 + x19267 <= 1 e25607: - b2930 + b2934 + x19267 <= 1 e25608: - b2930 + b2935 + x19267 <= 1 e25609: - b2930 + b2936 + x19267 <= 1 e25610: b2930 - b2931 + b2932 <= 1 e25611: b2930 - b2931 + b2933 <= 1 e25612: b2930 - b2931 + b2934 <= 1 e25613: b2930 - b2931 + b2935 <= 1 e25614: b2930 - b2931 + b2936 <= 1 e25615: b2930 - b2931 + b2937 <= 1 e25616: b2931 - b2932 + b2933 <= 1 e25617: b2931 - b2932 + b2934 <= 1 e25618: b2931 - b2932 + b2935 <= 1 e25619: b2931 - b2932 + b2936 <= 1 e25620: b2931 - b2932 + b2937 <= 1 e25621: b2931 - b2932 + b2938 <= 1 e25622: b2932 - b2933 + b2934 <= 1 e25623: b2932 - b2933 + b2935 <= 1 e25624: b2932 - b2933 + b2936 <= 1 e25625: b2932 - b2933 + b2937 <= 1 e25626: b2932 - b2933 + b2938 <= 1 e25627: b2932 - b2933 + b2939 <= 1 e25628: b2933 - b2934 + b2935 <= 1 e25629: b2933 - b2934 + b2936 <= 1 e25630: b2933 - b2934 + b2937 <= 1 e25631: b2933 - b2934 + b2938 <= 1 e25632: b2933 - b2934 + b2939 <= 1 e25633: b2933 - b2934 + b2940 <= 1 e25634: b2934 - b2935 + b2936 <= 1 e25635: b2934 - b2935 + b2937 <= 1 e25636: b2934 - b2935 + b2938 <= 1 e25637: b2934 - b2935 + b2939 <= 1 e25638: b2934 - b2935 + b2940 <= 1 e25639: b2934 - b2935 + b2941 <= 1 e25640: b2935 - b2936 + b2937 <= 1 e25641: b2935 - b2936 + b2938 <= 1 e25642: b2935 - b2936 + b2939 <= 1 e25643: b2935 - b2936 + b2940 <= 1 e25644: b2935 - b2936 + b2941 <= 1 e25645: b2935 - b2936 + b2942 <= 1 e25646: b2936 - b2937 + b2938 <= 1 e25647: b2936 - b2937 + b2939 <= 1 e25648: b2936 - b2937 + b2940 <= 1 e25649: b2936 - b2937 + b2941 <= 1 e25650: b2936 - b2937 + b2942 <= 1 e25651: b2936 - b2937 + b2943 <= 1 e25652: b2937 - b2938 + b2939 <= 1 e25653: b2937 - b2938 + b2940 <= 1 e25654: b2937 - b2938 + b2941 <= 1 e25655: b2937 - b2938 + b2942 <= 1 e25656: b2937 - b2938 + b2943 <= 1 e25657: b2937 - b2938 + b2944 <= 1 e25658: b2938 - b2939 + b2940 <= 1 e25659: b2938 - b2939 + b2941 <= 1 e25660: b2938 - b2939 + b2942 <= 1 e25661: b2938 - b2939 + b2943 <= 1 e25662: b2938 - b2939 + b2944 <= 1 e25663: b2938 - b2939 + b2945 <= 1 e25664: b2939 - b2940 + b2941 <= 1 e25665: b2939 - b2940 + b2942 <= 1 e25666: b2939 - b2940 + b2943 <= 1 e25667: b2939 - b2940 + b2944 <= 1 e25668: b2939 - b2940 + b2945 <= 1 e25669: b2939 - b2940 + b2946 <= 1 e25670: b2940 - b2941 + b2942 <= 1 e25671: b2940 - b2941 + b2943 <= 1 e25672: b2940 - b2941 + b2944 <= 1 e25673: b2940 - b2941 + b2945 <= 1 e25674: b2940 - b2941 + b2946 <= 1 e25675: b2940 - b2941 + b2947 <= 1 e25676: b2941 - b2942 + b2943 <= 1 e25677: b2941 - b2942 + b2944 <= 1 e25678: b2941 - b2942 + b2945 <= 1 e25679: b2941 - b2942 + b2946 <= 1 e25680: b2941 - b2942 + b2947 <= 1 e25681: b2941 - b2942 + b2948 <= 1 e25682: b2942 - b2943 + b2944 <= 1 e25683: b2942 - b2943 + b2945 <= 1 e25684: b2942 - b2943 + b2946 <= 1 e25685: b2942 - b2943 + b2947 <= 1 e25686: b2942 - b2943 + b2948 <= 1 e25687: b2942 - b2943 + b2949 <= 1 e25688: b2943 - b2944 + b2945 <= 1 e25689: b2943 - b2944 + b2946 <= 1 e25690: b2943 - b2944 + b2947 <= 1 e25691: b2943 - b2944 + b2948 <= 1 e25692: b2943 - b2944 + b2949 <= 1 e25693: b2943 - b2944 + b2950 <= 1 e25694: b2944 - b2945 + b2946 <= 1 e25695: b2944 - b2945 + b2947 <= 1 e25696: b2944 - b2945 + b2948 <= 1 e25697: b2944 - b2945 + b2949 <= 1 e25698: b2944 - b2945 + b2950 <= 1 e25699: b2944 - b2945 + b2951 <= 1 e25700: b2945 - b2946 + b2947 <= 1 e25701: b2945 - b2946 + b2948 <= 1 e25702: b2945 - b2946 + b2949 <= 1 e25703: b2945 - b2946 + b2950 <= 1 e25704: b2945 - b2946 + b2951 <= 1 e25705: b2945 - b2946 + b2952 <= 1 e25706: b2946 - b2947 + b2948 <= 1 e25707: b2946 - b2947 + b2949 <= 1 e25708: b2946 - b2947 + b2950 <= 1 e25709: b2946 - b2947 + b2951 <= 1 e25710: b2946 - b2947 + b2952 <= 1 e25711: b2946 - b2947 + b2953 <= 1 e25712: b2947 - b2948 + b2949 <= 1 e25713: b2947 - b2948 + b2950 <= 1 e25714: b2947 - b2948 + b2951 <= 1 e25715: b2947 - b2948 + b2952 <= 1 e25716: b2947 - b2948 + b2953 <= 1 e25717: b2948 - b2949 + b2950 <= 1 e25718: b2948 - b2949 + b2951 <= 1 e25719: b2948 - b2949 + b2952 <= 1 e25720: b2948 - b2949 + b2953 <= 1 e25721: b2949 - b2950 + b2951 <= 1 e25722: b2949 - b2950 + b2952 <= 1 e25723: b2949 - b2950 + b2953 <= 1 e25724: b2950 - b2951 + b2952 <= 1 e25725: b2950 - b2951 + b2953 <= 1 e25726: b2951 - b2952 + b2953 <= 1 e25727: - b2930 + b2931 + x19267 >= 0 e25728: - b2930 + b2932 + x19267 >= 0 e25729: - b2930 + b2933 + x19267 >= 0 e25730: - b2930 + b2934 + x19267 >= 0 e25731: - b2930 + b2935 + x19267 >= 0 e25732: - b2930 + b2936 + x19267 >= 0 e25733: b2930 - b2931 + b2932 >= 0 e25734: b2930 - b2931 + b2933 >= 0 e25735: b2930 - b2931 + b2934 >= 0 e25736: b2930 - b2931 + b2935 >= 0 e25737: b2930 - b2931 + b2936 >= 0 e25738: b2930 - b2931 + b2937 >= 0 e25739: b2931 - b2932 + b2933 >= 0 e25740: b2931 - b2932 + b2934 >= 0 e25741: b2931 - b2932 + b2935 >= 0 e25742: b2931 - b2932 + b2936 >= 0 e25743: b2931 - b2932 + b2937 >= 0 e25744: b2931 - b2932 + b2938 >= 0 e25745: b2932 - b2933 + b2934 >= 0 e25746: b2932 - b2933 + b2935 >= 0 e25747: b2932 - b2933 + b2936 >= 0 e25748: b2932 - b2933 + b2937 >= 0 e25749: b2932 - b2933 + b2938 >= 0 e25750: b2932 - b2933 + b2939 >= 0 e25751: b2933 - b2934 + b2935 >= 0 e25752: b2933 - b2934 + b2936 >= 0 e25753: b2933 - b2934 + b2937 >= 0 e25754: b2933 - b2934 + b2938 >= 0 e25755: b2933 - b2934 + b2939 >= 0 e25756: b2933 - b2934 + b2940 >= 0 e25757: b2934 - b2935 + b2936 >= 0 e25758: b2934 - b2935 + b2937 >= 0 e25759: b2934 - b2935 + b2938 >= 0 e25760: b2934 - b2935 + b2939 >= 0 e25761: b2934 - b2935 + b2940 >= 0 e25762: b2934 - b2935 + b2941 >= 0 e25763: b2935 - b2936 + b2937 >= 0 e25764: b2935 - b2936 + b2938 >= 0 e25765: b2935 - b2936 + b2939 >= 0 e25766: b2935 - b2936 + b2940 >= 0 e25767: b2935 - b2936 + b2941 >= 0 e25768: b2935 - b2936 + b2942 >= 0 e25769: b2936 - b2937 + b2938 >= 0 e25770: b2936 - b2937 + b2939 >= 0 e25771: b2936 - b2937 + b2940 >= 0 e25772: b2936 - b2937 + b2941 >= 0 e25773: b2936 - b2937 + b2942 >= 0 e25774: b2936 - b2937 + b2943 >= 0 e25775: b2937 - b2938 + b2939 >= 0 e25776: b2937 - b2938 + b2940 >= 0 e25777: b2937 - b2938 + b2941 >= 0 e25778: b2937 - b2938 + b2942 >= 0 e25779: b2937 - b2938 + b2943 >= 0 e25780: b2937 - b2938 + b2944 >= 0 e25781: b2938 - b2939 + b2940 >= 0 e25782: b2938 - b2939 + b2941 >= 0 e25783: b2938 - b2939 + b2942 >= 0 e25784: b2938 - b2939 + b2943 >= 0 e25785: b2938 - b2939 + b2944 >= 0 e25786: b2938 - b2939 + b2945 >= 0 e25787: b2939 - b2940 + b2941 >= 0 e25788: b2939 - b2940 + b2942 >= 0 e25789: b2939 - b2940 + b2943 >= 0 e25790: b2939 - b2940 + b2944 >= 0 e25791: b2939 - b2940 + b2945 >= 0 e25792: b2939 - b2940 + b2946 >= 0 e25793: b2940 - b2941 + b2942 >= 0 e25794: b2940 - b2941 + b2943 >= 0 e25795: b2940 - b2941 + b2944 >= 0 e25796: b2940 - b2941 + b2945 >= 0 e25797: b2940 - b2941 + b2946 >= 0 e25798: b2940 - b2941 + b2947 >= 0 e25799: b2941 - b2942 + b2943 >= 0 e25800: b2941 - b2942 + b2944 >= 0 e25801: b2941 - b2942 + b2945 >= 0 e25802: b2941 - b2942 + b2946 >= 0 e25803: b2941 - b2942 + b2947 >= 0 e25804: b2941 - b2942 + b2948 >= 0 e25805: b2942 - b2943 + b2944 >= 0 e25806: b2942 - b2943 + b2945 >= 0 e25807: b2942 - b2943 + b2946 >= 0 e25808: b2942 - b2943 + b2947 >= 0 e25809: b2942 - b2943 + b2948 >= 0 e25810: b2942 - b2943 + b2949 >= 0 e25811: b2943 - b2944 + b2945 >= 0 e25812: b2943 - b2944 + b2946 >= 0 e25813: b2943 - b2944 + b2947 >= 0 e25814: b2943 - b2944 + b2948 >= 0 e25815: b2943 - b2944 + b2949 >= 0 e25816: b2943 - b2944 + b2950 >= 0 e25817: b2944 - b2945 + b2946 >= 0 e25818: b2944 - b2945 + b2947 >= 0 e25819: b2944 - b2945 + b2948 >= 0 e25820: b2944 - b2945 + b2949 >= 0 e25821: b2944 - b2945 + b2950 >= 0 e25822: b2944 - b2945 + b2951 >= 0 e25823: b2945 - b2946 + b2947 >= 0 e25824: b2945 - b2946 + b2948 >= 0 e25825: b2945 - b2946 + b2949 >= 0 e25826: b2945 - b2946 + b2950 >= 0 e25827: b2945 - b2946 + b2951 >= 0 e25828: b2945 - b2946 + b2952 >= 0 e25829: b2946 - b2947 + b2948 >= 0 e25830: b2946 - b2947 + b2949 >= 0 e25831: b2946 - b2947 + b2950 >= 0 e25832: b2946 - b2947 + b2951 >= 0 e25833: b2946 - b2947 + b2952 >= 0 e25834: b2946 - b2947 + b2953 >= 0 e25835: b2947 - b2948 + b2949 >= 0 e25836: b2947 - b2948 + b2950 >= 0 e25837: b2947 - b2948 + b2951 >= 0 e25838: b2947 - b2948 + b2952 >= 0 e25839: b2947 - b2948 + b2953 >= 0 e25840: b2948 - b2949 + b2950 >= 0 e25841: b2948 - b2949 + b2951 >= 0 e25842: b2948 - b2949 + b2952 >= 0 e25843: b2948 - b2949 + b2953 >= 0 e25844: b2949 - b2950 + b2951 >= 0 e25845: b2949 - b2950 + b2952 >= 0 e25846: b2949 - b2950 + b2953 >= 0 e25847: b2950 - b2951 + b2952 >= 0 e25848: b2950 - b2951 + b2953 >= 0 e25849: b2951 - b2952 + b2953 >= 0 e25850: - b2954 + b2955 + x19268 <= 1 e25851: - b2954 + b2956 + x19268 <= 1 e25852: - b2954 + b2957 + x19268 <= 1 e25853: - b2954 + b2958 + x19268 <= 1 e25854: - b2954 + b2959 + x19268 <= 1 e25855: - b2954 + b2960 + x19268 <= 1 e25856: - b2954 + b2961 + x19268 <= 1 e25857: b2954 - b2955 + b2956 <= 1 e25858: b2954 - b2955 + b2957 <= 1 e25859: b2954 - b2955 + b2958 <= 1 e25860: b2954 - b2955 + b2959 <= 1 e25861: b2954 - b2955 + b2960 <= 1 e25862: b2954 - b2955 + b2961 <= 1 e25863: b2954 - b2955 + b2962 <= 1 e25864: b2955 - b2956 + b2957 <= 1 e25865: b2955 - b2956 + b2958 <= 1 e25866: b2955 - b2956 + b2959 <= 1 e25867: b2955 - b2956 + b2960 <= 1 e25868: b2955 - b2956 + b2961 <= 1 e25869: b2955 - b2956 + b2962 <= 1 e25870: b2955 - b2956 + b2963 <= 1 e25871: b2956 - b2957 + b2958 <= 1 e25872: b2956 - b2957 + b2959 <= 1 e25873: b2956 - b2957 + b2960 <= 1 e25874: b2956 - b2957 + b2961 <= 1 e25875: b2956 - b2957 + b2962 <= 1 e25876: b2956 - b2957 + b2963 <= 1 e25877: b2956 - b2957 + b2964 <= 1 e25878: b2957 - b2958 + b2959 <= 1 e25879: b2957 - b2958 + b2960 <= 1 e25880: b2957 - b2958 + b2961 <= 1 e25881: b2957 - b2958 + b2962 <= 1 e25882: b2957 - b2958 + b2963 <= 1 e25883: b2957 - b2958 + b2964 <= 1 e25884: b2957 - b2958 + b2965 <= 1 e25885: b2958 - b2959 + b2960 <= 1 e25886: b2958 - b2959 + b2961 <= 1 e25887: b2958 - b2959 + b2962 <= 1 e25888: b2958 - b2959 + b2963 <= 1 e25889: b2958 - b2959 + b2964 <= 1 e25890: b2958 - b2959 + b2965 <= 1 e25891: b2958 - b2959 + b2966 <= 1 e25892: b2959 - b2960 + b2961 <= 1 e25893: b2959 - b2960 + b2962 <= 1 e25894: b2959 - b2960 + b2963 <= 1 e25895: b2959 - b2960 + b2964 <= 1 e25896: b2959 - b2960 + b2965 <= 1 e25897: b2959 - b2960 + b2966 <= 1 e25898: b2959 - b2960 + b2967 <= 1 e25899: b2960 - b2961 + b2962 <= 1 e25900: b2960 - b2961 + b2963 <= 1 e25901: b2960 - b2961 + b2964 <= 1 e25902: b2960 - b2961 + b2965 <= 1 e25903: b2960 - b2961 + b2966 <= 1 e25904: b2960 - b2961 + b2967 <= 1 e25905: b2960 - b2961 + b2968 <= 1 e25906: b2961 - b2962 + b2963 <= 1 e25907: b2961 - b2962 + b2964 <= 1 e25908: b2961 - b2962 + b2965 <= 1 e25909: b2961 - b2962 + b2966 <= 1 e25910: b2961 - b2962 + b2967 <= 1 e25911: b2961 - b2962 + b2968 <= 1 e25912: b2961 - b2962 + b2969 <= 1 e25913: b2962 - b2963 + b2964 <= 1 e25914: b2962 - b2963 + b2965 <= 1 e25915: b2962 - b2963 + b2966 <= 1 e25916: b2962 - b2963 + b2967 <= 1 e25917: b2962 - b2963 + b2968 <= 1 e25918: b2962 - b2963 + b2969 <= 1 e25919: b2962 - b2963 + b2970 <= 1 e25920: b2963 - b2964 + b2965 <= 1 e25921: b2963 - b2964 + b2966 <= 1 e25922: b2963 - b2964 + b2967 <= 1 e25923: b2963 - b2964 + b2968 <= 1 e25924: b2963 - b2964 + b2969 <= 1 e25925: b2963 - b2964 + b2970 <= 1 e25926: b2963 - b2964 + b2971 <= 1 e25927: b2964 - b2965 + b2966 <= 1 e25928: b2964 - b2965 + b2967 <= 1 e25929: b2964 - b2965 + b2968 <= 1 e25930: b2964 - b2965 + b2969 <= 1 e25931: b2964 - b2965 + b2970 <= 1 e25932: b2964 - b2965 + b2971 <= 1 e25933: b2964 - b2965 + b2972 <= 1 e25934: b2965 - b2966 + b2967 <= 1 e25935: b2965 - b2966 + b2968 <= 1 e25936: b2965 - b2966 + b2969 <= 1 e25937: b2965 - b2966 + b2970 <= 1 e25938: b2965 - b2966 + b2971 <= 1 e25939: b2965 - b2966 + b2972 <= 1 e25940: b2965 - b2966 + b2973 <= 1 e25941: b2966 - b2967 + b2968 <= 1 e25942: b2966 - b2967 + b2969 <= 1 e25943: b2966 - b2967 + b2970 <= 1 e25944: b2966 - b2967 + b2971 <= 1 e25945: b2966 - b2967 + b2972 <= 1 e25946: b2966 - b2967 + b2973 <= 1 e25947: b2966 - b2967 + b2974 <= 1 e25948: b2967 - b2968 + b2969 <= 1 e25949: b2967 - b2968 + b2970 <= 1 e25950: b2967 - b2968 + b2971 <= 1 e25951: b2967 - b2968 + b2972 <= 1 e25952: b2967 - b2968 + b2973 <= 1 e25953: b2967 - b2968 + b2974 <= 1 e25954: b2967 - b2968 + b2975 <= 1 e25955: b2968 - b2969 + b2970 <= 1 e25956: b2968 - b2969 + b2971 <= 1 e25957: b2968 - b2969 + b2972 <= 1 e25958: b2968 - b2969 + b2973 <= 1 e25959: b2968 - b2969 + b2974 <= 1 e25960: b2968 - b2969 + b2975 <= 1 e25961: b2968 - b2969 + b2976 <= 1 e25962: b2969 - b2970 + b2971 <= 1 e25963: b2969 - b2970 + b2972 <= 1 e25964: b2969 - b2970 + b2973 <= 1 e25965: b2969 - b2970 + b2974 <= 1 e25966: b2969 - b2970 + b2975 <= 1 e25967: b2969 - b2970 + b2976 <= 1 e25968: b2969 - b2970 + b2977 <= 1 e25969: b2970 - b2971 + b2972 <= 1 e25970: b2970 - b2971 + b2973 <= 1 e25971: b2970 - b2971 + b2974 <= 1 e25972: b2970 - b2971 + b2975 <= 1 e25973: b2970 - b2971 + b2976 <= 1 e25974: b2970 - b2971 + b2977 <= 1 e25975: b2971 - b2972 + b2973 <= 1 e25976: b2971 - b2972 + b2974 <= 1 e25977: b2971 - b2972 + b2975 <= 1 e25978: b2971 - b2972 + b2976 <= 1 e25979: b2971 - b2972 + b2977 <= 1 e25980: b2972 - b2973 + b2974 <= 1 e25981: b2972 - b2973 + b2975 <= 1 e25982: b2972 - b2973 + b2976 <= 1 e25983: b2972 - b2973 + b2977 <= 1 e25984: b2973 - b2974 + b2975 <= 1 e25985: b2973 - b2974 + b2976 <= 1 e25986: b2973 - b2974 + b2977 <= 1 e25987: b2974 - b2975 + b2976 <= 1 e25988: b2974 - b2975 + b2977 <= 1 e25989: b2975 - b2976 + b2977 <= 1 e25990: - b2954 + b2955 + x19268 >= 0 e25991: - b2954 + b2956 + x19268 >= 0 e25992: - b2954 + b2957 + x19268 >= 0 e25993: - b2954 + b2958 + x19268 >= 0 e25994: - b2954 + b2959 + x19268 >= 0 e25995: - b2954 + b2960 + x19268 >= 0 e25996: - b2954 + b2961 + x19268 >= 0 e25997: b2954 - b2955 + b2956 >= 0 e25998: b2954 - b2955 + b2957 >= 0 e25999: b2954 - b2955 + b2958 >= 0 e26000: b2954 - b2955 + b2959 >= 0 e26001: b2954 - b2955 + b2960 >= 0 e26002: b2954 - b2955 + b2961 >= 0 e26003: b2954 - b2955 + b2962 >= 0 e26004: b2955 - b2956 + b2957 >= 0 e26005: b2955 - b2956 + b2958 >= 0 e26006: b2955 - b2956 + b2959 >= 0 e26007: b2955 - b2956 + b2960 >= 0 e26008: b2955 - b2956 + b2961 >= 0 e26009: b2955 - b2956 + b2962 >= 0 e26010: b2955 - b2956 + b2963 >= 0 e26011: b2956 - b2957 + b2958 >= 0 e26012: b2956 - b2957 + b2959 >= 0 e26013: b2956 - b2957 + b2960 >= 0 e26014: b2956 - b2957 + b2961 >= 0 e26015: b2956 - b2957 + b2962 >= 0 e26016: b2956 - b2957 + b2963 >= 0 e26017: b2956 - b2957 + b2964 >= 0 e26018: b2957 - b2958 + b2959 >= 0 e26019: b2957 - b2958 + b2960 >= 0 e26020: b2957 - b2958 + b2961 >= 0 e26021: b2957 - b2958 + b2962 >= 0 e26022: b2957 - b2958 + b2963 >= 0 e26023: b2957 - b2958 + b2964 >= 0 e26024: b2957 - b2958 + b2965 >= 0 e26025: b2958 - b2959 + b2960 >= 0 e26026: b2958 - b2959 + b2961 >= 0 e26027: b2958 - b2959 + b2962 >= 0 e26028: b2958 - b2959 + b2963 >= 0 e26029: b2958 - b2959 + b2964 >= 0 e26030: b2958 - b2959 + b2965 >= 0 e26031: b2958 - b2959 + b2966 >= 0 e26032: b2959 - b2960 + b2961 >= 0 e26033: b2959 - b2960 + b2962 >= 0 e26034: b2959 - b2960 + b2963 >= 0 e26035: b2959 - b2960 + b2964 >= 0 e26036: b2959 - b2960 + b2965 >= 0 e26037: b2959 - b2960 + b2966 >= 0 e26038: b2959 - b2960 + b2967 >= 0 e26039: b2960 - b2961 + b2962 >= 0 e26040: b2960 - b2961 + b2963 >= 0 e26041: b2960 - b2961 + b2964 >= 0 e26042: b2960 - b2961 + b2965 >= 0 e26043: b2960 - b2961 + b2966 >= 0 e26044: b2960 - b2961 + b2967 >= 0 e26045: b2960 - b2961 + b2968 >= 0 e26046: b2961 - b2962 + b2963 >= 0 e26047: b2961 - b2962 + b2964 >= 0 e26048: b2961 - b2962 + b2965 >= 0 e26049: b2961 - b2962 + b2966 >= 0 e26050: b2961 - b2962 + b2967 >= 0 e26051: b2961 - b2962 + b2968 >= 0 e26052: b2961 - b2962 + b2969 >= 0 e26053: b2962 - b2963 + b2964 >= 0 e26054: b2962 - b2963 + b2965 >= 0 e26055: b2962 - b2963 + b2966 >= 0 e26056: b2962 - b2963 + b2967 >= 0 e26057: b2962 - b2963 + b2968 >= 0 e26058: b2962 - b2963 + b2969 >= 0 e26059: b2962 - b2963 + b2970 >= 0 e26060: b2963 - b2964 + b2965 >= 0 e26061: b2963 - b2964 + b2966 >= 0 e26062: b2963 - b2964 + b2967 >= 0 e26063: b2963 - b2964 + b2968 >= 0 e26064: b2963 - b2964 + b2969 >= 0 e26065: b2963 - b2964 + b2970 >= 0 e26066: b2963 - b2964 + b2971 >= 0 e26067: b2964 - b2965 + b2966 >= 0 e26068: b2964 - b2965 + b2967 >= 0 e26069: b2964 - b2965 + b2968 >= 0 e26070: b2964 - b2965 + b2969 >= 0 e26071: b2964 - b2965 + b2970 >= 0 e26072: b2964 - b2965 + b2971 >= 0 e26073: b2964 - b2965 + b2972 >= 0 e26074: b2965 - b2966 + b2967 >= 0 e26075: b2965 - b2966 + b2968 >= 0 e26076: b2965 - b2966 + b2969 >= 0 e26077: b2965 - b2966 + b2970 >= 0 e26078: b2965 - b2966 + b2971 >= 0 e26079: b2965 - b2966 + b2972 >= 0 e26080: b2965 - b2966 + b2973 >= 0 e26081: b2966 - b2967 + b2968 >= 0 e26082: b2966 - b2967 + b2969 >= 0 e26083: b2966 - b2967 + b2970 >= 0 e26084: b2966 - b2967 + b2971 >= 0 e26085: b2966 - b2967 + b2972 >= 0 e26086: b2966 - b2967 + b2973 >= 0 e26087: b2966 - b2967 + b2974 >= 0 e26088: b2967 - b2968 + b2969 >= 0 e26089: b2967 - b2968 + b2970 >= 0 e26090: b2967 - b2968 + b2971 >= 0 e26091: b2967 - b2968 + b2972 >= 0 e26092: b2967 - b2968 + b2973 >= 0 e26093: b2967 - b2968 + b2974 >= 0 e26094: b2967 - b2968 + b2975 >= 0 e26095: b2968 - b2969 + b2970 >= 0 e26096: b2968 - b2969 + b2971 >= 0 e26097: b2968 - b2969 + b2972 >= 0 e26098: b2968 - b2969 + b2973 >= 0 e26099: b2968 - b2969 + b2974 >= 0 e26100: b2968 - b2969 + b2975 >= 0 e26101: b2968 - b2969 + b2976 >= 0 e26102: b2969 - b2970 + b2971 >= 0 e26103: b2969 - b2970 + b2972 >= 0 e26104: b2969 - b2970 + b2973 >= 0 e26105: b2969 - b2970 + b2974 >= 0 e26106: b2969 - b2970 + b2975 >= 0 e26107: b2969 - b2970 + b2976 >= 0 e26108: b2969 - b2970 + b2977 >= 0 e26109: b2970 - b2971 + b2972 >= 0 e26110: b2970 - b2971 + b2973 >= 0 e26111: b2970 - b2971 + b2974 >= 0 e26112: b2970 - b2971 + b2975 >= 0 e26113: b2970 - b2971 + b2976 >= 0 e26114: b2970 - b2971 + b2977 >= 0 e26115: b2971 - b2972 + b2973 >= 0 e26116: b2971 - b2972 + b2974 >= 0 e26117: b2971 - b2972 + b2975 >= 0 e26118: b2971 - b2972 + b2976 >= 0 e26119: b2971 - b2972 + b2977 >= 0 e26120: b2972 - b2973 + b2974 >= 0 e26121: b2972 - b2973 + b2975 >= 0 e26122: b2972 - b2973 + b2976 >= 0 e26123: b2972 - b2973 + b2977 >= 0 e26124: b2973 - b2974 + b2975 >= 0 e26125: b2973 - b2974 + b2976 >= 0 e26126: b2973 - b2974 + b2977 >= 0 e26127: b2974 - b2975 + b2976 >= 0 e26128: b2974 - b2975 + b2977 >= 0 e26129: b2975 - b2976 + b2977 >= 0 e26130: - b2978 + b2979 + x19269 <= 1 e26131: - b2978 + b2980 + x19269 <= 1 e26132: - b2978 + b2981 + x19269 <= 1 e26133: - b2978 + b2982 + x19269 <= 1 e26134: - b2978 + b2983 + x19269 <= 1 e26135: - b2978 + b2984 + x19269 <= 1 e26136: b2978 - b2979 + b2980 <= 1 e26137: b2978 - b2979 + b2981 <= 1 e26138: b2978 - b2979 + b2982 <= 1 e26139: b2978 - b2979 + b2983 <= 1 e26140: b2978 - b2979 + b2984 <= 1 e26141: b2978 - b2979 + b2985 <= 1 e26142: b2979 - b2980 + b2981 <= 1 e26143: b2979 - b2980 + b2982 <= 1 e26144: b2979 - b2980 + b2983 <= 1 e26145: b2979 - b2980 + b2984 <= 1 e26146: b2979 - b2980 + b2985 <= 1 e26147: b2979 - b2980 + b2986 <= 1 e26148: b2980 - b2981 + b2982 <= 1 e26149: b2980 - b2981 + b2983 <= 1 e26150: b2980 - b2981 + b2984 <= 1 e26151: b2980 - b2981 + b2985 <= 1 e26152: b2980 - b2981 + b2986 <= 1 e26153: b2980 - b2981 + b2987 <= 1 e26154: b2981 - b2982 + b2983 <= 1 e26155: b2981 - b2982 + b2984 <= 1 e26156: b2981 - b2982 + b2985 <= 1 e26157: b2981 - b2982 + b2986 <= 1 e26158: b2981 - b2982 + b2987 <= 1 e26159: b2981 - b2982 + b2988 <= 1 e26160: b2982 - b2983 + b2984 <= 1 e26161: b2982 - b2983 + b2985 <= 1 e26162: b2982 - b2983 + b2986 <= 1 e26163: b2982 - b2983 + b2987 <= 1 e26164: b2982 - b2983 + b2988 <= 1 e26165: b2982 - b2983 + b2989 <= 1 e26166: b2983 - b2984 + b2985 <= 1 e26167: b2983 - b2984 + b2986 <= 1 e26168: b2983 - b2984 + b2987 <= 1 e26169: b2983 - b2984 + b2988 <= 1 e26170: b2983 - b2984 + b2989 <= 1 e26171: b2983 - b2984 + b2990 <= 1 e26172: b2984 - b2985 + b2986 <= 1 e26173: b2984 - b2985 + b2987 <= 1 e26174: b2984 - b2985 + b2988 <= 1 e26175: b2984 - b2985 + b2989 <= 1 e26176: b2984 - b2985 + b2990 <= 1 e26177: b2984 - b2985 + b2991 <= 1 e26178: b2985 - b2986 + b2987 <= 1 e26179: b2985 - b2986 + b2988 <= 1 e26180: b2985 - b2986 + b2989 <= 1 e26181: b2985 - b2986 + b2990 <= 1 e26182: b2985 - b2986 + b2991 <= 1 e26183: b2985 - b2986 + b2992 <= 1 e26184: b2986 - b2987 + b2988 <= 1 e26185: b2986 - b2987 + b2989 <= 1 e26186: b2986 - b2987 + b2990 <= 1 e26187: b2986 - b2987 + b2991 <= 1 e26188: b2986 - b2987 + b2992 <= 1 e26189: b2986 - b2987 + b2993 <= 1 e26190: b2987 - b2988 + b2989 <= 1 e26191: b2987 - b2988 + b2990 <= 1 e26192: b2987 - b2988 + b2991 <= 1 e26193: b2987 - b2988 + b2992 <= 1 e26194: b2987 - b2988 + b2993 <= 1 e26195: b2987 - b2988 + b2994 <= 1 e26196: b2988 - b2989 + b2990 <= 1 e26197: b2988 - b2989 + b2991 <= 1 e26198: b2988 - b2989 + b2992 <= 1 e26199: b2988 - b2989 + b2993 <= 1 e26200: b2988 - b2989 + b2994 <= 1 e26201: b2988 - b2989 + b2995 <= 1 e26202: b2989 - b2990 + b2991 <= 1 e26203: b2989 - b2990 + b2992 <= 1 e26204: b2989 - b2990 + b2993 <= 1 e26205: b2989 - b2990 + b2994 <= 1 e26206: b2989 - b2990 + b2995 <= 1 e26207: b2989 - b2990 + b2996 <= 1 e26208: b2990 - b2991 + b2992 <= 1 e26209: b2990 - b2991 + b2993 <= 1 e26210: b2990 - b2991 + b2994 <= 1 e26211: b2990 - b2991 + b2995 <= 1 e26212: b2990 - b2991 + b2996 <= 1 e26213: b2990 - b2991 + b2997 <= 1 e26214: b2991 - b2992 + b2993 <= 1 e26215: b2991 - b2992 + b2994 <= 1 e26216: b2991 - b2992 + b2995 <= 1 e26217: b2991 - b2992 + b2996 <= 1 e26218: b2991 - b2992 + b2997 <= 1 e26219: b2991 - b2992 + b2998 <= 1 e26220: b2992 - b2993 + b2994 <= 1 e26221: b2992 - b2993 + b2995 <= 1 e26222: b2992 - b2993 + b2996 <= 1 e26223: b2992 - b2993 + b2997 <= 1 e26224: b2992 - b2993 + b2998 <= 1 e26225: b2992 - b2993 + b2999 <= 1 e26226: b2993 - b2994 + b2995 <= 1 e26227: b2993 - b2994 + b2996 <= 1 e26228: b2993 - b2994 + b2997 <= 1 e26229: b2993 - b2994 + b2998 <= 1 e26230: b2993 - b2994 + b2999 <= 1 e26231: b2993 - b2994 + b3000 <= 1 e26232: b2994 - b2995 + b2996 <= 1 e26233: b2994 - b2995 + b2997 <= 1 e26234: b2994 - b2995 + b2998 <= 1 e26235: b2994 - b2995 + b2999 <= 1 e26236: b2994 - b2995 + b3000 <= 1 e26237: b2994 - b2995 + b3001 <= 1 e26238: b2995 - b2996 + b2997 <= 1 e26239: b2995 - b2996 + b2998 <= 1 e26240: b2995 - b2996 + b2999 <= 1 e26241: b2995 - b2996 + b3000 <= 1 e26242: b2995 - b2996 + b3001 <= 1 e26243: b2996 - b2997 + b2998 <= 1 e26244: b2996 - b2997 + b2999 <= 1 e26245: b2996 - b2997 + b3000 <= 1 e26246: b2996 - b2997 + b3001 <= 1 e26247: b2997 - b2998 + b2999 <= 1 e26248: b2997 - b2998 + b3000 <= 1 e26249: b2997 - b2998 + b3001 <= 1 e26250: b2998 - b2999 + b3000 <= 1 e26251: b2998 - b2999 + b3001 <= 1 e26252: b2999 - b3000 + b3001 <= 1 e26253: - b2978 + b2979 + x19269 >= 0 e26254: - b2978 + b2980 + x19269 >= 0 e26255: - b2978 + b2981 + x19269 >= 0 e26256: - b2978 + b2982 + x19269 >= 0 e26257: - b2978 + b2983 + x19269 >= 0 e26258: - b2978 + b2984 + x19269 >= 0 e26259: - b2978 + b2985 + x19269 >= 0 e26260: b2978 - b2979 + b2980 >= 0 e26261: b2978 - b2979 + b2981 >= 0 e26262: b2978 - b2979 + b2982 >= 0 e26263: b2978 - b2979 + b2983 >= 0 e26264: b2978 - b2979 + b2984 >= 0 e26265: b2978 - b2979 + b2985 >= 0 e26266: b2978 - b2979 + b2986 >= 0 e26267: b2979 - b2980 + b2981 >= 0 e26268: b2979 - b2980 + b2982 >= 0 e26269: b2979 - b2980 + b2983 >= 0 e26270: b2979 - b2980 + b2984 >= 0 e26271: b2979 - b2980 + b2985 >= 0 e26272: b2979 - b2980 + b2986 >= 0 e26273: b2979 - b2980 + b2987 >= 0 e26274: b2980 - b2981 + b2982 >= 0 e26275: b2980 - b2981 + b2983 >= 0 e26276: b2980 - b2981 + b2984 >= 0 e26277: b2980 - b2981 + b2985 >= 0 e26278: b2980 - b2981 + b2986 >= 0 e26279: b2980 - b2981 + b2987 >= 0 e26280: b2980 - b2981 + b2988 >= 0 e26281: b2981 - b2982 + b2983 >= 0 e26282: b2981 - b2982 + b2984 >= 0 e26283: b2981 - b2982 + b2985 >= 0 e26284: b2981 - b2982 + b2986 >= 0 e26285: b2981 - b2982 + b2987 >= 0 e26286: b2981 - b2982 + b2988 >= 0 e26287: b2981 - b2982 + b2989 >= 0 e26288: b2982 - b2983 + b2984 >= 0 e26289: b2982 - b2983 + b2985 >= 0 e26290: b2982 - b2983 + b2986 >= 0 e26291: b2982 - b2983 + b2987 >= 0 e26292: b2982 - b2983 + b2988 >= 0 e26293: b2982 - b2983 + b2989 >= 0 e26294: b2982 - b2983 + b2990 >= 0 e26295: b2983 - b2984 + b2985 >= 0 e26296: b2983 - b2984 + b2986 >= 0 e26297: b2983 - b2984 + b2987 >= 0 e26298: b2983 - b2984 + b2988 >= 0 e26299: b2983 - b2984 + b2989 >= 0 e26300: b2983 - b2984 + b2990 >= 0 e26301: b2983 - b2984 + b2991 >= 0 e26302: b2984 - b2985 + b2986 >= 0 e26303: b2984 - b2985 + b2987 >= 0 e26304: b2984 - b2985 + b2988 >= 0 e26305: b2984 - b2985 + b2989 >= 0 e26306: b2984 - b2985 + b2990 >= 0 e26307: b2984 - b2985 + b2991 >= 0 e26308: b2984 - b2985 + b2992 >= 0 e26309: b2985 - b2986 + b2987 >= 0 e26310: b2985 - b2986 + b2988 >= 0 e26311: b2985 - b2986 + b2989 >= 0 e26312: b2985 - b2986 + b2990 >= 0 e26313: b2985 - b2986 + b2991 >= 0 e26314: b2985 - b2986 + b2992 >= 0 e26315: b2985 - b2986 + b2993 >= 0 e26316: b2986 - b2987 + b2988 >= 0 e26317: b2986 - b2987 + b2989 >= 0 e26318: b2986 - b2987 + b2990 >= 0 e26319: b2986 - b2987 + b2991 >= 0 e26320: b2986 - b2987 + b2992 >= 0 e26321: b2986 - b2987 + b2993 >= 0 e26322: b2986 - b2987 + b2994 >= 0 e26323: b2987 - b2988 + b2989 >= 0 e26324: b2987 - b2988 + b2990 >= 0 e26325: b2987 - b2988 + b2991 >= 0 e26326: b2987 - b2988 + b2992 >= 0 e26327: b2987 - b2988 + b2993 >= 0 e26328: b2987 - b2988 + b2994 >= 0 e26329: b2987 - b2988 + b2995 >= 0 e26330: b2988 - b2989 + b2990 >= 0 e26331: b2988 - b2989 + b2991 >= 0 e26332: b2988 - b2989 + b2992 >= 0 e26333: b2988 - b2989 + b2993 >= 0 e26334: b2988 - b2989 + b2994 >= 0 e26335: b2988 - b2989 + b2995 >= 0 e26336: b2988 - b2989 + b2996 >= 0 e26337: b2989 - b2990 + b2991 >= 0 e26338: b2989 - b2990 + b2992 >= 0 e26339: b2989 - b2990 + b2993 >= 0 e26340: b2989 - b2990 + b2994 >= 0 e26341: b2989 - b2990 + b2995 >= 0 e26342: b2989 - b2990 + b2996 >= 0 e26343: b2989 - b2990 + b2997 >= 0 e26344: b2990 - b2991 + b2992 >= 0 e26345: b2990 - b2991 + b2993 >= 0 e26346: b2990 - b2991 + b2994 >= 0 e26347: b2990 - b2991 + b2995 >= 0 e26348: b2990 - b2991 + b2996 >= 0 e26349: b2990 - b2991 + b2997 >= 0 e26350: b2990 - b2991 + b2998 >= 0 e26351: b2991 - b2992 + b2993 >= 0 e26352: b2991 - b2992 + b2994 >= 0 e26353: b2991 - b2992 + b2995 >= 0 e26354: b2991 - b2992 + b2996 >= 0 e26355: b2991 - b2992 + b2997 >= 0 e26356: b2991 - b2992 + b2998 >= 0 e26357: b2991 - b2992 + b2999 >= 0 e26358: b2992 - b2993 + b2994 >= 0 e26359: b2992 - b2993 + b2995 >= 0 e26360: b2992 - b2993 + b2996 >= 0 e26361: b2992 - b2993 + b2997 >= 0 e26362: b2992 - b2993 + b2998 >= 0 e26363: b2992 - b2993 + b2999 >= 0 e26364: b2992 - b2993 + b3000 >= 0 e26365: b2993 - b2994 + b2995 >= 0 e26366: b2993 - b2994 + b2996 >= 0 e26367: b2993 - b2994 + b2997 >= 0 e26368: b2993 - b2994 + b2998 >= 0 e26369: b2993 - b2994 + b2999 >= 0 e26370: b2993 - b2994 + b3000 >= 0 e26371: b2993 - b2994 + b3001 >= 0 e26372: b2994 - b2995 + b2996 >= 0 e26373: b2994 - b2995 + b2997 >= 0 e26374: b2994 - b2995 + b2998 >= 0 e26375: b2994 - b2995 + b2999 >= 0 e26376: b2994 - b2995 + b3000 >= 0 e26377: b2994 - b2995 + b3001 >= 0 e26378: b2995 - b2996 + b2997 >= 0 e26379: b2995 - b2996 + b2998 >= 0 e26380: b2995 - b2996 + b2999 >= 0 e26381: b2995 - b2996 + b3000 >= 0 e26382: b2995 - b2996 + b3001 >= 0 e26383: b2996 - b2997 + b2998 >= 0 e26384: b2996 - b2997 + b2999 >= 0 e26385: b2996 - b2997 + b3000 >= 0 e26386: b2996 - b2997 + b3001 >= 0 e26387: b2997 - b2998 + b2999 >= 0 e26388: b2997 - b2998 + b3000 >= 0 e26389: b2997 - b2998 + b3001 >= 0 e26390: b2998 - b2999 + b3000 >= 0 e26391: b2998 - b2999 + b3001 >= 0 e26392: b2999 - b3000 + b3001 >= 0 e26393: - b3002 + b3003 + x19270 <= 1 e26394: - b3002 + b3004 + x19270 <= 1 e26395: - b3002 + b3005 + x19270 <= 1 e26396: - b3002 + b3006 + x19270 <= 1 e26397: - b3002 + b3007 + x19270 <= 1 e26398: - b3002 + b3008 + x19270 <= 1 e26399: - b3002 + b3009 + x19270 <= 1 e26400: b3002 - b3003 + b3004 <= 1 e26401: b3002 - b3003 + b3005 <= 1 e26402: b3002 - b3003 + b3006 <= 1 e26403: b3002 - b3003 + b3007 <= 1 e26404: b3002 - b3003 + b3008 <= 1 e26405: b3002 - b3003 + b3009 <= 1 e26406: b3002 - b3003 + b3010 <= 1 e26407: b3003 - b3004 + b3005 <= 1 e26408: b3003 - b3004 + b3006 <= 1 e26409: b3003 - b3004 + b3007 <= 1 e26410: b3003 - b3004 + b3008 <= 1 e26411: b3003 - b3004 + b3009 <= 1 e26412: b3003 - b3004 + b3010 <= 1 e26413: b3003 - b3004 + b3011 <= 1 e26414: b3004 - b3005 + b3006 <= 1 e26415: b3004 - b3005 + b3007 <= 1 e26416: b3004 - b3005 + b3008 <= 1 e26417: b3004 - b3005 + b3009 <= 1 e26418: b3004 - b3005 + b3010 <= 1 e26419: b3004 - b3005 + b3011 <= 1 e26420: b3004 - b3005 + b3012 <= 1 e26421: b3005 - b3006 + b3007 <= 1 e26422: b3005 - b3006 + b3008 <= 1 e26423: b3005 - b3006 + b3009 <= 1 e26424: b3005 - b3006 + b3010 <= 1 e26425: b3005 - b3006 + b3011 <= 1 e26426: b3005 - b3006 + b3012 <= 1 e26427: b3005 - b3006 + b3013 <= 1 e26428: b3006 - b3007 + b3008 <= 1 e26429: b3006 - b3007 + b3009 <= 1 e26430: b3006 - b3007 + b3010 <= 1 e26431: b3006 - b3007 + b3011 <= 1 e26432: b3006 - b3007 + b3012 <= 1 e26433: b3006 - b3007 + b3013 <= 1 e26434: b3006 - b3007 + b3014 <= 1 e26435: b3007 - b3008 + b3009 <= 1 e26436: b3007 - b3008 + b3010 <= 1 e26437: b3007 - b3008 + b3011 <= 1 e26438: b3007 - b3008 + b3012 <= 1 e26439: b3007 - b3008 + b3013 <= 1 e26440: b3007 - b3008 + b3014 <= 1 e26441: b3007 - b3008 + b3015 <= 1 e26442: b3008 - b3009 + b3010 <= 1 e26443: b3008 - b3009 + b3011 <= 1 e26444: b3008 - b3009 + b3012 <= 1 e26445: b3008 - b3009 + b3013 <= 1 e26446: b3008 - b3009 + b3014 <= 1 e26447: b3008 - b3009 + b3015 <= 1 e26448: b3008 - b3009 + b3016 <= 1 e26449: b3009 - b3010 + b3011 <= 1 e26450: b3009 - b3010 + b3012 <= 1 e26451: b3009 - b3010 + b3013 <= 1 e26452: b3009 - b3010 + b3014 <= 1 e26453: b3009 - b3010 + b3015 <= 1 e26454: b3009 - b3010 + b3016 <= 1 e26455: b3009 - b3010 + b3017 <= 1 e26456: b3010 - b3011 + b3012 <= 1 e26457: b3010 - b3011 + b3013 <= 1 e26458: b3010 - b3011 + b3014 <= 1 e26459: b3010 - b3011 + b3015 <= 1 e26460: b3010 - b3011 + b3016 <= 1 e26461: b3010 - b3011 + b3017 <= 1 e26462: b3010 - b3011 + b3018 <= 1 e26463: b3011 - b3012 + b3013 <= 1 e26464: b3011 - b3012 + b3014 <= 1 e26465: b3011 - b3012 + b3015 <= 1 e26466: b3011 - b3012 + b3016 <= 1 e26467: b3011 - b3012 + b3017 <= 1 e26468: b3011 - b3012 + b3018 <= 1 e26469: b3011 - b3012 + b3019 <= 1 e26470: b3012 - b3013 + b3014 <= 1 e26471: b3012 - b3013 + b3015 <= 1 e26472: b3012 - b3013 + b3016 <= 1 e26473: b3012 - b3013 + b3017 <= 1 e26474: b3012 - b3013 + b3018 <= 1 e26475: b3012 - b3013 + b3019 <= 1 e26476: b3012 - b3013 + b3020 <= 1 e26477: b3013 - b3014 + b3015 <= 1 e26478: b3013 - b3014 + b3016 <= 1 e26479: b3013 - b3014 + b3017 <= 1 e26480: b3013 - b3014 + b3018 <= 1 e26481: b3013 - b3014 + b3019 <= 1 e26482: b3013 - b3014 + b3020 <= 1 e26483: b3013 - b3014 + b3021 <= 1 e26484: b3014 - b3015 + b3016 <= 1 e26485: b3014 - b3015 + b3017 <= 1 e26486: b3014 - b3015 + b3018 <= 1 e26487: b3014 - b3015 + b3019 <= 1 e26488: b3014 - b3015 + b3020 <= 1 e26489: b3014 - b3015 + b3021 <= 1 e26490: b3014 - b3015 + b3022 <= 1 e26491: b3015 - b3016 + b3017 <= 1 e26492: b3015 - b3016 + b3018 <= 1 e26493: b3015 - b3016 + b3019 <= 1 e26494: b3015 - b3016 + b3020 <= 1 e26495: b3015 - b3016 + b3021 <= 1 e26496: b3015 - b3016 + b3022 <= 1 e26497: b3015 - b3016 + b3023 <= 1 e26498: b3016 - b3017 + b3018 <= 1 e26499: b3016 - b3017 + b3019 <= 1 e26500: b3016 - b3017 + b3020 <= 1 e26501: b3016 - b3017 + b3021 <= 1 e26502: b3016 - b3017 + b3022 <= 1 e26503: b3016 - b3017 + b3023 <= 1 e26504: b3016 - b3017 + b3024 <= 1 e26505: b3017 - b3018 + b3019 <= 1 e26506: b3017 - b3018 + b3020 <= 1 e26507: b3017 - b3018 + b3021 <= 1 e26508: b3017 - b3018 + b3022 <= 1 e26509: b3017 - b3018 + b3023 <= 1 e26510: b3017 - b3018 + b3024 <= 1 e26511: b3017 - b3018 + b3025 <= 1 e26512: b3018 - b3019 + b3020 <= 1 e26513: b3018 - b3019 + b3021 <= 1 e26514: b3018 - b3019 + b3022 <= 1 e26515: b3018 - b3019 + b3023 <= 1 e26516: b3018 - b3019 + b3024 <= 1 e26517: b3018 - b3019 + b3025 <= 1 e26518: b3019 - b3020 + b3021 <= 1 e26519: b3019 - b3020 + b3022 <= 1 e26520: b3019 - b3020 + b3023 <= 1 e26521: b3019 - b3020 + b3024 <= 1 e26522: b3019 - b3020 + b3025 <= 1 e26523: b3020 - b3021 + b3022 <= 1 e26524: b3020 - b3021 + b3023 <= 1 e26525: b3020 - b3021 + b3024 <= 1 e26526: b3020 - b3021 + b3025 <= 1 e26527: b3021 - b3022 + b3023 <= 1 e26528: b3021 - b3022 + b3024 <= 1 e26529: b3021 - b3022 + b3025 <= 1 e26530: b3022 - b3023 + b3024 <= 1 e26531: b3022 - b3023 + b3025 <= 1 e26532: b3023 - b3024 + b3025 <= 1 e26533: - b3002 + b3003 + x19270 >= 0 e26534: - b3002 + b3004 + x19270 >= 0 e26535: - b3002 + b3005 + x19270 >= 0 e26536: - b3002 + b3006 + x19270 >= 0 e26537: - b3002 + b3007 + x19270 >= 0 e26538: - b3002 + b3008 + x19270 >= 0 e26539: b3002 - b3003 + b3004 >= 0 e26540: b3002 - b3003 + b3005 >= 0 e26541: b3002 - b3003 + b3006 >= 0 e26542: b3002 - b3003 + b3007 >= 0 e26543: b3002 - b3003 + b3008 >= 0 e26544: b3002 - b3003 + b3009 >= 0 e26545: b3003 - b3004 + b3005 >= 0 e26546: b3003 - b3004 + b3006 >= 0 e26547: b3003 - b3004 + b3007 >= 0 e26548: b3003 - b3004 + b3008 >= 0 e26549: b3003 - b3004 + b3009 >= 0 e26550: b3003 - b3004 + b3010 >= 0 e26551: b3004 - b3005 + b3006 >= 0 e26552: b3004 - b3005 + b3007 >= 0 e26553: b3004 - b3005 + b3008 >= 0 e26554: b3004 - b3005 + b3009 >= 0 e26555: b3004 - b3005 + b3010 >= 0 e26556: b3004 - b3005 + b3011 >= 0 e26557: b3005 - b3006 + b3007 >= 0 e26558: b3005 - b3006 + b3008 >= 0 e26559: b3005 - b3006 + b3009 >= 0 e26560: b3005 - b3006 + b3010 >= 0 e26561: b3005 - b3006 + b3011 >= 0 e26562: b3005 - b3006 + b3012 >= 0 e26563: b3006 - b3007 + b3008 >= 0 e26564: b3006 - b3007 + b3009 >= 0 e26565: b3006 - b3007 + b3010 >= 0 e26566: b3006 - b3007 + b3011 >= 0 e26567: b3006 - b3007 + b3012 >= 0 e26568: b3006 - b3007 + b3013 >= 0 e26569: b3007 - b3008 + b3009 >= 0 e26570: b3007 - b3008 + b3010 >= 0 e26571: b3007 - b3008 + b3011 >= 0 e26572: b3007 - b3008 + b3012 >= 0 e26573: b3007 - b3008 + b3013 >= 0 e26574: b3007 - b3008 + b3014 >= 0 e26575: b3008 - b3009 + b3010 >= 0 e26576: b3008 - b3009 + b3011 >= 0 e26577: b3008 - b3009 + b3012 >= 0 e26578: b3008 - b3009 + b3013 >= 0 e26579: b3008 - b3009 + b3014 >= 0 e26580: b3008 - b3009 + b3015 >= 0 e26581: b3009 - b3010 + b3011 >= 0 e26582: b3009 - b3010 + b3012 >= 0 e26583: b3009 - b3010 + b3013 >= 0 e26584: b3009 - b3010 + b3014 >= 0 e26585: b3009 - b3010 + b3015 >= 0 e26586: b3009 - b3010 + b3016 >= 0 e26587: b3010 - b3011 + b3012 >= 0 e26588: b3010 - b3011 + b3013 >= 0 e26589: b3010 - b3011 + b3014 >= 0 e26590: b3010 - b3011 + b3015 >= 0 e26591: b3010 - b3011 + b3016 >= 0 e26592: b3010 - b3011 + b3017 >= 0 e26593: b3011 - b3012 + b3013 >= 0 e26594: b3011 - b3012 + b3014 >= 0 e26595: b3011 - b3012 + b3015 >= 0 e26596: b3011 - b3012 + b3016 >= 0 e26597: b3011 - b3012 + b3017 >= 0 e26598: b3011 - b3012 + b3018 >= 0 e26599: b3012 - b3013 + b3014 >= 0 e26600: b3012 - b3013 + b3015 >= 0 e26601: b3012 - b3013 + b3016 >= 0 e26602: b3012 - b3013 + b3017 >= 0 e26603: b3012 - b3013 + b3018 >= 0 e26604: b3012 - b3013 + b3019 >= 0 e26605: b3013 - b3014 + b3015 >= 0 e26606: b3013 - b3014 + b3016 >= 0 e26607: b3013 - b3014 + b3017 >= 0 e26608: b3013 - b3014 + b3018 >= 0 e26609: b3013 - b3014 + b3019 >= 0 e26610: b3013 - b3014 + b3020 >= 0 e26611: b3014 - b3015 + b3016 >= 0 e26612: b3014 - b3015 + b3017 >= 0 e26613: b3014 - b3015 + b3018 >= 0 e26614: b3014 - b3015 + b3019 >= 0 e26615: b3014 - b3015 + b3020 >= 0 e26616: b3014 - b3015 + b3021 >= 0 e26617: b3015 - b3016 + b3017 >= 0 e26618: b3015 - b3016 + b3018 >= 0 e26619: b3015 - b3016 + b3019 >= 0 e26620: b3015 - b3016 + b3020 >= 0 e26621: b3015 - b3016 + b3021 >= 0 e26622: b3015 - b3016 + b3022 >= 0 e26623: b3016 - b3017 + b3018 >= 0 e26624: b3016 - b3017 + b3019 >= 0 e26625: b3016 - b3017 + b3020 >= 0 e26626: b3016 - b3017 + b3021 >= 0 e26627: b3016 - b3017 + b3022 >= 0 e26628: b3016 - b3017 + b3023 >= 0 e26629: b3017 - b3018 + b3019 >= 0 e26630: b3017 - b3018 + b3020 >= 0 e26631: b3017 - b3018 + b3021 >= 0 e26632: b3017 - b3018 + b3022 >= 0 e26633: b3017 - b3018 + b3023 >= 0 e26634: b3017 - b3018 + b3024 >= 0 e26635: b3018 - b3019 + b3020 >= 0 e26636: b3018 - b3019 + b3021 >= 0 e26637: b3018 - b3019 + b3022 >= 0 e26638: b3018 - b3019 + b3023 >= 0 e26639: b3018 - b3019 + b3024 >= 0 e26640: b3018 - b3019 + b3025 >= 0 e26641: b3019 - b3020 + b3021 >= 0 e26642: b3019 - b3020 + b3022 >= 0 e26643: b3019 - b3020 + b3023 >= 0 e26644: b3019 - b3020 + b3024 >= 0 e26645: b3019 - b3020 + b3025 >= 0 e26646: b3020 - b3021 + b3022 >= 0 e26647: b3020 - b3021 + b3023 >= 0 e26648: b3020 - b3021 + b3024 >= 0 e26649: b3020 - b3021 + b3025 >= 0 e26650: b3021 - b3022 + b3023 >= 0 e26651: b3021 - b3022 + b3024 >= 0 e26652: b3021 - b3022 + b3025 >= 0 e26653: b3022 - b3023 + b3024 >= 0 e26654: b3022 - b3023 + b3025 >= 0 e26655: b3023 - b3024 + b3025 >= 0 e26656: b3026 = 0 e26657: b3027 = 0 e26658: b3028 = 0 e26659: b3029 = 0 e26660: b3030 = 0 e26661: b3030 - b3031 + b3032 <= 1 e26662: b3030 - b3031 + b3033 <= 1 e26663: b3030 - b3031 + b3034 <= 1 e26664: b3030 - b3031 + b3035 <= 1 e26665: b3030 - b3031 + b3036 <= 1 e26666: b3030 - b3031 + b3037 <= 1 e26667: b3030 - b3031 + b3038 <= 1 e26668: b3031 - b3032 + b3033 <= 1 e26669: b3031 - b3032 + b3034 <= 1 e26670: b3031 - b3032 + b3035 <= 1 e26671: b3031 - b3032 + b3036 <= 1 e26672: b3031 - b3032 + b3037 <= 1 e26673: b3031 - b3032 + b3038 <= 1 e26674: b3031 - b3032 + b3039 <= 1 e26675: b3032 - b3033 + b3034 <= 1 e26676: b3032 - b3033 + b3035 <= 1 e26677: b3032 - b3033 + b3036 <= 1 e26678: b3032 - b3033 + b3037 <= 1 e26679: b3032 - b3033 + b3038 <= 1 e26680: b3032 - b3033 + b3039 <= 1 e26681: b3032 - b3033 + b3040 <= 1 e26682: b3033 - b3034 + b3035 <= 1 e26683: b3033 - b3034 + b3036 <= 1 e26684: b3033 - b3034 + b3037 <= 1 e26685: b3033 - b3034 + b3038 <= 1 e26686: b3033 - b3034 + b3039 <= 1 e26687: b3033 - b3034 + b3040 <= 1 e26688: b3033 - b3034 + b3041 <= 1 e26689: b3034 - b3035 + b3036 <= 1 e26690: b3034 - b3035 + b3037 <= 1 e26691: b3034 - b3035 + b3038 <= 1 e26692: b3034 - b3035 + b3039 <= 1 e26693: b3034 - b3035 + b3040 <= 1 e26694: b3034 - b3035 + b3041 <= 1 e26695: b3034 - b3035 + b3042 <= 1 e26696: b3035 - b3036 + b3037 <= 1 e26697: b3035 - b3036 + b3038 <= 1 e26698: b3035 - b3036 + b3039 <= 1 e26699: b3035 - b3036 + b3040 <= 1 e26700: b3035 - b3036 + b3041 <= 1 e26701: b3035 - b3036 + b3042 <= 1 e26702: b3035 - b3036 + b3043 <= 1 e26703: b3036 - b3037 + b3038 <= 1 e26704: b3036 - b3037 + b3039 <= 1 e26705: b3036 - b3037 + b3040 <= 1 e26706: b3036 - b3037 + b3041 <= 1 e26707: b3036 - b3037 + b3042 <= 1 e26708: b3036 - b3037 + b3043 <= 1 e26709: b3036 - b3037 + b3044 <= 1 e26710: b3037 - b3038 + b3039 <= 1 e26711: b3037 - b3038 + b3040 <= 1 e26712: b3037 - b3038 + b3041 <= 1 e26713: b3037 - b3038 + b3042 <= 1 e26714: b3037 - b3038 + b3043 <= 1 e26715: b3037 - b3038 + b3044 <= 1 e26716: b3037 - b3038 + b3045 <= 1 e26717: b3038 - b3039 + b3040 <= 1 e26718: b3038 - b3039 + b3041 <= 1 e26719: b3038 - b3039 + b3042 <= 1 e26720: b3038 - b3039 + b3043 <= 1 e26721: b3038 - b3039 + b3044 <= 1 e26722: b3038 - b3039 + b3045 <= 1 e26723: b3038 - b3039 + b3046 <= 1 e26724: b3039 - b3040 + b3041 <= 1 e26725: b3039 - b3040 + b3042 <= 1 e26726: b3039 - b3040 + b3043 <= 1 e26727: b3039 - b3040 + b3044 <= 1 e26728: b3039 - b3040 + b3045 <= 1 e26729: b3039 - b3040 + b3046 <= 1 e26730: b3039 - b3040 + b3047 <= 1 e26731: b3040 - b3041 + b3042 <= 1 e26732: b3040 - b3041 + b3043 <= 1 e26733: b3040 - b3041 + b3044 <= 1 e26734: b3040 - b3041 + b3045 <= 1 e26735: b3040 - b3041 + b3046 <= 1 e26736: b3040 - b3041 + b3047 <= 1 e26737: b3040 - b3041 + b3048 <= 1 e26738: b3041 - b3042 + b3043 <= 1 e26739: b3041 - b3042 + b3044 <= 1 e26740: b3041 - b3042 + b3045 <= 1 e26741: b3041 - b3042 + b3046 <= 1 e26742: b3041 - b3042 + b3047 <= 1 e26743: b3041 - b3042 + b3048 <= 1 e26744: b3041 - b3042 + b3049 <= 1 e26745: b3042 - b3043 + b3044 <= 1 e26746: b3042 - b3043 + b3045 <= 1 e26747: b3042 - b3043 + b3046 <= 1 e26748: b3042 - b3043 + b3047 <= 1 e26749: b3042 - b3043 + b3048 <= 1 e26750: b3042 - b3043 + b3049 <= 1 e26751: b3043 - b3044 + b3045 <= 1 e26752: b3043 - b3044 + b3046 <= 1 e26753: b3043 - b3044 + b3047 <= 1 e26754: b3043 - b3044 + b3048 <= 1 e26755: b3043 - b3044 + b3049 <= 1 e26756: b3044 - b3045 + b3046 <= 1 e26757: b3044 - b3045 + b3047 <= 1 e26758: b3044 - b3045 + b3048 <= 1 e26759: b3044 - b3045 + b3049 <= 1 e26760: b3045 - b3046 + b3047 <= 1 e26761: b3045 - b3046 + b3048 <= 1 e26762: b3045 - b3046 + b3049 <= 1 e26763: b3046 - b3047 + b3048 <= 1 e26764: b3046 - b3047 + b3049 <= 1 e26765: b3047 - b3048 + b3049 <= 1 e26766: b3030 - b3031 + b3032 >= 0 e26767: b3030 - b3031 + b3033 >= 0 e26768: b3030 - b3031 + b3034 >= 0 e26769: b3030 - b3031 + b3035 >= 0 e26770: b3030 - b3031 + b3036 >= 0 e26771: b3030 - b3031 + b3037 >= 0 e26772: b3030 - b3031 + b3038 >= 0 e26773: b3031 - b3032 + b3033 >= 0 e26774: b3031 - b3032 + b3034 >= 0 e26775: b3031 - b3032 + b3035 >= 0 e26776: b3031 - b3032 + b3036 >= 0 e26777: b3031 - b3032 + b3037 >= 0 e26778: b3031 - b3032 + b3038 >= 0 e26779: b3031 - b3032 + b3039 >= 0 e26780: b3032 - b3033 + b3034 >= 0 e26781: b3032 - b3033 + b3035 >= 0 e26782: b3032 - b3033 + b3036 >= 0 e26783: b3032 - b3033 + b3037 >= 0 e26784: b3032 - b3033 + b3038 >= 0 e26785: b3032 - b3033 + b3039 >= 0 e26786: b3032 - b3033 + b3040 >= 0 e26787: b3033 - b3034 + b3035 >= 0 e26788: b3033 - b3034 + b3036 >= 0 e26789: b3033 - b3034 + b3037 >= 0 e26790: b3033 - b3034 + b3038 >= 0 e26791: b3033 - b3034 + b3039 >= 0 e26792: b3033 - b3034 + b3040 >= 0 e26793: b3033 - b3034 + b3041 >= 0 e26794: b3034 - b3035 + b3036 >= 0 e26795: b3034 - b3035 + b3037 >= 0 e26796: b3034 - b3035 + b3038 >= 0 e26797: b3034 - b3035 + b3039 >= 0 e26798: b3034 - b3035 + b3040 >= 0 e26799: b3034 - b3035 + b3041 >= 0 e26800: b3034 - b3035 + b3042 >= 0 e26801: b3035 - b3036 + b3037 >= 0 e26802: b3035 - b3036 + b3038 >= 0 e26803: b3035 - b3036 + b3039 >= 0 e26804: b3035 - b3036 + b3040 >= 0 e26805: b3035 - b3036 + b3041 >= 0 e26806: b3035 - b3036 + b3042 >= 0 e26807: b3035 - b3036 + b3043 >= 0 e26808: b3036 - b3037 + b3038 >= 0 e26809: b3036 - b3037 + b3039 >= 0 e26810: b3036 - b3037 + b3040 >= 0 e26811: b3036 - b3037 + b3041 >= 0 e26812: b3036 - b3037 + b3042 >= 0 e26813: b3036 - b3037 + b3043 >= 0 e26814: b3036 - b3037 + b3044 >= 0 e26815: b3037 - b3038 + b3039 >= 0 e26816: b3037 - b3038 + b3040 >= 0 e26817: b3037 - b3038 + b3041 >= 0 e26818: b3037 - b3038 + b3042 >= 0 e26819: b3037 - b3038 + b3043 >= 0 e26820: b3037 - b3038 + b3044 >= 0 e26821: b3037 - b3038 + b3045 >= 0 e26822: b3038 - b3039 + b3040 >= 0 e26823: b3038 - b3039 + b3041 >= 0 e26824: b3038 - b3039 + b3042 >= 0 e26825: b3038 - b3039 + b3043 >= 0 e26826: b3038 - b3039 + b3044 >= 0 e26827: b3038 - b3039 + b3045 >= 0 e26828: b3038 - b3039 + b3046 >= 0 e26829: b3039 - b3040 + b3041 >= 0 e26830: b3039 - b3040 + b3042 >= 0 e26831: b3039 - b3040 + b3043 >= 0 e26832: b3039 - b3040 + b3044 >= 0 e26833: b3039 - b3040 + b3045 >= 0 e26834: b3039 - b3040 + b3046 >= 0 e26835: b3039 - b3040 + b3047 >= 0 e26836: b3040 - b3041 + b3042 >= 0 e26837: b3040 - b3041 + b3043 >= 0 e26838: b3040 - b3041 + b3044 >= 0 e26839: b3040 - b3041 + b3045 >= 0 e26840: b3040 - b3041 + b3046 >= 0 e26841: b3040 - b3041 + b3047 >= 0 e26842: b3040 - b3041 + b3048 >= 0 e26843: b3041 - b3042 + b3043 >= 0 e26844: b3041 - b3042 + b3044 >= 0 e26845: b3041 - b3042 + b3045 >= 0 e26846: b3041 - b3042 + b3046 >= 0 e26847: b3041 - b3042 + b3047 >= 0 e26848: b3041 - b3042 + b3048 >= 0 e26849: b3041 - b3042 + b3049 >= 0 e26850: b3042 - b3043 + b3044 >= 0 e26851: b3042 - b3043 + b3045 >= 0 e26852: b3042 - b3043 + b3046 >= 0 e26853: b3042 - b3043 + b3047 >= 0 e26854: b3042 - b3043 + b3048 >= 0 e26855: b3042 - b3043 + b3049 >= 0 e26856: b3043 - b3044 + b3045 >= 0 e26857: b3043 - b3044 + b3046 >= 0 e26858: b3043 - b3044 + b3047 >= 0 e26859: b3043 - b3044 + b3048 >= 0 e26860: b3043 - b3044 + b3049 >= 0 e26861: b3044 - b3045 + b3046 >= 0 e26862: b3044 - b3045 + b3047 >= 0 e26863: b3044 - b3045 + b3048 >= 0 e26864: b3044 - b3045 + b3049 >= 0 e26865: b3045 - b3046 + b3047 >= 0 e26866: b3045 - b3046 + b3048 >= 0 e26867: b3045 - b3046 + b3049 >= 0 e26868: b3046 - b3047 + b3048 >= 0 e26869: b3046 - b3047 + b3049 >= 0 e26870: b3047 - b3048 + b3049 >= 0 e26871: b3050 = 1 e26872: b3050 - b3051 + b3052 <= 1 e26873: b3050 - b3051 + b3053 <= 1 e26874: b3050 - b3051 + b3054 <= 1 e26875: b3050 - b3051 + b3055 <= 1 e26876: b3050 - b3051 + b3056 <= 1 e26877: b3050 - b3051 + b3057 <= 1 e26878: b3051 - b3052 + b3053 <= 1 e26879: b3051 - b3052 + b3054 <= 1 e26880: b3051 - b3052 + b3055 <= 1 e26881: b3051 - b3052 + b3056 <= 1 e26882: b3051 - b3052 + b3057 <= 1 e26883: b3051 - b3052 + b3058 <= 1 e26884: b3052 - b3053 + b3054 <= 1 e26885: b3052 - b3053 + b3055 <= 1 e26886: b3052 - b3053 + b3056 <= 1 e26887: b3052 - b3053 + b3057 <= 1 e26888: b3052 - b3053 + b3058 <= 1 e26889: b3052 - b3053 + b3059 <= 1 e26890: b3053 - b3054 + b3055 <= 1 e26891: b3053 - b3054 + b3056 <= 1 e26892: b3053 - b3054 + b3057 <= 1 e26893: b3053 - b3054 + b3058 <= 1 e26894: b3053 - b3054 + b3059 <= 1 e26895: b3053 - b3054 + b3060 <= 1 e26896: b3054 - b3055 + b3056 <= 1 e26897: b3054 - b3055 + b3057 <= 1 e26898: b3054 - b3055 + b3058 <= 1 e26899: b3054 - b3055 + b3059 <= 1 e26900: b3054 - b3055 + b3060 <= 1 e26901: b3054 - b3055 + b3061 <= 1 e26902: b3055 - b3056 + b3057 <= 1 e26903: b3055 - b3056 + b3058 <= 1 e26904: b3055 - b3056 + b3059 <= 1 e26905: b3055 - b3056 + b3060 <= 1 e26906: b3055 - b3056 + b3061 <= 1 e26907: b3055 - b3056 + b3062 <= 1 e26908: b3056 - b3057 + b3058 <= 1 e26909: b3056 - b3057 + b3059 <= 1 e26910: b3056 - b3057 + b3060 <= 1 e26911: b3056 - b3057 + b3061 <= 1 e26912: b3056 - b3057 + b3062 <= 1 e26913: b3056 - b3057 + b3063 <= 1 e26914: b3057 - b3058 + b3059 <= 1 e26915: b3057 - b3058 + b3060 <= 1 e26916: b3057 - b3058 + b3061 <= 1 e26917: b3057 - b3058 + b3062 <= 1 e26918: b3057 - b3058 + b3063 <= 1 e26919: b3057 - b3058 + b3064 <= 1 e26920: b3058 - b3059 + b3060 <= 1 e26921: b3058 - b3059 + b3061 <= 1 e26922: b3058 - b3059 + b3062 <= 1 e26923: b3058 - b3059 + b3063 <= 1 e26924: b3058 - b3059 + b3064 <= 1 e26925: b3058 - b3059 + b3065 <= 1 e26926: b3059 - b3060 + b3061 <= 1 e26927: b3059 - b3060 + b3062 <= 1 e26928: b3059 - b3060 + b3063 <= 1 e26929: b3059 - b3060 + b3064 <= 1 e26930: b3059 - b3060 + b3065 <= 1 e26931: b3059 - b3060 + b3066 <= 1 e26932: b3060 - b3061 + b3062 <= 1 e26933: b3060 - b3061 + b3063 <= 1 e26934: b3060 - b3061 + b3064 <= 1 e26935: b3060 - b3061 + b3065 <= 1 e26936: b3060 - b3061 + b3066 <= 1 e26937: b3060 - b3061 + b3067 <= 1 e26938: b3061 - b3062 + b3063 <= 1 e26939: b3061 - b3062 + b3064 <= 1 e26940: b3061 - b3062 + b3065 <= 1 e26941: b3061 - b3062 + b3066 <= 1 e26942: b3061 - b3062 + b3067 <= 1 e26943: b3061 - b3062 + b3068 <= 1 e26944: b3062 - b3063 + b3064 <= 1 e26945: b3062 - b3063 + b3065 <= 1 e26946: b3062 - b3063 + b3066 <= 1 e26947: b3062 - b3063 + b3067 <= 1 e26948: b3062 - b3063 + b3068 <= 1 e26949: b3062 - b3063 + b3069 <= 1 e26950: b3063 - b3064 + b3065 <= 1 e26951: b3063 - b3064 + b3066 <= 1 e26952: b3063 - b3064 + b3067 <= 1 e26953: b3063 - b3064 + b3068 <= 1 e26954: b3063 - b3064 + b3069 <= 1 e26955: b3063 - b3064 + b3070 <= 1 e26956: b3064 - b3065 + b3066 <= 1 e26957: b3064 - b3065 + b3067 <= 1 e26958: b3064 - b3065 + b3068 <= 1 e26959: b3064 - b3065 + b3069 <= 1 e26960: b3064 - b3065 + b3070 <= 1 e26961: b3064 - b3065 + b3071 <= 1 e26962: b3065 - b3066 + b3067 <= 1 e26963: b3065 - b3066 + b3068 <= 1 e26964: b3065 - b3066 + b3069 <= 1 e26965: b3065 - b3066 + b3070 <= 1 e26966: b3065 - b3066 + b3071 <= 1 e26967: b3065 - b3066 + b3072 <= 1 e26968: b3066 - b3067 + b3068 <= 1 e26969: b3066 - b3067 + b3069 <= 1 e26970: b3066 - b3067 + b3070 <= 1 e26971: b3066 - b3067 + b3071 <= 1 e26972: b3066 - b3067 + b3072 <= 1 e26973: b3066 - b3067 + b3073 <= 1 e26974: b3067 - b3068 + b3069 <= 1 e26975: b3067 - b3068 + b3070 <= 1 e26976: b3067 - b3068 + b3071 <= 1 e26977: b3067 - b3068 + b3072 <= 1 e26978: b3067 - b3068 + b3073 <= 1 e26979: b3068 - b3069 + b3070 <= 1 e26980: b3068 - b3069 + b3071 <= 1 e26981: b3068 - b3069 + b3072 <= 1 e26982: b3068 - b3069 + b3073 <= 1 e26983: b3069 - b3070 + b3071 <= 1 e26984: b3069 - b3070 + b3072 <= 1 e26985: b3069 - b3070 + b3073 <= 1 e26986: b3070 - b3071 + b3072 <= 1 e26987: b3070 - b3071 + b3073 <= 1 e26988: b3071 - b3072 + b3073 <= 1 e26989: b3050 - b3051 + b3052 >= 0 e26990: b3050 - b3051 + b3053 >= 0 e26991: b3050 - b3051 + b3054 >= 0 e26992: b3050 - b3051 + b3055 >= 0 e26993: b3050 - b3051 + b3056 >= 0 e26994: b3050 - b3051 + b3057 >= 0 e26995: b3050 - b3051 + b3058 >= 0 e26996: b3051 - b3052 + b3053 >= 0 e26997: b3051 - b3052 + b3054 >= 0 e26998: b3051 - b3052 + b3055 >= 0 e26999: b3051 - b3052 + b3056 >= 0 e27000: b3051 - b3052 + b3057 >= 0 e27001: b3051 - b3052 + b3058 >= 0 e27002: b3051 - b3052 + b3059 >= 0 e27003: b3052 - b3053 + b3054 >= 0 e27004: b3052 - b3053 + b3055 >= 0 e27005: b3052 - b3053 + b3056 >= 0 e27006: b3052 - b3053 + b3057 >= 0 e27007: b3052 - b3053 + b3058 >= 0 e27008: b3052 - b3053 + b3059 >= 0 e27009: b3052 - b3053 + b3060 >= 0 e27010: b3053 - b3054 + b3055 >= 0 e27011: b3053 - b3054 + b3056 >= 0 e27012: b3053 - b3054 + b3057 >= 0 e27013: b3053 - b3054 + b3058 >= 0 e27014: b3053 - b3054 + b3059 >= 0 e27015: b3053 - b3054 + b3060 >= 0 e27016: b3053 - b3054 + b3061 >= 0 e27017: b3054 - b3055 + b3056 >= 0 e27018: b3054 - b3055 + b3057 >= 0 e27019: b3054 - b3055 + b3058 >= 0 e27020: b3054 - b3055 + b3059 >= 0 e27021: b3054 - b3055 + b3060 >= 0 e27022: b3054 - b3055 + b3061 >= 0 e27023: b3054 - b3055 + b3062 >= 0 e27024: b3055 - b3056 + b3057 >= 0 e27025: b3055 - b3056 + b3058 >= 0 e27026: b3055 - b3056 + b3059 >= 0 e27027: b3055 - b3056 + b3060 >= 0 e27028: b3055 - b3056 + b3061 >= 0 e27029: b3055 - b3056 + b3062 >= 0 e27030: b3055 - b3056 + b3063 >= 0 e27031: b3056 - b3057 + b3058 >= 0 e27032: b3056 - b3057 + b3059 >= 0 e27033: b3056 - b3057 + b3060 >= 0 e27034: b3056 - b3057 + b3061 >= 0 e27035: b3056 - b3057 + b3062 >= 0 e27036: b3056 - b3057 + b3063 >= 0 e27037: b3056 - b3057 + b3064 >= 0 e27038: b3057 - b3058 + b3059 >= 0 e27039: b3057 - b3058 + b3060 >= 0 e27040: b3057 - b3058 + b3061 >= 0 e27041: b3057 - b3058 + b3062 >= 0 e27042: b3057 - b3058 + b3063 >= 0 e27043: b3057 - b3058 + b3064 >= 0 e27044: b3057 - b3058 + b3065 >= 0 e27045: b3058 - b3059 + b3060 >= 0 e27046: b3058 - b3059 + b3061 >= 0 e27047: b3058 - b3059 + b3062 >= 0 e27048: b3058 - b3059 + b3063 >= 0 e27049: b3058 - b3059 + b3064 >= 0 e27050: b3058 - b3059 + b3065 >= 0 e27051: b3058 - b3059 + b3066 >= 0 e27052: b3059 - b3060 + b3061 >= 0 e27053: b3059 - b3060 + b3062 >= 0 e27054: b3059 - b3060 + b3063 >= 0 e27055: b3059 - b3060 + b3064 >= 0 e27056: b3059 - b3060 + b3065 >= 0 e27057: b3059 - b3060 + b3066 >= 0 e27058: b3059 - b3060 + b3067 >= 0 e27059: b3060 - b3061 + b3062 >= 0 e27060: b3060 - b3061 + b3063 >= 0 e27061: b3060 - b3061 + b3064 >= 0 e27062: b3060 - b3061 + b3065 >= 0 e27063: b3060 - b3061 + b3066 >= 0 e27064: b3060 - b3061 + b3067 >= 0 e27065: b3060 - b3061 + b3068 >= 0 e27066: b3061 - b3062 + b3063 >= 0 e27067: b3061 - b3062 + b3064 >= 0 e27068: b3061 - b3062 + b3065 >= 0 e27069: b3061 - b3062 + b3066 >= 0 e27070: b3061 - b3062 + b3067 >= 0 e27071: b3061 - b3062 + b3068 >= 0 e27072: b3061 - b3062 + b3069 >= 0 e27073: b3062 - b3063 + b3064 >= 0 e27074: b3062 - b3063 + b3065 >= 0 e27075: b3062 - b3063 + b3066 >= 0 e27076: b3062 - b3063 + b3067 >= 0 e27077: b3062 - b3063 + b3068 >= 0 e27078: b3062 - b3063 + b3069 >= 0 e27079: b3062 - b3063 + b3070 >= 0 e27080: b3063 - b3064 + b3065 >= 0 e27081: b3063 - b3064 + b3066 >= 0 e27082: b3063 - b3064 + b3067 >= 0 e27083: b3063 - b3064 + b3068 >= 0 e27084: b3063 - b3064 + b3069 >= 0 e27085: b3063 - b3064 + b3070 >= 0 e27086: b3063 - b3064 + b3071 >= 0 e27087: b3064 - b3065 + b3066 >= 0 e27088: b3064 - b3065 + b3067 >= 0 e27089: b3064 - b3065 + b3068 >= 0 e27090: b3064 - b3065 + b3069 >= 0 e27091: b3064 - b3065 + b3070 >= 0 e27092: b3064 - b3065 + b3071 >= 0 e27093: b3064 - b3065 + b3072 >= 0 e27094: b3065 - b3066 + b3067 >= 0 e27095: b3065 - b3066 + b3068 >= 0 e27096: b3065 - b3066 + b3069 >= 0 e27097: b3065 - b3066 + b3070 >= 0 e27098: b3065 - b3066 + b3071 >= 0 e27099: b3065 - b3066 + b3072 >= 0 e27100: b3065 - b3066 + b3073 >= 0 e27101: b3066 - b3067 + b3068 >= 0 e27102: b3066 - b3067 + b3069 >= 0 e27103: b3066 - b3067 + b3070 >= 0 e27104: b3066 - b3067 + b3071 >= 0 e27105: b3066 - b3067 + b3072 >= 0 e27106: b3066 - b3067 + b3073 >= 0 e27107: b3067 - b3068 + b3069 >= 0 e27108: b3067 - b3068 + b3070 >= 0 e27109: b3067 - b3068 + b3071 >= 0 e27110: b3067 - b3068 + b3072 >= 0 e27111: b3067 - b3068 + b3073 >= 0 e27112: b3068 - b3069 + b3070 >= 0 e27113: b3068 - b3069 + b3071 >= 0 e27114: b3068 - b3069 + b3072 >= 0 e27115: b3068 - b3069 + b3073 >= 0 e27116: b3069 - b3070 + b3071 >= 0 e27117: b3069 - b3070 + b3072 >= 0 e27118: b3069 - b3070 + b3073 >= 0 e27119: b3070 - b3071 + b3072 >= 0 e27120: b3070 - b3071 + b3073 >= 0 e27121: b3071 - b3072 + b3073 >= 0 e27122: b3074 = 1 e27123: b3075 = 1 e27124: b3076 = 1 e27125: b3077 = 1 e27126: b3077 - b3078 + b3079 <= 1 e27127: b3077 - b3078 + b3080 <= 1 e27128: b3077 - b3078 + b3081 <= 1 e27129: b3077 - b3078 + b3082 <= 1 e27130: b3077 - b3078 + b3083 <= 1 e27131: b3077 - b3078 + b3084 <= 1 e27132: b3078 - b3079 + b3080 <= 1 e27133: b3078 - b3079 + b3081 <= 1 e27134: b3078 - b3079 + b3082 <= 1 e27135: b3078 - b3079 + b3083 <= 1 e27136: b3078 - b3079 + b3084 <= 1 e27137: b3078 - b3079 + b3085 <= 1 e27138: b3079 - b3080 + b3081 <= 1 e27139: b3079 - b3080 + b3082 <= 1 e27140: b3079 - b3080 + b3083 <= 1 e27141: b3079 - b3080 + b3084 <= 1 e27142: b3079 - b3080 + b3085 <= 1 e27143: b3079 - b3080 + b3086 <= 1 e27144: b3080 - b3081 + b3082 <= 1 e27145: b3080 - b3081 + b3083 <= 1 e27146: b3080 - b3081 + b3084 <= 1 e27147: b3080 - b3081 + b3085 <= 1 e27148: b3080 - b3081 + b3086 <= 1 e27149: b3080 - b3081 + b3087 <= 1 e27150: b3081 - b3082 + b3083 <= 1 e27151: b3081 - b3082 + b3084 <= 1 e27152: b3081 - b3082 + b3085 <= 1 e27153: b3081 - b3082 + b3086 <= 1 e27154: b3081 - b3082 + b3087 <= 1 e27155: b3081 - b3082 + b3088 <= 1 e27156: b3082 - b3083 + b3084 <= 1 e27157: b3082 - b3083 + b3085 <= 1 e27158: b3082 - b3083 + b3086 <= 1 e27159: b3082 - b3083 + b3087 <= 1 e27160: b3082 - b3083 + b3088 <= 1 e27161: b3082 - b3083 + b3089 <= 1 e27162: b3083 - b3084 + b3085 <= 1 e27163: b3083 - b3084 + b3086 <= 1 e27164: b3083 - b3084 + b3087 <= 1 e27165: b3083 - b3084 + b3088 <= 1 e27166: b3083 - b3084 + b3089 <= 1 e27167: b3083 - b3084 + b3090 <= 1 e27168: b3084 - b3085 + b3086 <= 1 e27169: b3084 - b3085 + b3087 <= 1 e27170: b3084 - b3085 + b3088 <= 1 e27171: b3084 - b3085 + b3089 <= 1 e27172: b3084 - b3085 + b3090 <= 1 e27173: b3084 - b3085 + b3091 <= 1 e27174: b3085 - b3086 + b3087 <= 1 e27175: b3085 - b3086 + b3088 <= 1 e27176: b3085 - b3086 + b3089 <= 1 e27177: b3085 - b3086 + b3090 <= 1 e27178: b3085 - b3086 + b3091 <= 1 e27179: b3085 - b3086 + b3092 <= 1 e27180: b3086 - b3087 + b3088 <= 1 e27181: b3086 - b3087 + b3089 <= 1 e27182: b3086 - b3087 + b3090 <= 1 e27183: b3086 - b3087 + b3091 <= 1 e27184: b3086 - b3087 + b3092 <= 1 e27185: b3086 - b3087 + b3093 <= 1 e27186: b3087 - b3088 + b3089 <= 1 e27187: b3087 - b3088 + b3090 <= 1 e27188: b3087 - b3088 + b3091 <= 1 e27189: b3087 - b3088 + b3092 <= 1 e27190: b3087 - b3088 + b3093 <= 1 e27191: b3087 - b3088 + b3094 <= 1 e27192: b3088 - b3089 + b3090 <= 1 e27193: b3088 - b3089 + b3091 <= 1 e27194: b3088 - b3089 + b3092 <= 1 e27195: b3088 - b3089 + b3093 <= 1 e27196: b3088 - b3089 + b3094 <= 1 e27197: b3088 - b3089 + b3095 <= 1 e27198: b3089 - b3090 + b3091 <= 1 e27199: b3089 - b3090 + b3092 <= 1 e27200: b3089 - b3090 + b3093 <= 1 e27201: b3089 - b3090 + b3094 <= 1 e27202: b3089 - b3090 + b3095 <= 1 e27203: b3089 - b3090 + b3096 <= 1 e27204: b3090 - b3091 + b3092 <= 1 e27205: b3090 - b3091 + b3093 <= 1 e27206: b3090 - b3091 + b3094 <= 1 e27207: b3090 - b3091 + b3095 <= 1 e27208: b3090 - b3091 + b3096 <= 1 e27209: b3090 - b3091 + b3097 <= 1 e27210: b3091 - b3092 + b3093 <= 1 e27211: b3091 - b3092 + b3094 <= 1 e27212: b3091 - b3092 + b3095 <= 1 e27213: b3091 - b3092 + b3096 <= 1 e27214: b3091 - b3092 + b3097 <= 1 e27215: b3092 - b3093 + b3094 <= 1 e27216: b3092 - b3093 + b3095 <= 1 e27217: b3092 - b3093 + b3096 <= 1 e27218: b3092 - b3093 + b3097 <= 1 e27219: b3093 - b3094 + b3095 <= 1 e27220: b3093 - b3094 + b3096 <= 1 e27221: b3093 - b3094 + b3097 <= 1 e27222: b3094 - b3095 + b3096 <= 1 e27223: b3094 - b3095 + b3097 <= 1 e27224: b3095 - b3096 + b3097 <= 1 e27225: b3077 - b3078 + b3079 >= 0 e27226: b3077 - b3078 + b3080 >= 0 e27227: b3077 - b3078 + b3081 >= 0 e27228: b3077 - b3078 + b3082 >= 0 e27229: b3077 - b3078 + b3083 >= 0 e27230: b3077 - b3078 + b3084 >= 0 e27231: b3077 - b3078 + b3085 >= 0 e27232: b3078 - b3079 + b3080 >= 0 e27233: b3078 - b3079 + b3081 >= 0 e27234: b3078 - b3079 + b3082 >= 0 e27235: b3078 - b3079 + b3083 >= 0 e27236: b3078 - b3079 + b3084 >= 0 e27237: b3078 - b3079 + b3085 >= 0 e27238: b3078 - b3079 + b3086 >= 0 e27239: b3079 - b3080 + b3081 >= 0 e27240: b3079 - b3080 + b3082 >= 0 e27241: b3079 - b3080 + b3083 >= 0 e27242: b3079 - b3080 + b3084 >= 0 e27243: b3079 - b3080 + b3085 >= 0 e27244: b3079 - b3080 + b3086 >= 0 e27245: b3079 - b3080 + b3087 >= 0 e27246: b3080 - b3081 + b3082 >= 0 e27247: b3080 - b3081 + b3083 >= 0 e27248: b3080 - b3081 + b3084 >= 0 e27249: b3080 - b3081 + b3085 >= 0 e27250: b3080 - b3081 + b3086 >= 0 e27251: b3080 - b3081 + b3087 >= 0 e27252: b3080 - b3081 + b3088 >= 0 e27253: b3081 - b3082 + b3083 >= 0 e27254: b3081 - b3082 + b3084 >= 0 e27255: b3081 - b3082 + b3085 >= 0 e27256: b3081 - b3082 + b3086 >= 0 e27257: b3081 - b3082 + b3087 >= 0 e27258: b3081 - b3082 + b3088 >= 0 e27259: b3081 - b3082 + b3089 >= 0 e27260: b3082 - b3083 + b3084 >= 0 e27261: b3082 - b3083 + b3085 >= 0 e27262: b3082 - b3083 + b3086 >= 0 e27263: b3082 - b3083 + b3087 >= 0 e27264: b3082 - b3083 + b3088 >= 0 e27265: b3082 - b3083 + b3089 >= 0 e27266: b3082 - b3083 + b3090 >= 0 e27267: b3083 - b3084 + b3085 >= 0 e27268: b3083 - b3084 + b3086 >= 0 e27269: b3083 - b3084 + b3087 >= 0 e27270: b3083 - b3084 + b3088 >= 0 e27271: b3083 - b3084 + b3089 >= 0 e27272: b3083 - b3084 + b3090 >= 0 e27273: b3083 - b3084 + b3091 >= 0 e27274: b3084 - b3085 + b3086 >= 0 e27275: b3084 - b3085 + b3087 >= 0 e27276: b3084 - b3085 + b3088 >= 0 e27277: b3084 - b3085 + b3089 >= 0 e27278: b3084 - b3085 + b3090 >= 0 e27279: b3084 - b3085 + b3091 >= 0 e27280: b3084 - b3085 + b3092 >= 0 e27281: b3085 - b3086 + b3087 >= 0 e27282: b3085 - b3086 + b3088 >= 0 e27283: b3085 - b3086 + b3089 >= 0 e27284: b3085 - b3086 + b3090 >= 0 e27285: b3085 - b3086 + b3091 >= 0 e27286: b3085 - b3086 + b3092 >= 0 e27287: b3085 - b3086 + b3093 >= 0 e27288: b3086 - b3087 + b3088 >= 0 e27289: b3086 - b3087 + b3089 >= 0 e27290: b3086 - b3087 + b3090 >= 0 e27291: b3086 - b3087 + b3091 >= 0 e27292: b3086 - b3087 + b3092 >= 0 e27293: b3086 - b3087 + b3093 >= 0 e27294: b3086 - b3087 + b3094 >= 0 e27295: b3087 - b3088 + b3089 >= 0 e27296: b3087 - b3088 + b3090 >= 0 e27297: b3087 - b3088 + b3091 >= 0 e27298: b3087 - b3088 + b3092 >= 0 e27299: b3087 - b3088 + b3093 >= 0 e27300: b3087 - b3088 + b3094 >= 0 e27301: b3087 - b3088 + b3095 >= 0 e27302: b3088 - b3089 + b3090 >= 0 e27303: b3088 - b3089 + b3091 >= 0 e27304: b3088 - b3089 + b3092 >= 0 e27305: b3088 - b3089 + b3093 >= 0 e27306: b3088 - b3089 + b3094 >= 0 e27307: b3088 - b3089 + b3095 >= 0 e27308: b3088 - b3089 + b3096 >= 0 e27309: b3089 - b3090 + b3091 >= 0 e27310: b3089 - b3090 + b3092 >= 0 e27311: b3089 - b3090 + b3093 >= 0 e27312: b3089 - b3090 + b3094 >= 0 e27313: b3089 - b3090 + b3095 >= 0 e27314: b3089 - b3090 + b3096 >= 0 e27315: b3089 - b3090 + b3097 >= 0 e27316: b3090 - b3091 + b3092 >= 0 e27317: b3090 - b3091 + b3093 >= 0 e27318: b3090 - b3091 + b3094 >= 0 e27319: b3090 - b3091 + b3095 >= 0 e27320: b3090 - b3091 + b3096 >= 0 e27321: b3090 - b3091 + b3097 >= 0 e27322: b3091 - b3092 + b3093 >= 0 e27323: b3091 - b3092 + b3094 >= 0 e27324: b3091 - b3092 + b3095 >= 0 e27325: b3091 - b3092 + b3096 >= 0 e27326: b3091 - b3092 + b3097 >= 0 e27327: b3092 - b3093 + b3094 >= 0 e27328: b3092 - b3093 + b3095 >= 0 e27329: b3092 - b3093 + b3096 >= 0 e27330: b3092 - b3093 + b3097 >= 0 e27331: b3093 - b3094 + b3095 >= 0 e27332: b3093 - b3094 + b3096 >= 0 e27333: b3093 - b3094 + b3097 >= 0 e27334: b3094 - b3095 + b3096 >= 0 e27335: b3094 - b3095 + b3097 >= 0 e27336: b3095 - b3096 + b3097 >= 0 e27337: - b3098 + b3099 + x19271 <= 1 e27338: - b3098 + b3100 + x19271 <= 1 e27339: - b3098 + b3101 + x19271 <= 1 e27340: - b3098 + b3102 + x19271 <= 1 e27341: - b3098 + b3103 + x19271 <= 1 e27342: - b3098 + b3104 + x19271 <= 1 e27343: - b3098 + b3105 + x19271 <= 1 e27344: b3098 - b3099 + b3100 <= 1 e27345: b3098 - b3099 + b3101 <= 1 e27346: b3098 - b3099 + b3102 <= 1 e27347: b3098 - b3099 + b3103 <= 1 e27348: b3098 - b3099 + b3104 <= 1 e27349: b3098 - b3099 + b3105 <= 1 e27350: b3098 - b3099 + b3106 <= 1 e27351: b3099 - b3100 + b3101 <= 1 e27352: b3099 - b3100 + b3102 <= 1 e27353: b3099 - b3100 + b3103 <= 1 e27354: b3099 - b3100 + b3104 <= 1 e27355: b3099 - b3100 + b3105 <= 1 e27356: b3099 - b3100 + b3106 <= 1 e27357: b3099 - b3100 + b3107 <= 1 e27358: b3100 - b3101 + b3102 <= 1 e27359: b3100 - b3101 + b3103 <= 1 e27360: b3100 - b3101 + b3104 <= 1 e27361: b3100 - b3101 + b3105 <= 1 e27362: b3100 - b3101 + b3106 <= 1 e27363: b3100 - b3101 + b3107 <= 1 e27364: b3100 - b3101 + b3108 <= 1 e27365: b3101 - b3102 + b3103 <= 1 e27366: b3101 - b3102 + b3104 <= 1 e27367: b3101 - b3102 + b3105 <= 1 e27368: b3101 - b3102 + b3106 <= 1 e27369: b3101 - b3102 + b3107 <= 1 e27370: b3101 - b3102 + b3108 <= 1 e27371: b3101 - b3102 + b3109 <= 1 e27372: b3102 - b3103 + b3104 <= 1 e27373: b3102 - b3103 + b3105 <= 1 e27374: b3102 - b3103 + b3106 <= 1 e27375: b3102 - b3103 + b3107 <= 1 e27376: b3102 - b3103 + b3108 <= 1 e27377: b3102 - b3103 + b3109 <= 1 e27378: b3102 - b3103 + b3110 <= 1 e27379: b3103 - b3104 + b3105 <= 1 e27380: b3103 - b3104 + b3106 <= 1 e27381: b3103 - b3104 + b3107 <= 1 e27382: b3103 - b3104 + b3108 <= 1 e27383: b3103 - b3104 + b3109 <= 1 e27384: b3103 - b3104 + b3110 <= 1 e27385: b3103 - b3104 + b3111 <= 1 e27386: b3104 - b3105 + b3106 <= 1 e27387: b3104 - b3105 + b3107 <= 1 e27388: b3104 - b3105 + b3108 <= 1 e27389: b3104 - b3105 + b3109 <= 1 e27390: b3104 - b3105 + b3110 <= 1 e27391: b3104 - b3105 + b3111 <= 1 e27392: b3104 - b3105 + b3112 <= 1 e27393: b3105 - b3106 + b3107 <= 1 e27394: b3105 - b3106 + b3108 <= 1 e27395: b3105 - b3106 + b3109 <= 1 e27396: b3105 - b3106 + b3110 <= 1 e27397: b3105 - b3106 + b3111 <= 1 e27398: b3105 - b3106 + b3112 <= 1 e27399: b3105 - b3106 + b3113 <= 1 e27400: b3106 - b3107 + b3108 <= 1 e27401: b3106 - b3107 + b3109 <= 1 e27402: b3106 - b3107 + b3110 <= 1 e27403: b3106 - b3107 + b3111 <= 1 e27404: b3106 - b3107 + b3112 <= 1 e27405: b3106 - b3107 + b3113 <= 1 e27406: b3106 - b3107 + b3114 <= 1 e27407: b3107 - b3108 + b3109 <= 1 e27408: b3107 - b3108 + b3110 <= 1 e27409: b3107 - b3108 + b3111 <= 1 e27410: b3107 - b3108 + b3112 <= 1 e27411: b3107 - b3108 + b3113 <= 1 e27412: b3107 - b3108 + b3114 <= 1 e27413: b3107 - b3108 + b3115 <= 1 e27414: b3108 - b3109 + b3110 <= 1 e27415: b3108 - b3109 + b3111 <= 1 e27416: b3108 - b3109 + b3112 <= 1 e27417: b3108 - b3109 + b3113 <= 1 e27418: b3108 - b3109 + b3114 <= 1 e27419: b3108 - b3109 + b3115 <= 1 e27420: b3108 - b3109 + b3116 <= 1 e27421: b3109 - b3110 + b3111 <= 1 e27422: b3109 - b3110 + b3112 <= 1 e27423: b3109 - b3110 + b3113 <= 1 e27424: b3109 - b3110 + b3114 <= 1 e27425: b3109 - b3110 + b3115 <= 1 e27426: b3109 - b3110 + b3116 <= 1 e27427: b3109 - b3110 + b3117 <= 1 e27428: b3110 - b3111 + b3112 <= 1 e27429: b3110 - b3111 + b3113 <= 1 e27430: b3110 - b3111 + b3114 <= 1 e27431: b3110 - b3111 + b3115 <= 1 e27432: b3110 - b3111 + b3116 <= 1 e27433: b3110 - b3111 + b3117 <= 1 e27434: b3110 - b3111 + b3118 <= 1 e27435: b3111 - b3112 + b3113 <= 1 e27436: b3111 - b3112 + b3114 <= 1 e27437: b3111 - b3112 + b3115 <= 1 e27438: b3111 - b3112 + b3116 <= 1 e27439: b3111 - b3112 + b3117 <= 1 e27440: b3111 - b3112 + b3118 <= 1 e27441: b3111 - b3112 + b3119 <= 1 e27442: b3112 - b3113 + b3114 <= 1 e27443: b3112 - b3113 + b3115 <= 1 e27444: b3112 - b3113 + b3116 <= 1 e27445: b3112 - b3113 + b3117 <= 1 e27446: b3112 - b3113 + b3118 <= 1 e27447: b3112 - b3113 + b3119 <= 1 e27448: b3112 - b3113 + b3120 <= 1 e27449: b3113 - b3114 + b3115 <= 1 e27450: b3113 - b3114 + b3116 <= 1 e27451: b3113 - b3114 + b3117 <= 1 e27452: b3113 - b3114 + b3118 <= 1 e27453: b3113 - b3114 + b3119 <= 1 e27454: b3113 - b3114 + b3120 <= 1 e27455: b3113 - b3114 + b3121 <= 1 e27456: b3114 - b3115 + b3116 <= 1 e27457: b3114 - b3115 + b3117 <= 1 e27458: b3114 - b3115 + b3118 <= 1 e27459: b3114 - b3115 + b3119 <= 1 e27460: b3114 - b3115 + b3120 <= 1 e27461: b3114 - b3115 + b3121 <= 1 e27462: b3115 - b3116 + b3117 <= 1 e27463: b3115 - b3116 + b3118 <= 1 e27464: b3115 - b3116 + b3119 <= 1 e27465: b3115 - b3116 + b3120 <= 1 e27466: b3115 - b3116 + b3121 <= 1 e27467: b3116 - b3117 + b3118 <= 1 e27468: b3116 - b3117 + b3119 <= 1 e27469: b3116 - b3117 + b3120 <= 1 e27470: b3116 - b3117 + b3121 <= 1 e27471: b3117 - b3118 + b3119 <= 1 e27472: b3117 - b3118 + b3120 <= 1 e27473: b3117 - b3118 + b3121 <= 1 e27474: b3118 - b3119 + b3120 <= 1 e27475: b3118 - b3119 + b3121 <= 1 e27476: b3119 - b3120 + b3121 <= 1 e27477: - b3098 + b3099 + x19271 >= 0 e27478: - b3098 + b3100 + x19271 >= 0 e27479: - b3098 + b3101 + x19271 >= 0 e27480: - b3098 + b3102 + x19271 >= 0 e27481: - b3098 + b3103 + x19271 >= 0 e27482: - b3098 + b3104 + x19271 >= 0 e27483: - b3098 + b3105 + x19271 >= 0 e27484: b3098 - b3099 + b3100 >= 0 e27485: b3098 - b3099 + b3101 >= 0 e27486: b3098 - b3099 + b3102 >= 0 e27487: b3098 - b3099 + b3103 >= 0 e27488: b3098 - b3099 + b3104 >= 0 e27489: b3098 - b3099 + b3105 >= 0 e27490: b3098 - b3099 + b3106 >= 0 e27491: b3099 - b3100 + b3101 >= 0 e27492: b3099 - b3100 + b3102 >= 0 e27493: b3099 - b3100 + b3103 >= 0 e27494: b3099 - b3100 + b3104 >= 0 e27495: b3099 - b3100 + b3105 >= 0 e27496: b3099 - b3100 + b3106 >= 0 e27497: b3099 - b3100 + b3107 >= 0 e27498: b3100 - b3101 + b3102 >= 0 e27499: b3100 - b3101 + b3103 >= 0 e27500: b3100 - b3101 + b3104 >= 0 e27501: b3100 - b3101 + b3105 >= 0 e27502: b3100 - b3101 + b3106 >= 0 e27503: b3100 - b3101 + b3107 >= 0 e27504: b3100 - b3101 + b3108 >= 0 e27505: b3101 - b3102 + b3103 >= 0 e27506: b3101 - b3102 + b3104 >= 0 e27507: b3101 - b3102 + b3105 >= 0 e27508: b3101 - b3102 + b3106 >= 0 e27509: b3101 - b3102 + b3107 >= 0 e27510: b3101 - b3102 + b3108 >= 0 e27511: b3101 - b3102 + b3109 >= 0 e27512: b3102 - b3103 + b3104 >= 0 e27513: b3102 - b3103 + b3105 >= 0 e27514: b3102 - b3103 + b3106 >= 0 e27515: b3102 - b3103 + b3107 >= 0 e27516: b3102 - b3103 + b3108 >= 0 e27517: b3102 - b3103 + b3109 >= 0 e27518: b3102 - b3103 + b3110 >= 0 e27519: b3103 - b3104 + b3105 >= 0 e27520: b3103 - b3104 + b3106 >= 0 e27521: b3103 - b3104 + b3107 >= 0 e27522: b3103 - b3104 + b3108 >= 0 e27523: b3103 - b3104 + b3109 >= 0 e27524: b3103 - b3104 + b3110 >= 0 e27525: b3103 - b3104 + b3111 >= 0 e27526: b3104 - b3105 + b3106 >= 0 e27527: b3104 - b3105 + b3107 >= 0 e27528: b3104 - b3105 + b3108 >= 0 e27529: b3104 - b3105 + b3109 >= 0 e27530: b3104 - b3105 + b3110 >= 0 e27531: b3104 - b3105 + b3111 >= 0 e27532: b3104 - b3105 + b3112 >= 0 e27533: b3105 - b3106 + b3107 >= 0 e27534: b3105 - b3106 + b3108 >= 0 e27535: b3105 - b3106 + b3109 >= 0 e27536: b3105 - b3106 + b3110 >= 0 e27537: b3105 - b3106 + b3111 >= 0 e27538: b3105 - b3106 + b3112 >= 0 e27539: b3105 - b3106 + b3113 >= 0 e27540: b3106 - b3107 + b3108 >= 0 e27541: b3106 - b3107 + b3109 >= 0 e27542: b3106 - b3107 + b3110 >= 0 e27543: b3106 - b3107 + b3111 >= 0 e27544: b3106 - b3107 + b3112 >= 0 e27545: b3106 - b3107 + b3113 >= 0 e27546: b3106 - b3107 + b3114 >= 0 e27547: b3107 - b3108 + b3109 >= 0 e27548: b3107 - b3108 + b3110 >= 0 e27549: b3107 - b3108 + b3111 >= 0 e27550: b3107 - b3108 + b3112 >= 0 e27551: b3107 - b3108 + b3113 >= 0 e27552: b3107 - b3108 + b3114 >= 0 e27553: b3107 - b3108 + b3115 >= 0 e27554: b3108 - b3109 + b3110 >= 0 e27555: b3108 - b3109 + b3111 >= 0 e27556: b3108 - b3109 + b3112 >= 0 e27557: b3108 - b3109 + b3113 >= 0 e27558: b3108 - b3109 + b3114 >= 0 e27559: b3108 - b3109 + b3115 >= 0 e27560: b3108 - b3109 + b3116 >= 0 e27561: b3109 - b3110 + b3111 >= 0 e27562: b3109 - b3110 + b3112 >= 0 e27563: b3109 - b3110 + b3113 >= 0 e27564: b3109 - b3110 + b3114 >= 0 e27565: b3109 - b3110 + b3115 >= 0 e27566: b3109 - b3110 + b3116 >= 0 e27567: b3109 - b3110 + b3117 >= 0 e27568: b3110 - b3111 + b3112 >= 0 e27569: b3110 - b3111 + b3113 >= 0 e27570: b3110 - b3111 + b3114 >= 0 e27571: b3110 - b3111 + b3115 >= 0 e27572: b3110 - b3111 + b3116 >= 0 e27573: b3110 - b3111 + b3117 >= 0 e27574: b3110 - b3111 + b3118 >= 0 e27575: b3111 - b3112 + b3113 >= 0 e27576: b3111 - b3112 + b3114 >= 0 e27577: b3111 - b3112 + b3115 >= 0 e27578: b3111 - b3112 + b3116 >= 0 e27579: b3111 - b3112 + b3117 >= 0 e27580: b3111 - b3112 + b3118 >= 0 e27581: b3111 - b3112 + b3119 >= 0 e27582: b3112 - b3113 + b3114 >= 0 e27583: b3112 - b3113 + b3115 >= 0 e27584: b3112 - b3113 + b3116 >= 0 e27585: b3112 - b3113 + b3117 >= 0 e27586: b3112 - b3113 + b3118 >= 0 e27587: b3112 - b3113 + b3119 >= 0 e27588: b3112 - b3113 + b3120 >= 0 e27589: b3113 - b3114 + b3115 >= 0 e27590: b3113 - b3114 + b3116 >= 0 e27591: b3113 - b3114 + b3117 >= 0 e27592: b3113 - b3114 + b3118 >= 0 e27593: b3113 - b3114 + b3119 >= 0 e27594: b3113 - b3114 + b3120 >= 0 e27595: b3113 - b3114 + b3121 >= 0 e27596: b3114 - b3115 + b3116 >= 0 e27597: b3114 - b3115 + b3117 >= 0 e27598: b3114 - b3115 + b3118 >= 0 e27599: b3114 - b3115 + b3119 >= 0 e27600: b3114 - b3115 + b3120 >= 0 e27601: b3114 - b3115 + b3121 >= 0 e27602: b3115 - b3116 + b3117 >= 0 e27603: b3115 - b3116 + b3118 >= 0 e27604: b3115 - b3116 + b3119 >= 0 e27605: b3115 - b3116 + b3120 >= 0 e27606: b3115 - b3116 + b3121 >= 0 e27607: b3116 - b3117 + b3118 >= 0 e27608: b3116 - b3117 + b3119 >= 0 e27609: b3116 - b3117 + b3120 >= 0 e27610: b3116 - b3117 + b3121 >= 0 e27611: b3117 - b3118 + b3119 >= 0 e27612: b3117 - b3118 + b3120 >= 0 e27613: b3117 - b3118 + b3121 >= 0 e27614: b3118 - b3119 + b3120 >= 0 e27615: b3118 - b3119 + b3121 >= 0 e27616: b3119 - b3120 + b3121 >= 0 e27617: - b3122 + b3123 + x19272 <= 1 e27618: - b3122 + b3124 + x19272 <= 1 e27619: - b3122 + b3125 + x19272 <= 1 e27620: - b3122 + b3126 + x19272 <= 1 e27621: - b3122 + b3127 + x19272 <= 1 e27622: - b3122 + b3128 + x19272 <= 1 e27623: b3122 - b3123 + b3124 <= 1 e27624: b3122 - b3123 + b3125 <= 1 e27625: b3122 - b3123 + b3126 <= 1 e27626: b3122 - b3123 + b3127 <= 1 e27627: b3122 - b3123 + b3128 <= 1 e27628: b3122 - b3123 + b3129 <= 1 e27629: b3123 - b3124 + b3125 <= 1 e27630: b3123 - b3124 + b3126 <= 1 e27631: b3123 - b3124 + b3127 <= 1 e27632: b3123 - b3124 + b3128 <= 1 e27633: b3123 - b3124 + b3129 <= 1 e27634: b3123 - b3124 + b3130 <= 1 e27635: b3124 - b3125 + b3126 <= 1 e27636: b3124 - b3125 + b3127 <= 1 e27637: b3124 - b3125 + b3128 <= 1 e27638: b3124 - b3125 + b3129 <= 1 e27639: b3124 - b3125 + b3130 <= 1 e27640: b3124 - b3125 + b3131 <= 1 e27641: b3125 - b3126 + b3127 <= 1 e27642: b3125 - b3126 + b3128 <= 1 e27643: b3125 - b3126 + b3129 <= 1 e27644: b3125 - b3126 + b3130 <= 1 e27645: b3125 - b3126 + b3131 <= 1 e27646: b3125 - b3126 + b3132 <= 1 e27647: b3126 - b3127 + b3128 <= 1 e27648: b3126 - b3127 + b3129 <= 1 e27649: b3126 - b3127 + b3130 <= 1 e27650: b3126 - b3127 + b3131 <= 1 e27651: b3126 - b3127 + b3132 <= 1 e27652: b3126 - b3127 + b3133 <= 1 e27653: b3127 - b3128 + b3129 <= 1 e27654: b3127 - b3128 + b3130 <= 1 e27655: b3127 - b3128 + b3131 <= 1 e27656: b3127 - b3128 + b3132 <= 1 e27657: b3127 - b3128 + b3133 <= 1 e27658: b3127 - b3128 + b3134 <= 1 e27659: b3128 - b3129 + b3130 <= 1 e27660: b3128 - b3129 + b3131 <= 1 e27661: b3128 - b3129 + b3132 <= 1 e27662: b3128 - b3129 + b3133 <= 1 e27663: b3128 - b3129 + b3134 <= 1 e27664: b3128 - b3129 + b3135 <= 1 e27665: b3129 - b3130 + b3131 <= 1 e27666: b3129 - b3130 + b3132 <= 1 e27667: b3129 - b3130 + b3133 <= 1 e27668: b3129 - b3130 + b3134 <= 1 e27669: b3129 - b3130 + b3135 <= 1 e27670: b3129 - b3130 + b3136 <= 1 e27671: b3130 - b3131 + b3132 <= 1 e27672: b3130 - b3131 + b3133 <= 1 e27673: b3130 - b3131 + b3134 <= 1 e27674: b3130 - b3131 + b3135 <= 1 e27675: b3130 - b3131 + b3136 <= 1 e27676: b3130 - b3131 + b3137 <= 1 e27677: b3131 - b3132 + b3133 <= 1 e27678: b3131 - b3132 + b3134 <= 1 e27679: b3131 - b3132 + b3135 <= 1 e27680: b3131 - b3132 + b3136 <= 1 e27681: b3131 - b3132 + b3137 <= 1 e27682: b3131 - b3132 + b3138 <= 1 e27683: b3132 - b3133 + b3134 <= 1 e27684: b3132 - b3133 + b3135 <= 1 e27685: b3132 - b3133 + b3136 <= 1 e27686: b3132 - b3133 + b3137 <= 1 e27687: b3132 - b3133 + b3138 <= 1 e27688: b3132 - b3133 + b3139 <= 1 e27689: b3133 - b3134 + b3135 <= 1 e27690: b3133 - b3134 + b3136 <= 1 e27691: b3133 - b3134 + b3137 <= 1 e27692: b3133 - b3134 + b3138 <= 1 e27693: b3133 - b3134 + b3139 <= 1 e27694: b3133 - b3134 + b3140 <= 1 e27695: b3134 - b3135 + b3136 <= 1 e27696: b3134 - b3135 + b3137 <= 1 e27697: b3134 - b3135 + b3138 <= 1 e27698: b3134 - b3135 + b3139 <= 1 e27699: b3134 - b3135 + b3140 <= 1 e27700: b3134 - b3135 + b3141 <= 1 e27701: b3135 - b3136 + b3137 <= 1 e27702: b3135 - b3136 + b3138 <= 1 e27703: b3135 - b3136 + b3139 <= 1 e27704: b3135 - b3136 + b3140 <= 1 e27705: b3135 - b3136 + b3141 <= 1 e27706: b3135 - b3136 + b3142 <= 1 e27707: b3136 - b3137 + b3138 <= 1 e27708: b3136 - b3137 + b3139 <= 1 e27709: b3136 - b3137 + b3140 <= 1 e27710: b3136 - b3137 + b3141 <= 1 e27711: b3136 - b3137 + b3142 <= 1 e27712: b3136 - b3137 + b3143 <= 1 e27713: b3137 - b3138 + b3139 <= 1 e27714: b3137 - b3138 + b3140 <= 1 e27715: b3137 - b3138 + b3141 <= 1 e27716: b3137 - b3138 + b3142 <= 1 e27717: b3137 - b3138 + b3143 <= 1 e27718: b3137 - b3138 + b3144 <= 1 e27719: b3138 - b3139 + b3140 <= 1 e27720: b3138 - b3139 + b3141 <= 1 e27721: b3138 - b3139 + b3142 <= 1 e27722: b3138 - b3139 + b3143 <= 1 e27723: b3138 - b3139 + b3144 <= 1 e27724: b3138 - b3139 + b3145 <= 1 e27725: b3139 - b3140 + b3141 <= 1 e27726: b3139 - b3140 + b3142 <= 1 e27727: b3139 - b3140 + b3143 <= 1 e27728: b3139 - b3140 + b3144 <= 1 e27729: b3139 - b3140 + b3145 <= 1 e27730: b3140 - b3141 + b3142 <= 1 e27731: b3140 - b3141 + b3143 <= 1 e27732: b3140 - b3141 + b3144 <= 1 e27733: b3140 - b3141 + b3145 <= 1 e27734: b3141 - b3142 + b3143 <= 1 e27735: b3141 - b3142 + b3144 <= 1 e27736: b3141 - b3142 + b3145 <= 1 e27737: b3142 - b3143 + b3144 <= 1 e27738: b3142 - b3143 + b3145 <= 1 e27739: b3143 - b3144 + b3145 <= 1 e27740: - b3122 + b3123 + x19272 >= 0 e27741: - b3122 + b3124 + x19272 >= 0 e27742: - b3122 + b3125 + x19272 >= 0 e27743: - b3122 + b3126 + x19272 >= 0 e27744: - b3122 + b3127 + x19272 >= 0 e27745: - b3122 + b3128 + x19272 >= 0 e27746: b3122 - b3123 + b3124 >= 0 e27747: b3122 - b3123 + b3125 >= 0 e27748: b3122 - b3123 + b3126 >= 0 e27749: b3122 - b3123 + b3127 >= 0 e27750: b3122 - b3123 + b3128 >= 0 e27751: b3122 - b3123 + b3129 >= 0 e27752: b3123 - b3124 + b3125 >= 0 e27753: b3123 - b3124 + b3126 >= 0 e27754: b3123 - b3124 + b3127 >= 0 e27755: b3123 - b3124 + b3128 >= 0 e27756: b3123 - b3124 + b3129 >= 0 e27757: b3123 - b3124 + b3130 >= 0 e27758: b3124 - b3125 + b3126 >= 0 e27759: b3124 - b3125 + b3127 >= 0 e27760: b3124 - b3125 + b3128 >= 0 e27761: b3124 - b3125 + b3129 >= 0 e27762: b3124 - b3125 + b3130 >= 0 e27763: b3124 - b3125 + b3131 >= 0 e27764: b3125 - b3126 + b3127 >= 0 e27765: b3125 - b3126 + b3128 >= 0 e27766: b3125 - b3126 + b3129 >= 0 e27767: b3125 - b3126 + b3130 >= 0 e27768: b3125 - b3126 + b3131 >= 0 e27769: b3125 - b3126 + b3132 >= 0 e27770: b3126 - b3127 + b3128 >= 0 e27771: b3126 - b3127 + b3129 >= 0 e27772: b3126 - b3127 + b3130 >= 0 e27773: b3126 - b3127 + b3131 >= 0 e27774: b3126 - b3127 + b3132 >= 0 e27775: b3126 - b3127 + b3133 >= 0 e27776: b3127 - b3128 + b3129 >= 0 e27777: b3127 - b3128 + b3130 >= 0 e27778: b3127 - b3128 + b3131 >= 0 e27779: b3127 - b3128 + b3132 >= 0 e27780: b3127 - b3128 + b3133 >= 0 e27781: b3127 - b3128 + b3134 >= 0 e27782: b3128 - b3129 + b3130 >= 0 e27783: b3128 - b3129 + b3131 >= 0 e27784: b3128 - b3129 + b3132 >= 0 e27785: b3128 - b3129 + b3133 >= 0 e27786: b3128 - b3129 + b3134 >= 0 e27787: b3128 - b3129 + b3135 >= 0 e27788: b3129 - b3130 + b3131 >= 0 e27789: b3129 - b3130 + b3132 >= 0 e27790: b3129 - b3130 + b3133 >= 0 e27791: b3129 - b3130 + b3134 >= 0 e27792: b3129 - b3130 + b3135 >= 0 e27793: b3129 - b3130 + b3136 >= 0 e27794: b3130 - b3131 + b3132 >= 0 e27795: b3130 - b3131 + b3133 >= 0 e27796: b3130 - b3131 + b3134 >= 0 e27797: b3130 - b3131 + b3135 >= 0 e27798: b3130 - b3131 + b3136 >= 0 e27799: b3130 - b3131 + b3137 >= 0 e27800: b3131 - b3132 + b3133 >= 0 e27801: b3131 - b3132 + b3134 >= 0 e27802: b3131 - b3132 + b3135 >= 0 e27803: b3131 - b3132 + b3136 >= 0 e27804: b3131 - b3132 + b3137 >= 0 e27805: b3131 - b3132 + b3138 >= 0 e27806: b3132 - b3133 + b3134 >= 0 e27807: b3132 - b3133 + b3135 >= 0 e27808: b3132 - b3133 + b3136 >= 0 e27809: b3132 - b3133 + b3137 >= 0 e27810: b3132 - b3133 + b3138 >= 0 e27811: b3132 - b3133 + b3139 >= 0 e27812: b3133 - b3134 + b3135 >= 0 e27813: b3133 - b3134 + b3136 >= 0 e27814: b3133 - b3134 + b3137 >= 0 e27815: b3133 - b3134 + b3138 >= 0 e27816: b3133 - b3134 + b3139 >= 0 e27817: b3133 - b3134 + b3140 >= 0 e27818: b3134 - b3135 + b3136 >= 0 e27819: b3134 - b3135 + b3137 >= 0 e27820: b3134 - b3135 + b3138 >= 0 e27821: b3134 - b3135 + b3139 >= 0 e27822: b3134 - b3135 + b3140 >= 0 e27823: b3134 - b3135 + b3141 >= 0 e27824: b3135 - b3136 + b3137 >= 0 e27825: b3135 - b3136 + b3138 >= 0 e27826: b3135 - b3136 + b3139 >= 0 e27827: b3135 - b3136 + b3140 >= 0 e27828: b3135 - b3136 + b3141 >= 0 e27829: b3135 - b3136 + b3142 >= 0 e27830: b3136 - b3137 + b3138 >= 0 e27831: b3136 - b3137 + b3139 >= 0 e27832: b3136 - b3137 + b3140 >= 0 e27833: b3136 - b3137 + b3141 >= 0 e27834: b3136 - b3137 + b3142 >= 0 e27835: b3136 - b3137 + b3143 >= 0 e27836: b3137 - b3138 + b3139 >= 0 e27837: b3137 - b3138 + b3140 >= 0 e27838: b3137 - b3138 + b3141 >= 0 e27839: b3137 - b3138 + b3142 >= 0 e27840: b3137 - b3138 + b3143 >= 0 e27841: b3137 - b3138 + b3144 >= 0 e27842: b3138 - b3139 + b3140 >= 0 e27843: b3138 - b3139 + b3141 >= 0 e27844: b3138 - b3139 + b3142 >= 0 e27845: b3138 - b3139 + b3143 >= 0 e27846: b3138 - b3139 + b3144 >= 0 e27847: b3138 - b3139 + b3145 >= 0 e27848: b3139 - b3140 + b3141 >= 0 e27849: b3139 - b3140 + b3142 >= 0 e27850: b3139 - b3140 + b3143 >= 0 e27851: b3139 - b3140 + b3144 >= 0 e27852: b3139 - b3140 + b3145 >= 0 e27853: b3140 - b3141 + b3142 >= 0 e27854: b3140 - b3141 + b3143 >= 0 e27855: b3140 - b3141 + b3144 >= 0 e27856: b3140 - b3141 + b3145 >= 0 e27857: b3141 - b3142 + b3143 >= 0 e27858: b3141 - b3142 + b3144 >= 0 e27859: b3141 - b3142 + b3145 >= 0 e27860: b3142 - b3143 + b3144 >= 0 e27861: b3142 - b3143 + b3145 >= 0 e27862: b3143 - b3144 + b3145 >= 0 e27863: b3146 = 0 e27864: b3146 - b3147 + b3148 <= 1 e27865: b3146 - b3147 + b3149 <= 1 e27866: b3146 - b3147 + b3150 <= 1 e27867: b3146 - b3147 + b3151 <= 1 e27868: b3146 - b3147 + b3152 <= 1 e27869: b3146 - b3147 + b3153 <= 1 e27870: b3147 - b3148 + b3149 <= 1 e27871: b3147 - b3148 + b3150 <= 1 e27872: b3147 - b3148 + b3151 <= 1 e27873: b3147 - b3148 + b3152 <= 1 e27874: b3147 - b3148 + b3153 <= 1 e27875: b3147 - b3148 + b3154 <= 1 e27876: b3148 - b3149 + b3150 <= 1 e27877: b3148 - b3149 + b3151 <= 1 e27878: b3148 - b3149 + b3152 <= 1 e27879: b3148 - b3149 + b3153 <= 1 e27880: b3148 - b3149 + b3154 <= 1 e27881: b3148 - b3149 + b3155 <= 1 e27882: b3149 - b3150 + b3151 <= 1 e27883: b3149 - b3150 + b3152 <= 1 e27884: b3149 - b3150 + b3153 <= 1 e27885: b3149 - b3150 + b3154 <= 1 e27886: b3149 - b3150 + b3155 <= 1 e27887: b3149 - b3150 + b3156 <= 1 e27888: b3150 - b3151 + b3152 <= 1 e27889: b3150 - b3151 + b3153 <= 1 e27890: b3150 - b3151 + b3154 <= 1 e27891: b3150 - b3151 + b3155 <= 1 e27892: b3150 - b3151 + b3156 <= 1 e27893: b3150 - b3151 + b3157 <= 1 e27894: b3151 - b3152 + b3153 <= 1 e27895: b3151 - b3152 + b3154 <= 1 e27896: b3151 - b3152 + b3155 <= 1 e27897: b3151 - b3152 + b3156 <= 1 e27898: b3151 - b3152 + b3157 <= 1 e27899: b3151 - b3152 + b3158 <= 1 e27900: b3152 - b3153 + b3154 <= 1 e27901: b3152 - b3153 + b3155 <= 1 e27902: b3152 - b3153 + b3156 <= 1 e27903: b3152 - b3153 + b3157 <= 1 e27904: b3152 - b3153 + b3158 <= 1 e27905: b3152 - b3153 + b3159 <= 1 e27906: b3153 - b3154 + b3155 <= 1 e27907: b3153 - b3154 + b3156 <= 1 e27908: b3153 - b3154 + b3157 <= 1 e27909: b3153 - b3154 + b3158 <= 1 e27910: b3153 - b3154 + b3159 <= 1 e27911: b3153 - b3154 + b3160 <= 1 e27912: b3154 - b3155 + b3156 <= 1 e27913: b3154 - b3155 + b3157 <= 1 e27914: b3154 - b3155 + b3158 <= 1 e27915: b3154 - b3155 + b3159 <= 1 e27916: b3154 - b3155 + b3160 <= 1 e27917: b3154 - b3155 + b3161 <= 1 e27918: b3155 - b3156 + b3157 <= 1 e27919: b3155 - b3156 + b3158 <= 1 e27920: b3155 - b3156 + b3159 <= 1 e27921: b3155 - b3156 + b3160 <= 1 e27922: b3155 - b3156 + b3161 <= 1 e27923: b3155 - b3156 + b3162 <= 1 e27924: b3156 - b3157 + b3158 <= 1 e27925: b3156 - b3157 + b3159 <= 1 e27926: b3156 - b3157 + b3160 <= 1 e27927: b3156 - b3157 + b3161 <= 1 e27928: b3156 - b3157 + b3162 <= 1 e27929: b3156 - b3157 + b3163 <= 1 e27930: b3157 - b3158 + b3159 <= 1 e27931: b3157 - b3158 + b3160 <= 1 e27932: b3157 - b3158 + b3161 <= 1 e27933: b3157 - b3158 + b3162 <= 1 e27934: b3157 - b3158 + b3163 <= 1 e27935: b3157 - b3158 + b3164 <= 1 e27936: b3158 - b3159 + b3160 <= 1 e27937: b3158 - b3159 + b3161 <= 1 e27938: b3158 - b3159 + b3162 <= 1 e27939: b3158 - b3159 + b3163 <= 1 e27940: b3158 - b3159 + b3164 <= 1 e27941: b3158 - b3159 + b3165 <= 1 e27942: b3159 - b3160 + b3161 <= 1 e27943: b3159 - b3160 + b3162 <= 1 e27944: b3159 - b3160 + b3163 <= 1 e27945: b3159 - b3160 + b3164 <= 1 e27946: b3159 - b3160 + b3165 <= 1 e27947: b3159 - b3160 + b3166 <= 1 e27948: b3160 - b3161 + b3162 <= 1 e27949: b3160 - b3161 + b3163 <= 1 e27950: b3160 - b3161 + b3164 <= 1 e27951: b3160 - b3161 + b3165 <= 1 e27952: b3160 - b3161 + b3166 <= 1 e27953: b3160 - b3161 + b3167 <= 1 e27954: b3161 - b3162 + b3163 <= 1 e27955: b3161 - b3162 + b3164 <= 1 e27956: b3161 - b3162 + b3165 <= 1 e27957: b3161 - b3162 + b3166 <= 1 e27958: b3161 - b3162 + b3167 <= 1 e27959: b3161 - b3162 + b3168 <= 1 e27960: b3162 - b3163 + b3164 <= 1 e27961: b3162 - b3163 + b3165 <= 1 e27962: b3162 - b3163 + b3166 <= 1 e27963: b3162 - b3163 + b3167 <= 1 e27964: b3162 - b3163 + b3168 <= 1 e27965: b3162 - b3163 + b3169 <= 1 e27966: b3163 - b3164 + b3165 <= 1 e27967: b3163 - b3164 + b3166 <= 1 e27968: b3163 - b3164 + b3167 <= 1 e27969: b3163 - b3164 + b3168 <= 1 e27970: b3163 - b3164 + b3169 <= 1 e27971: b3164 - b3165 + b3166 <= 1 e27972: b3164 - b3165 + b3167 <= 1 e27973: b3164 - b3165 + b3168 <= 1 e27974: b3164 - b3165 + b3169 <= 1 e27975: b3165 - b3166 + b3167 <= 1 e27976: b3165 - b3166 + b3168 <= 1 e27977: b3165 - b3166 + b3169 <= 1 e27978: b3166 - b3167 + b3168 <= 1 e27979: b3166 - b3167 + b3169 <= 1 e27980: b3167 - b3168 + b3169 <= 1 e27981: b3146 - b3147 + b3148 >= 0 e27982: b3146 - b3147 + b3149 >= 0 e27983: b3146 - b3147 + b3150 >= 0 e27984: b3146 - b3147 + b3151 >= 0 e27985: b3146 - b3147 + b3152 >= 0 e27986: b3146 - b3147 + b3153 >= 0 e27987: b3147 - b3148 + b3149 >= 0 e27988: b3147 - b3148 + b3150 >= 0 e27989: b3147 - b3148 + b3151 >= 0 e27990: b3147 - b3148 + b3152 >= 0 e27991: b3147 - b3148 + b3153 >= 0 e27992: b3147 - b3148 + b3154 >= 0 e27993: b3148 - b3149 + b3150 >= 0 e27994: b3148 - b3149 + b3151 >= 0 e27995: b3148 - b3149 + b3152 >= 0 e27996: b3148 - b3149 + b3153 >= 0 e27997: b3148 - b3149 + b3154 >= 0 e27998: b3148 - b3149 + b3155 >= 0 e27999: b3149 - b3150 + b3151 >= 0 e28000: b3149 - b3150 + b3152 >= 0 e28001: b3149 - b3150 + b3153 >= 0 e28002: b3149 - b3150 + b3154 >= 0 e28003: b3149 - b3150 + b3155 >= 0 e28004: b3149 - b3150 + b3156 >= 0 e28005: b3150 - b3151 + b3152 >= 0 e28006: b3150 - b3151 + b3153 >= 0 e28007: b3150 - b3151 + b3154 >= 0 e28008: b3150 - b3151 + b3155 >= 0 e28009: b3150 - b3151 + b3156 >= 0 e28010: b3150 - b3151 + b3157 >= 0 e28011: b3151 - b3152 + b3153 >= 0 e28012: b3151 - b3152 + b3154 >= 0 e28013: b3151 - b3152 + b3155 >= 0 e28014: b3151 - b3152 + b3156 >= 0 e28015: b3151 - b3152 + b3157 >= 0 e28016: b3151 - b3152 + b3158 >= 0 e28017: b3152 - b3153 + b3154 >= 0 e28018: b3152 - b3153 + b3155 >= 0 e28019: b3152 - b3153 + b3156 >= 0 e28020: b3152 - b3153 + b3157 >= 0 e28021: b3152 - b3153 + b3158 >= 0 e28022: b3152 - b3153 + b3159 >= 0 e28023: b3153 - b3154 + b3155 >= 0 e28024: b3153 - b3154 + b3156 >= 0 e28025: b3153 - b3154 + b3157 >= 0 e28026: b3153 - b3154 + b3158 >= 0 e28027: b3153 - b3154 + b3159 >= 0 e28028: b3153 - b3154 + b3160 >= 0 e28029: b3154 - b3155 + b3156 >= 0 e28030: b3154 - b3155 + b3157 >= 0 e28031: b3154 - b3155 + b3158 >= 0 e28032: b3154 - b3155 + b3159 >= 0 e28033: b3154 - b3155 + b3160 >= 0 e28034: b3154 - b3155 + b3161 >= 0 e28035: b3155 - b3156 + b3157 >= 0 e28036: b3155 - b3156 + b3158 >= 0 e28037: b3155 - b3156 + b3159 >= 0 e28038: b3155 - b3156 + b3160 >= 0 e28039: b3155 - b3156 + b3161 >= 0 e28040: b3155 - b3156 + b3162 >= 0 e28041: b3156 - b3157 + b3158 >= 0 e28042: b3156 - b3157 + b3159 >= 0 e28043: b3156 - b3157 + b3160 >= 0 e28044: b3156 - b3157 + b3161 >= 0 e28045: b3156 - b3157 + b3162 >= 0 e28046: b3156 - b3157 + b3163 >= 0 e28047: b3157 - b3158 + b3159 >= 0 e28048: b3157 - b3158 + b3160 >= 0 e28049: b3157 - b3158 + b3161 >= 0 e28050: b3157 - b3158 + b3162 >= 0 e28051: b3157 - b3158 + b3163 >= 0 e28052: b3157 - b3158 + b3164 >= 0 e28053: b3158 - b3159 + b3160 >= 0 e28054: b3158 - b3159 + b3161 >= 0 e28055: b3158 - b3159 + b3162 >= 0 e28056: b3158 - b3159 + b3163 >= 0 e28057: b3158 - b3159 + b3164 >= 0 e28058: b3158 - b3159 + b3165 >= 0 e28059: b3159 - b3160 + b3161 >= 0 e28060: b3159 - b3160 + b3162 >= 0 e28061: b3159 - b3160 + b3163 >= 0 e28062: b3159 - b3160 + b3164 >= 0 e28063: b3159 - b3160 + b3165 >= 0 e28064: b3159 - b3160 + b3166 >= 0 e28065: b3160 - b3161 + b3162 >= 0 e28066: b3160 - b3161 + b3163 >= 0 e28067: b3160 - b3161 + b3164 >= 0 e28068: b3160 - b3161 + b3165 >= 0 e28069: b3160 - b3161 + b3166 >= 0 e28070: b3160 - b3161 + b3167 >= 0 e28071: b3161 - b3162 + b3163 >= 0 e28072: b3161 - b3162 + b3164 >= 0 e28073: b3161 - b3162 + b3165 >= 0 e28074: b3161 - b3162 + b3166 >= 0 e28075: b3161 - b3162 + b3167 >= 0 e28076: b3161 - b3162 + b3168 >= 0 e28077: b3162 - b3163 + b3164 >= 0 e28078: b3162 - b3163 + b3165 >= 0 e28079: b3162 - b3163 + b3166 >= 0 e28080: b3162 - b3163 + b3167 >= 0 e28081: b3162 - b3163 + b3168 >= 0 e28082: b3162 - b3163 + b3169 >= 0 e28083: b3163 - b3164 + b3165 >= 0 e28084: b3163 - b3164 + b3166 >= 0 e28085: b3163 - b3164 + b3167 >= 0 e28086: b3163 - b3164 + b3168 >= 0 e28087: b3163 - b3164 + b3169 >= 0 e28088: b3164 - b3165 + b3166 >= 0 e28089: b3164 - b3165 + b3167 >= 0 e28090: b3164 - b3165 + b3168 >= 0 e28091: b3164 - b3165 + b3169 >= 0 e28092: b3165 - b3166 + b3167 >= 0 e28093: b3165 - b3166 + b3168 >= 0 e28094: b3165 - b3166 + b3169 >= 0 e28095: b3166 - b3167 + b3168 >= 0 e28096: b3166 - b3167 + b3169 >= 0 e28097: b3167 - b3168 + b3169 >= 0 e28098: - b3170 + b3171 + x19273 <= 1 e28099: - b3170 + b3172 + x19273 <= 1 e28100: - b3170 + b3173 + x19273 <= 1 e28101: - b3170 + b3174 + x19273 <= 1 e28102: - b3170 + b3175 + x19273 <= 1 e28103: - b3170 + b3176 + x19273 <= 1 e28104: b3170 - b3171 + b3172 <= 1 e28105: b3170 - b3171 + b3173 <= 1 e28106: b3170 - b3171 + b3174 <= 1 e28107: b3170 - b3171 + b3175 <= 1 e28108: b3170 - b3171 + b3176 <= 1 e28109: b3170 - b3171 + b3177 <= 1 e28110: b3171 - b3172 + b3173 <= 1 e28111: b3171 - b3172 + b3174 <= 1 e28112: b3171 - b3172 + b3175 <= 1 e28113: b3171 - b3172 + b3176 <= 1 e28114: b3171 - b3172 + b3177 <= 1 e28115: b3171 - b3172 + b3178 <= 1 e28116: b3172 - b3173 + b3174 <= 1 e28117: b3172 - b3173 + b3175 <= 1 e28118: b3172 - b3173 + b3176 <= 1 e28119: b3172 - b3173 + b3177 <= 1 e28120: b3172 - b3173 + b3178 <= 1 e28121: b3172 - b3173 + b3179 <= 1 e28122: b3173 - b3174 + b3175 <= 1 e28123: b3173 - b3174 + b3176 <= 1 e28124: b3173 - b3174 + b3177 <= 1 e28125: b3173 - b3174 + b3178 <= 1 e28126: b3173 - b3174 + b3179 <= 1 e28127: b3173 - b3174 + b3180 <= 1 e28128: b3174 - b3175 + b3176 <= 1 e28129: b3174 - b3175 + b3177 <= 1 e28130: b3174 - b3175 + b3178 <= 1 e28131: b3174 - b3175 + b3179 <= 1 e28132: b3174 - b3175 + b3180 <= 1 e28133: b3174 - b3175 + b3181 <= 1 e28134: b3175 - b3176 + b3177 <= 1 e28135: b3175 - b3176 + b3178 <= 1 e28136: b3175 - b3176 + b3179 <= 1 e28137: b3175 - b3176 + b3180 <= 1 e28138: b3175 - b3176 + b3181 <= 1 e28139: b3175 - b3176 + b3182 <= 1 e28140: b3176 - b3177 + b3178 <= 1 e28141: b3176 - b3177 + b3179 <= 1 e28142: b3176 - b3177 + b3180 <= 1 e28143: b3176 - b3177 + b3181 <= 1 e28144: b3176 - b3177 + b3182 <= 1 e28145: b3176 - b3177 + b3183 <= 1 e28146: b3177 - b3178 + b3179 <= 1 e28147: b3177 - b3178 + b3180 <= 1 e28148: b3177 - b3178 + b3181 <= 1 e28149: b3177 - b3178 + b3182 <= 1 e28150: b3177 - b3178 + b3183 <= 1 e28151: b3177 - b3178 + b3184 <= 1 e28152: b3178 - b3179 + b3180 <= 1 e28153: b3178 - b3179 + b3181 <= 1 e28154: b3178 - b3179 + b3182 <= 1 e28155: b3178 - b3179 + b3183 <= 1 e28156: b3178 - b3179 + b3184 <= 1 e28157: b3178 - b3179 + b3185 <= 1 e28158: b3179 - b3180 + b3181 <= 1 e28159: b3179 - b3180 + b3182 <= 1 e28160: b3179 - b3180 + b3183 <= 1 e28161: b3179 - b3180 + b3184 <= 1 e28162: b3179 - b3180 + b3185 <= 1 e28163: b3179 - b3180 + b3186 <= 1 e28164: b3180 - b3181 + b3182 <= 1 e28165: b3180 - b3181 + b3183 <= 1 e28166: b3180 - b3181 + b3184 <= 1 e28167: b3180 - b3181 + b3185 <= 1 e28168: b3180 - b3181 + b3186 <= 1 e28169: b3180 - b3181 + b3187 <= 1 e28170: b3181 - b3182 + b3183 <= 1 e28171: b3181 - b3182 + b3184 <= 1 e28172: b3181 - b3182 + b3185 <= 1 e28173: b3181 - b3182 + b3186 <= 1 e28174: b3181 - b3182 + b3187 <= 1 e28175: b3181 - b3182 + b3188 <= 1 e28176: b3182 - b3183 + b3184 <= 1 e28177: b3182 - b3183 + b3185 <= 1 e28178: b3182 - b3183 + b3186 <= 1 e28179: b3182 - b3183 + b3187 <= 1 e28180: b3182 - b3183 + b3188 <= 1 e28181: b3182 - b3183 + b3189 <= 1 e28182: b3183 - b3184 + b3185 <= 1 e28183: b3183 - b3184 + b3186 <= 1 e28184: b3183 - b3184 + b3187 <= 1 e28185: b3183 - b3184 + b3188 <= 1 e28186: b3183 - b3184 + b3189 <= 1 e28187: b3183 - b3184 + b3190 <= 1 e28188: b3184 - b3185 + b3186 <= 1 e28189: b3184 - b3185 + b3187 <= 1 e28190: b3184 - b3185 + b3188 <= 1 e28191: b3184 - b3185 + b3189 <= 1 e28192: b3184 - b3185 + b3190 <= 1 e28193: b3184 - b3185 + b3191 <= 1 e28194: b3185 - b3186 + b3187 <= 1 e28195: b3185 - b3186 + b3188 <= 1 e28196: b3185 - b3186 + b3189 <= 1 e28197: b3185 - b3186 + b3190 <= 1 e28198: b3185 - b3186 + b3191 <= 1 e28199: b3185 - b3186 + b3192 <= 1 e28200: b3186 - b3187 + b3188 <= 1 e28201: b3186 - b3187 + b3189 <= 1 e28202: b3186 - b3187 + b3190 <= 1 e28203: b3186 - b3187 + b3191 <= 1 e28204: b3186 - b3187 + b3192 <= 1 e28205: b3186 - b3187 + b3193 <= 1 e28206: b3187 - b3188 + b3189 <= 1 e28207: b3187 - b3188 + b3190 <= 1 e28208: b3187 - b3188 + b3191 <= 1 e28209: b3187 - b3188 + b3192 <= 1 e28210: b3187 - b3188 + b3193 <= 1 e28211: b3188 - b3189 + b3190 <= 1 e28212: b3188 - b3189 + b3191 <= 1 e28213: b3188 - b3189 + b3192 <= 1 e28214: b3188 - b3189 + b3193 <= 1 e28215: b3189 - b3190 + b3191 <= 1 e28216: b3189 - b3190 + b3192 <= 1 e28217: b3189 - b3190 + b3193 <= 1 e28218: b3190 - b3191 + b3192 <= 1 e28219: b3190 - b3191 + b3193 <= 1 e28220: b3191 - b3192 + b3193 <= 1 e28221: - b3170 + b3171 + x19273 >= 0 e28222: - b3170 + b3172 + x19273 >= 0 e28223: - b3170 + b3173 + x19273 >= 0 e28224: - b3170 + b3174 + x19273 >= 0 e28225: - b3170 + b3175 + x19273 >= 0 e28226: - b3170 + b3176 + x19273 >= 0 e28227: - b3170 + b3177 + x19273 >= 0 e28228: b3170 - b3171 + b3172 >= 0 e28229: b3170 - b3171 + b3173 >= 0 e28230: b3170 - b3171 + b3174 >= 0 e28231: b3170 - b3171 + b3175 >= 0 e28232: b3170 - b3171 + b3176 >= 0 e28233: b3170 - b3171 + b3177 >= 0 e28234: b3170 - b3171 + b3178 >= 0 e28235: b3171 - b3172 + b3173 >= 0 e28236: b3171 - b3172 + b3174 >= 0 e28237: b3171 - b3172 + b3175 >= 0 e28238: b3171 - b3172 + b3176 >= 0 e28239: b3171 - b3172 + b3177 >= 0 e28240: b3171 - b3172 + b3178 >= 0 e28241: b3171 - b3172 + b3179 >= 0 e28242: b3172 - b3173 + b3174 >= 0 e28243: b3172 - b3173 + b3175 >= 0 e28244: b3172 - b3173 + b3176 >= 0 e28245: b3172 - b3173 + b3177 >= 0 e28246: b3172 - b3173 + b3178 >= 0 e28247: b3172 - b3173 + b3179 >= 0 e28248: b3172 - b3173 + b3180 >= 0 e28249: b3173 - b3174 + b3175 >= 0 e28250: b3173 - b3174 + b3176 >= 0 e28251: b3173 - b3174 + b3177 >= 0 e28252: b3173 - b3174 + b3178 >= 0 e28253: b3173 - b3174 + b3179 >= 0 e28254: b3173 - b3174 + b3180 >= 0 e28255: b3173 - b3174 + b3181 >= 0 e28256: b3174 - b3175 + b3176 >= 0 e28257: b3174 - b3175 + b3177 >= 0 e28258: b3174 - b3175 + b3178 >= 0 e28259: b3174 - b3175 + b3179 >= 0 e28260: b3174 - b3175 + b3180 >= 0 e28261: b3174 - b3175 + b3181 >= 0 e28262: b3174 - b3175 + b3182 >= 0 e28263: b3175 - b3176 + b3177 >= 0 e28264: b3175 - b3176 + b3178 >= 0 e28265: b3175 - b3176 + b3179 >= 0 e28266: b3175 - b3176 + b3180 >= 0 e28267: b3175 - b3176 + b3181 >= 0 e28268: b3175 - b3176 + b3182 >= 0 e28269: b3175 - b3176 + b3183 >= 0 e28270: b3176 - b3177 + b3178 >= 0 e28271: b3176 - b3177 + b3179 >= 0 e28272: b3176 - b3177 + b3180 >= 0 e28273: b3176 - b3177 + b3181 >= 0 e28274: b3176 - b3177 + b3182 >= 0 e28275: b3176 - b3177 + b3183 >= 0 e28276: b3176 - b3177 + b3184 >= 0 e28277: b3177 - b3178 + b3179 >= 0 e28278: b3177 - b3178 + b3180 >= 0 e28279: b3177 - b3178 + b3181 >= 0 e28280: b3177 - b3178 + b3182 >= 0 e28281: b3177 - b3178 + b3183 >= 0 e28282: b3177 - b3178 + b3184 >= 0 e28283: b3177 - b3178 + b3185 >= 0 e28284: b3178 - b3179 + b3180 >= 0 e28285: b3178 - b3179 + b3181 >= 0 e28286: b3178 - b3179 + b3182 >= 0 e28287: b3178 - b3179 + b3183 >= 0 e28288: b3178 - b3179 + b3184 >= 0 e28289: b3178 - b3179 + b3185 >= 0 e28290: b3178 - b3179 + b3186 >= 0 e28291: b3179 - b3180 + b3181 >= 0 e28292: b3179 - b3180 + b3182 >= 0 e28293: b3179 - b3180 + b3183 >= 0 e28294: b3179 - b3180 + b3184 >= 0 e28295: b3179 - b3180 + b3185 >= 0 e28296: b3179 - b3180 + b3186 >= 0 e28297: b3179 - b3180 + b3187 >= 0 e28298: b3180 - b3181 + b3182 >= 0 e28299: b3180 - b3181 + b3183 >= 0 e28300: b3180 - b3181 + b3184 >= 0 e28301: b3180 - b3181 + b3185 >= 0 e28302: b3180 - b3181 + b3186 >= 0 e28303: b3180 - b3181 + b3187 >= 0 e28304: b3180 - b3181 + b3188 >= 0 e28305: b3181 - b3182 + b3183 >= 0 e28306: b3181 - b3182 + b3184 >= 0 e28307: b3181 - b3182 + b3185 >= 0 e28308: b3181 - b3182 + b3186 >= 0 e28309: b3181 - b3182 + b3187 >= 0 e28310: b3181 - b3182 + b3188 >= 0 e28311: b3181 - b3182 + b3189 >= 0 e28312: b3182 - b3183 + b3184 >= 0 e28313: b3182 - b3183 + b3185 >= 0 e28314: b3182 - b3183 + b3186 >= 0 e28315: b3182 - b3183 + b3187 >= 0 e28316: b3182 - b3183 + b3188 >= 0 e28317: b3182 - b3183 + b3189 >= 0 e28318: b3182 - b3183 + b3190 >= 0 e28319: b3183 - b3184 + b3185 >= 0 e28320: b3183 - b3184 + b3186 >= 0 e28321: b3183 - b3184 + b3187 >= 0 e28322: b3183 - b3184 + b3188 >= 0 e28323: b3183 - b3184 + b3189 >= 0 e28324: b3183 - b3184 + b3190 >= 0 e28325: b3183 - b3184 + b3191 >= 0 e28326: b3184 - b3185 + b3186 >= 0 e28327: b3184 - b3185 + b3187 >= 0 e28328: b3184 - b3185 + b3188 >= 0 e28329: b3184 - b3185 + b3189 >= 0 e28330: b3184 - b3185 + b3190 >= 0 e28331: b3184 - b3185 + b3191 >= 0 e28332: b3184 - b3185 + b3192 >= 0 e28333: b3185 - b3186 + b3187 >= 0 e28334: b3185 - b3186 + b3188 >= 0 e28335: b3185 - b3186 + b3189 >= 0 e28336: b3185 - b3186 + b3190 >= 0 e28337: b3185 - b3186 + b3191 >= 0 e28338: b3185 - b3186 + b3192 >= 0 e28339: b3185 - b3186 + b3193 >= 0 e28340: b3186 - b3187 + b3188 >= 0 e28341: b3186 - b3187 + b3189 >= 0 e28342: b3186 - b3187 + b3190 >= 0 e28343: b3186 - b3187 + b3191 >= 0 e28344: b3186 - b3187 + b3192 >= 0 e28345: b3186 - b3187 + b3193 >= 0 e28346: b3187 - b3188 + b3189 >= 0 e28347: b3187 - b3188 + b3190 >= 0 e28348: b3187 - b3188 + b3191 >= 0 e28349: b3187 - b3188 + b3192 >= 0 e28350: b3187 - b3188 + b3193 >= 0 e28351: b3188 - b3189 + b3190 >= 0 e28352: b3188 - b3189 + b3191 >= 0 e28353: b3188 - b3189 + b3192 >= 0 e28354: b3188 - b3189 + b3193 >= 0 e28355: b3189 - b3190 + b3191 >= 0 e28356: b3189 - b3190 + b3192 >= 0 e28357: b3189 - b3190 + b3193 >= 0 e28358: b3190 - b3191 + b3192 >= 0 e28359: b3190 - b3191 + b3193 >= 0 e28360: b3191 - b3192 + b3193 >= 0 e28361: - b3194 + b3195 + x19274 <= 1 e28362: - b3194 + b3196 + x19274 <= 1 e28363: - b3194 + b3197 + x19274 <= 1 e28364: - b3194 + b3198 + x19274 <= 1 e28365: - b3194 + b3199 + x19274 <= 1 e28366: - b3194 + b3200 + x19274 <= 1 e28367: b3194 - b3195 + b3196 <= 1 e28368: b3194 - b3195 + b3197 <= 1 e28369: b3194 - b3195 + b3198 <= 1 e28370: b3194 - b3195 + b3199 <= 1 e28371: b3194 - b3195 + b3200 <= 1 e28372: b3194 - b3195 + b3201 <= 1 e28373: b3195 - b3196 + b3197 <= 1 e28374: b3195 - b3196 + b3198 <= 1 e28375: b3195 - b3196 + b3199 <= 1 e28376: b3195 - b3196 + b3200 <= 1 e28377: b3195 - b3196 + b3201 <= 1 e28378: b3195 - b3196 + b3202 <= 1 e28379: b3196 - b3197 + b3198 <= 1 e28380: b3196 - b3197 + b3199 <= 1 e28381: b3196 - b3197 + b3200 <= 1 e28382: b3196 - b3197 + b3201 <= 1 e28383: b3196 - b3197 + b3202 <= 1 e28384: b3196 - b3197 + b3203 <= 1 e28385: b3197 - b3198 + b3199 <= 1 e28386: b3197 - b3198 + b3200 <= 1 e28387: b3197 - b3198 + b3201 <= 1 e28388: b3197 - b3198 + b3202 <= 1 e28389: b3197 - b3198 + b3203 <= 1 e28390: b3197 - b3198 + b3204 <= 1 e28391: b3198 - b3199 + b3200 <= 1 e28392: b3198 - b3199 + b3201 <= 1 e28393: b3198 - b3199 + b3202 <= 1 e28394: b3198 - b3199 + b3203 <= 1 e28395: b3198 - b3199 + b3204 <= 1 e28396: b3198 - b3199 + b3205 <= 1 e28397: b3199 - b3200 + b3201 <= 1 e28398: b3199 - b3200 + b3202 <= 1 e28399: b3199 - b3200 + b3203 <= 1 e28400: b3199 - b3200 + b3204 <= 1 e28401: b3199 - b3200 + b3205 <= 1 e28402: b3199 - b3200 + b3206 <= 1 e28403: b3200 - b3201 + b3202 <= 1 e28404: b3200 - b3201 + b3203 <= 1 e28405: b3200 - b3201 + b3204 <= 1 e28406: b3200 - b3201 + b3205 <= 1 e28407: b3200 - b3201 + b3206 <= 1 e28408: b3200 - b3201 + b3207 <= 1 e28409: b3201 - b3202 + b3203 <= 1 e28410: b3201 - b3202 + b3204 <= 1 e28411: b3201 - b3202 + b3205 <= 1 e28412: b3201 - b3202 + b3206 <= 1 e28413: b3201 - b3202 + b3207 <= 1 e28414: b3201 - b3202 + b3208 <= 1 e28415: b3202 - b3203 + b3204 <= 1 e28416: b3202 - b3203 + b3205 <= 1 e28417: b3202 - b3203 + b3206 <= 1 e28418: b3202 - b3203 + b3207 <= 1 e28419: b3202 - b3203 + b3208 <= 1 e28420: b3202 - b3203 + b3209 <= 1 e28421: b3203 - b3204 + b3205 <= 1 e28422: b3203 - b3204 + b3206 <= 1 e28423: b3203 - b3204 + b3207 <= 1 e28424: b3203 - b3204 + b3208 <= 1 e28425: b3203 - b3204 + b3209 <= 1 e28426: b3203 - b3204 + b3210 <= 1 e28427: b3204 - b3205 + b3206 <= 1 e28428: b3204 - b3205 + b3207 <= 1 e28429: b3204 - b3205 + b3208 <= 1 e28430: b3204 - b3205 + b3209 <= 1 e28431: b3204 - b3205 + b3210 <= 1 e28432: b3204 - b3205 + b3211 <= 1 e28433: b3205 - b3206 + b3207 <= 1 e28434: b3205 - b3206 + b3208 <= 1 e28435: b3205 - b3206 + b3209 <= 1 e28436: b3205 - b3206 + b3210 <= 1 e28437: b3205 - b3206 + b3211 <= 1 e28438: b3205 - b3206 + b3212 <= 1 e28439: b3206 - b3207 + b3208 <= 1 e28440: b3206 - b3207 + b3209 <= 1 e28441: b3206 - b3207 + b3210 <= 1 e28442: b3206 - b3207 + b3211 <= 1 e28443: b3206 - b3207 + b3212 <= 1 e28444: b3206 - b3207 + b3213 <= 1 e28445: b3207 - b3208 + b3209 <= 1 e28446: b3207 - b3208 + b3210 <= 1 e28447: b3207 - b3208 + b3211 <= 1 e28448: b3207 - b3208 + b3212 <= 1 e28449: b3207 - b3208 + b3213 <= 1 e28450: b3207 - b3208 + b3214 <= 1 e28451: b3208 - b3209 + b3210 <= 1 e28452: b3208 - b3209 + b3211 <= 1 e28453: b3208 - b3209 + b3212 <= 1 e28454: b3208 - b3209 + b3213 <= 1 e28455: b3208 - b3209 + b3214 <= 1 e28456: b3208 - b3209 + b3215 <= 1 e28457: b3209 - b3210 + b3211 <= 1 e28458: b3209 - b3210 + b3212 <= 1 e28459: b3209 - b3210 + b3213 <= 1 e28460: b3209 - b3210 + b3214 <= 1 e28461: b3209 - b3210 + b3215 <= 1 e28462: b3209 - b3210 + b3216 <= 1 e28463: b3210 - b3211 + b3212 <= 1 e28464: b3210 - b3211 + b3213 <= 1 e28465: b3210 - b3211 + b3214 <= 1 e28466: b3210 - b3211 + b3215 <= 1 e28467: b3210 - b3211 + b3216 <= 1 e28468: b3210 - b3211 + b3217 <= 1 e28469: b3211 - b3212 + b3213 <= 1 e28470: b3211 - b3212 + b3214 <= 1 e28471: b3211 - b3212 + b3215 <= 1 e28472: b3211 - b3212 + b3216 <= 1 e28473: b3211 - b3212 + b3217 <= 1 e28474: b3212 - b3213 + b3214 <= 1 e28475: b3212 - b3213 + b3215 <= 1 e28476: b3212 - b3213 + b3216 <= 1 e28477: b3212 - b3213 + b3217 <= 1 e28478: b3213 - b3214 + b3215 <= 1 e28479: b3213 - b3214 + b3216 <= 1 e28480: b3213 - b3214 + b3217 <= 1 e28481: b3214 - b3215 + b3216 <= 1 e28482: b3214 - b3215 + b3217 <= 1 e28483: b3215 - b3216 + b3217 <= 1 e28484: - b3194 + b3195 + x19274 >= 0 e28485: - b3194 + b3196 + x19274 >= 0 e28486: - b3194 + b3197 + x19274 >= 0 e28487: - b3194 + b3198 + x19274 >= 0 e28488: - b3194 + b3199 + x19274 >= 0 e28489: - b3194 + b3200 + x19274 >= 0 e28490: - b3194 + b3201 + x19274 >= 0 e28491: b3194 - b3195 + b3196 >= 0 e28492: b3194 - b3195 + b3197 >= 0 e28493: b3194 - b3195 + b3198 >= 0 e28494: b3194 - b3195 + b3199 >= 0 e28495: b3194 - b3195 + b3200 >= 0 e28496: b3194 - b3195 + b3201 >= 0 e28497: b3194 - b3195 + b3202 >= 0 e28498: b3195 - b3196 + b3197 >= 0 e28499: b3195 - b3196 + b3198 >= 0 e28500: b3195 - b3196 + b3199 >= 0 e28501: b3195 - b3196 + b3200 >= 0 e28502: b3195 - b3196 + b3201 >= 0 e28503: b3195 - b3196 + b3202 >= 0 e28504: b3195 - b3196 + b3203 >= 0 e28505: b3196 - b3197 + b3198 >= 0 e28506: b3196 - b3197 + b3199 >= 0 e28507: b3196 - b3197 + b3200 >= 0 e28508: b3196 - b3197 + b3201 >= 0 e28509: b3196 - b3197 + b3202 >= 0 e28510: b3196 - b3197 + b3203 >= 0 e28511: b3196 - b3197 + b3204 >= 0 e28512: b3197 - b3198 + b3199 >= 0 e28513: b3197 - b3198 + b3200 >= 0 e28514: b3197 - b3198 + b3201 >= 0 e28515: b3197 - b3198 + b3202 >= 0 e28516: b3197 - b3198 + b3203 >= 0 e28517: b3197 - b3198 + b3204 >= 0 e28518: b3197 - b3198 + b3205 >= 0 e28519: b3198 - b3199 + b3200 >= 0 e28520: b3198 - b3199 + b3201 >= 0 e28521: b3198 - b3199 + b3202 >= 0 e28522: b3198 - b3199 + b3203 >= 0 e28523: b3198 - b3199 + b3204 >= 0 e28524: b3198 - b3199 + b3205 >= 0 e28525: b3198 - b3199 + b3206 >= 0 e28526: b3199 - b3200 + b3201 >= 0 e28527: b3199 - b3200 + b3202 >= 0 e28528: b3199 - b3200 + b3203 >= 0 e28529: b3199 - b3200 + b3204 >= 0 e28530: b3199 - b3200 + b3205 >= 0 e28531: b3199 - b3200 + b3206 >= 0 e28532: b3199 - b3200 + b3207 >= 0 e28533: b3200 - b3201 + b3202 >= 0 e28534: b3200 - b3201 + b3203 >= 0 e28535: b3200 - b3201 + b3204 >= 0 e28536: b3200 - b3201 + b3205 >= 0 e28537: b3200 - b3201 + b3206 >= 0 e28538: b3200 - b3201 + b3207 >= 0 e28539: b3200 - b3201 + b3208 >= 0 e28540: b3201 - b3202 + b3203 >= 0 e28541: b3201 - b3202 + b3204 >= 0 e28542: b3201 - b3202 + b3205 >= 0 e28543: b3201 - b3202 + b3206 >= 0 e28544: b3201 - b3202 + b3207 >= 0 e28545: b3201 - b3202 + b3208 >= 0 e28546: b3201 - b3202 + b3209 >= 0 e28547: b3202 - b3203 + b3204 >= 0 e28548: b3202 - b3203 + b3205 >= 0 e28549: b3202 - b3203 + b3206 >= 0 e28550: b3202 - b3203 + b3207 >= 0 e28551: b3202 - b3203 + b3208 >= 0 e28552: b3202 - b3203 + b3209 >= 0 e28553: b3202 - b3203 + b3210 >= 0 e28554: b3203 - b3204 + b3205 >= 0 e28555: b3203 - b3204 + b3206 >= 0 e28556: b3203 - b3204 + b3207 >= 0 e28557: b3203 - b3204 + b3208 >= 0 e28558: b3203 - b3204 + b3209 >= 0 e28559: b3203 - b3204 + b3210 >= 0 e28560: b3203 - b3204 + b3211 >= 0 e28561: b3204 - b3205 + b3206 >= 0 e28562: b3204 - b3205 + b3207 >= 0 e28563: b3204 - b3205 + b3208 >= 0 e28564: b3204 - b3205 + b3209 >= 0 e28565: b3204 - b3205 + b3210 >= 0 e28566: b3204 - b3205 + b3211 >= 0 e28567: b3204 - b3205 + b3212 >= 0 e28568: b3205 - b3206 + b3207 >= 0 e28569: b3205 - b3206 + b3208 >= 0 e28570: b3205 - b3206 + b3209 >= 0 e28571: b3205 - b3206 + b3210 >= 0 e28572: b3205 - b3206 + b3211 >= 0 e28573: b3205 - b3206 + b3212 >= 0 e28574: b3205 - b3206 + b3213 >= 0 e28575: b3206 - b3207 + b3208 >= 0 e28576: b3206 - b3207 + b3209 >= 0 e28577: b3206 - b3207 + b3210 >= 0 e28578: b3206 - b3207 + b3211 >= 0 e28579: b3206 - b3207 + b3212 >= 0 e28580: b3206 - b3207 + b3213 >= 0 e28581: b3206 - b3207 + b3214 >= 0 e28582: b3207 - b3208 + b3209 >= 0 e28583: b3207 - b3208 + b3210 >= 0 e28584: b3207 - b3208 + b3211 >= 0 e28585: b3207 - b3208 + b3212 >= 0 e28586: b3207 - b3208 + b3213 >= 0 e28587: b3207 - b3208 + b3214 >= 0 e28588: b3207 - b3208 + b3215 >= 0 e28589: b3208 - b3209 + b3210 >= 0 e28590: b3208 - b3209 + b3211 >= 0 e28591: b3208 - b3209 + b3212 >= 0 e28592: b3208 - b3209 + b3213 >= 0 e28593: b3208 - b3209 + b3214 >= 0 e28594: b3208 - b3209 + b3215 >= 0 e28595: b3208 - b3209 + b3216 >= 0 e28596: b3209 - b3210 + b3211 >= 0 e28597: b3209 - b3210 + b3212 >= 0 e28598: b3209 - b3210 + b3213 >= 0 e28599: b3209 - b3210 + b3214 >= 0 e28600: b3209 - b3210 + b3215 >= 0 e28601: b3209 - b3210 + b3216 >= 0 e28602: b3209 - b3210 + b3217 >= 0 e28603: b3210 - b3211 + b3212 >= 0 e28604: b3210 - b3211 + b3213 >= 0 e28605: b3210 - b3211 + b3214 >= 0 e28606: b3210 - b3211 + b3215 >= 0 e28607: b3210 - b3211 + b3216 >= 0 e28608: b3210 - b3211 + b3217 >= 0 e28609: b3211 - b3212 + b3213 >= 0 e28610: b3211 - b3212 + b3214 >= 0 e28611: b3211 - b3212 + b3215 >= 0 e28612: b3211 - b3212 + b3216 >= 0 e28613: b3211 - b3212 + b3217 >= 0 e28614: b3212 - b3213 + b3214 >= 0 e28615: b3212 - b3213 + b3215 >= 0 e28616: b3212 - b3213 + b3216 >= 0 e28617: b3212 - b3213 + b3217 >= 0 e28618: b3213 - b3214 + b3215 >= 0 e28619: b3213 - b3214 + b3216 >= 0 e28620: b3213 - b3214 + b3217 >= 0 e28621: b3214 - b3215 + b3216 >= 0 e28622: b3214 - b3215 + b3217 >= 0 e28623: b3215 - b3216 + b3217 >= 0 e28624: - b3218 + b3219 + x19275 <= 1 e28625: - b3218 + b3220 + x19275 <= 1 e28626: - b3218 + b3221 + x19275 <= 1 e28627: - b3218 + b3222 + x19275 <= 1 e28628: - b3218 + b3223 + x19275 <= 1 e28629: - b3218 + b3224 + x19275 <= 1 e28630: - b3218 + b3225 + x19275 <= 1 e28631: b3218 - b3219 + b3220 <= 1 e28632: b3218 - b3219 + b3221 <= 1 e28633: b3218 - b3219 + b3222 <= 1 e28634: b3218 - b3219 + b3223 <= 1 e28635: b3218 - b3219 + b3224 <= 1 e28636: b3218 - b3219 + b3225 <= 1 e28637: b3218 - b3219 + b3226 <= 1 e28638: b3219 - b3220 + b3221 <= 1 e28639: b3219 - b3220 + b3222 <= 1 e28640: b3219 - b3220 + b3223 <= 1 e28641: b3219 - b3220 + b3224 <= 1 e28642: b3219 - b3220 + b3225 <= 1 e28643: b3219 - b3220 + b3226 <= 1 e28644: b3219 - b3220 + b3227 <= 1 e28645: b3220 - b3221 + b3222 <= 1 e28646: b3220 - b3221 + b3223 <= 1 e28647: b3220 - b3221 + b3224 <= 1 e28648: b3220 - b3221 + b3225 <= 1 e28649: b3220 - b3221 + b3226 <= 1 e28650: b3220 - b3221 + b3227 <= 1 e28651: b3220 - b3221 + b3228 <= 1 e28652: b3221 - b3222 + b3223 <= 1 e28653: b3221 - b3222 + b3224 <= 1 e28654: b3221 - b3222 + b3225 <= 1 e28655: b3221 - b3222 + b3226 <= 1 e28656: b3221 - b3222 + b3227 <= 1 e28657: b3221 - b3222 + b3228 <= 1 e28658: b3221 - b3222 + b3229 <= 1 e28659: b3222 - b3223 + b3224 <= 1 e28660: b3222 - b3223 + b3225 <= 1 e28661: b3222 - b3223 + b3226 <= 1 e28662: b3222 - b3223 + b3227 <= 1 e28663: b3222 - b3223 + b3228 <= 1 e28664: b3222 - b3223 + b3229 <= 1 e28665: b3222 - b3223 + b3230 <= 1 e28666: b3223 - b3224 + b3225 <= 1 e28667: b3223 - b3224 + b3226 <= 1 e28668: b3223 - b3224 + b3227 <= 1 e28669: b3223 - b3224 + b3228 <= 1 e28670: b3223 - b3224 + b3229 <= 1 e28671: b3223 - b3224 + b3230 <= 1 e28672: b3223 - b3224 + b3231 <= 1 e28673: b3224 - b3225 + b3226 <= 1 e28674: b3224 - b3225 + b3227 <= 1 e28675: b3224 - b3225 + b3228 <= 1 e28676: b3224 - b3225 + b3229 <= 1 e28677: b3224 - b3225 + b3230 <= 1 e28678: b3224 - b3225 + b3231 <= 1 e28679: b3224 - b3225 + b3232 <= 1 e28680: b3225 - b3226 + b3227 <= 1 e28681: b3225 - b3226 + b3228 <= 1 e28682: b3225 - b3226 + b3229 <= 1 e28683: b3225 - b3226 + b3230 <= 1 e28684: b3225 - b3226 + b3231 <= 1 e28685: b3225 - b3226 + b3232 <= 1 e28686: b3225 - b3226 + b3233 <= 1 e28687: b3226 - b3227 + b3228 <= 1 e28688: b3226 - b3227 + b3229 <= 1 e28689: b3226 - b3227 + b3230 <= 1 e28690: b3226 - b3227 + b3231 <= 1 e28691: b3226 - b3227 + b3232 <= 1 e28692: b3226 - b3227 + b3233 <= 1 e28693: b3226 - b3227 + b3234 <= 1 e28694: b3227 - b3228 + b3229 <= 1 e28695: b3227 - b3228 + b3230 <= 1 e28696: b3227 - b3228 + b3231 <= 1 e28697: b3227 - b3228 + b3232 <= 1 e28698: b3227 - b3228 + b3233 <= 1 e28699: b3227 - b3228 + b3234 <= 1 e28700: b3227 - b3228 + b3235 <= 1 e28701: b3228 - b3229 + b3230 <= 1 e28702: b3228 - b3229 + b3231 <= 1 e28703: b3228 - b3229 + b3232 <= 1 e28704: b3228 - b3229 + b3233 <= 1 e28705: b3228 - b3229 + b3234 <= 1 e28706: b3228 - b3229 + b3235 <= 1 e28707: b3228 - b3229 + b3236 <= 1 e28708: b3229 - b3230 + b3231 <= 1 e28709: b3229 - b3230 + b3232 <= 1 e28710: b3229 - b3230 + b3233 <= 1 e28711: b3229 - b3230 + b3234 <= 1 e28712: b3229 - b3230 + b3235 <= 1 e28713: b3229 - b3230 + b3236 <= 1 e28714: b3229 - b3230 + b3237 <= 1 e28715: b3230 - b3231 + b3232 <= 1 e28716: b3230 - b3231 + b3233 <= 1 e28717: b3230 - b3231 + b3234 <= 1 e28718: b3230 - b3231 + b3235 <= 1 e28719: b3230 - b3231 + b3236 <= 1 e28720: b3230 - b3231 + b3237 <= 1 e28721: b3230 - b3231 + b3238 <= 1 e28722: b3231 - b3232 + b3233 <= 1 e28723: b3231 - b3232 + b3234 <= 1 e28724: b3231 - b3232 + b3235 <= 1 e28725: b3231 - b3232 + b3236 <= 1 e28726: b3231 - b3232 + b3237 <= 1 e28727: b3231 - b3232 + b3238 <= 1 e28728: b3231 - b3232 + b3239 <= 1 e28729: b3232 - b3233 + b3234 <= 1 e28730: b3232 - b3233 + b3235 <= 1 e28731: b3232 - b3233 + b3236 <= 1 e28732: b3232 - b3233 + b3237 <= 1 e28733: b3232 - b3233 + b3238 <= 1 e28734: b3232 - b3233 + b3239 <= 1 e28735: b3232 - b3233 + b3240 <= 1 e28736: b3233 - b3234 + b3235 <= 1 e28737: b3233 - b3234 + b3236 <= 1 e28738: b3233 - b3234 + b3237 <= 1 e28739: b3233 - b3234 + b3238 <= 1 e28740: b3233 - b3234 + b3239 <= 1 e28741: b3233 - b3234 + b3240 <= 1 e28742: b3233 - b3234 + b3241 <= 1 e28743: b3234 - b3235 + b3236 <= 1 e28744: b3234 - b3235 + b3237 <= 1 e28745: b3234 - b3235 + b3238 <= 1 e28746: b3234 - b3235 + b3239 <= 1 e28747: b3234 - b3235 + b3240 <= 1 e28748: b3234 - b3235 + b3241 <= 1 e28749: b3235 - b3236 + b3237 <= 1 e28750: b3235 - b3236 + b3238 <= 1 e28751: b3235 - b3236 + b3239 <= 1 e28752: b3235 - b3236 + b3240 <= 1 e28753: b3235 - b3236 + b3241 <= 1 e28754: b3236 - b3237 + b3238 <= 1 e28755: b3236 - b3237 + b3239 <= 1 e28756: b3236 - b3237 + b3240 <= 1 e28757: b3236 - b3237 + b3241 <= 1 e28758: b3237 - b3238 + b3239 <= 1 e28759: b3237 - b3238 + b3240 <= 1 e28760: b3237 - b3238 + b3241 <= 1 e28761: b3238 - b3239 + b3240 <= 1 e28762: b3238 - b3239 + b3241 <= 1 e28763: b3239 - b3240 + b3241 <= 1 e28764: - b3218 + b3219 + x19275 >= 0 e28765: - b3218 + b3220 + x19275 >= 0 e28766: - b3218 + b3221 + x19275 >= 0 e28767: - b3218 + b3222 + x19275 >= 0 e28768: - b3218 + b3223 + x19275 >= 0 e28769: - b3218 + b3224 + x19275 >= 0 e28770: - b3218 + b3225 + x19275 >= 0 e28771: b3218 - b3219 + b3220 >= 0 e28772: b3218 - b3219 + b3221 >= 0 e28773: b3218 - b3219 + b3222 >= 0 e28774: b3218 - b3219 + b3223 >= 0 e28775: b3218 - b3219 + b3224 >= 0 e28776: b3218 - b3219 + b3225 >= 0 e28777: b3218 - b3219 + b3226 >= 0 e28778: b3219 - b3220 + b3221 >= 0 e28779: b3219 - b3220 + b3222 >= 0 e28780: b3219 - b3220 + b3223 >= 0 e28781: b3219 - b3220 + b3224 >= 0 e28782: b3219 - b3220 + b3225 >= 0 e28783: b3219 - b3220 + b3226 >= 0 e28784: b3219 - b3220 + b3227 >= 0 e28785: b3220 - b3221 + b3222 >= 0 e28786: b3220 - b3221 + b3223 >= 0 e28787: b3220 - b3221 + b3224 >= 0 e28788: b3220 - b3221 + b3225 >= 0 e28789: b3220 - b3221 + b3226 >= 0 e28790: b3220 - b3221 + b3227 >= 0 e28791: b3220 - b3221 + b3228 >= 0 e28792: b3221 - b3222 + b3223 >= 0 e28793: b3221 - b3222 + b3224 >= 0 e28794: b3221 - b3222 + b3225 >= 0 e28795: b3221 - b3222 + b3226 >= 0 e28796: b3221 - b3222 + b3227 >= 0 e28797: b3221 - b3222 + b3228 >= 0 e28798: b3221 - b3222 + b3229 >= 0 e28799: b3222 - b3223 + b3224 >= 0 e28800: b3222 - b3223 + b3225 >= 0 e28801: b3222 - b3223 + b3226 >= 0 e28802: b3222 - b3223 + b3227 >= 0 e28803: b3222 - b3223 + b3228 >= 0 e28804: b3222 - b3223 + b3229 >= 0 e28805: b3222 - b3223 + b3230 >= 0 e28806: b3223 - b3224 + b3225 >= 0 e28807: b3223 - b3224 + b3226 >= 0 e28808: b3223 - b3224 + b3227 >= 0 e28809: b3223 - b3224 + b3228 >= 0 e28810: b3223 - b3224 + b3229 >= 0 e28811: b3223 - b3224 + b3230 >= 0 e28812: b3223 - b3224 + b3231 >= 0 e28813: b3224 - b3225 + b3226 >= 0 e28814: b3224 - b3225 + b3227 >= 0 e28815: b3224 - b3225 + b3228 >= 0 e28816: b3224 - b3225 + b3229 >= 0 e28817: b3224 - b3225 + b3230 >= 0 e28818: b3224 - b3225 + b3231 >= 0 e28819: b3224 - b3225 + b3232 >= 0 e28820: b3225 - b3226 + b3227 >= 0 e28821: b3225 - b3226 + b3228 >= 0 e28822: b3225 - b3226 + b3229 >= 0 e28823: b3225 - b3226 + b3230 >= 0 e28824: b3225 - b3226 + b3231 >= 0 e28825: b3225 - b3226 + b3232 >= 0 e28826: b3225 - b3226 + b3233 >= 0 e28827: b3226 - b3227 + b3228 >= 0 e28828: b3226 - b3227 + b3229 >= 0 e28829: b3226 - b3227 + b3230 >= 0 e28830: b3226 - b3227 + b3231 >= 0 e28831: b3226 - b3227 + b3232 >= 0 e28832: b3226 - b3227 + b3233 >= 0 e28833: b3226 - b3227 + b3234 >= 0 e28834: b3227 - b3228 + b3229 >= 0 e28835: b3227 - b3228 + b3230 >= 0 e28836: b3227 - b3228 + b3231 >= 0 e28837: b3227 - b3228 + b3232 >= 0 e28838: b3227 - b3228 + b3233 >= 0 e28839: b3227 - b3228 + b3234 >= 0 e28840: b3227 - b3228 + b3235 >= 0 e28841: b3228 - b3229 + b3230 >= 0 e28842: b3228 - b3229 + b3231 >= 0 e28843: b3228 - b3229 + b3232 >= 0 e28844: b3228 - b3229 + b3233 >= 0 e28845: b3228 - b3229 + b3234 >= 0 e28846: b3228 - b3229 + b3235 >= 0 e28847: b3228 - b3229 + b3236 >= 0 e28848: b3229 - b3230 + b3231 >= 0 e28849: b3229 - b3230 + b3232 >= 0 e28850: b3229 - b3230 + b3233 >= 0 e28851: b3229 - b3230 + b3234 >= 0 e28852: b3229 - b3230 + b3235 >= 0 e28853: b3229 - b3230 + b3236 >= 0 e28854: b3229 - b3230 + b3237 >= 0 e28855: b3230 - b3231 + b3232 >= 0 e28856: b3230 - b3231 + b3233 >= 0 e28857: b3230 - b3231 + b3234 >= 0 e28858: b3230 - b3231 + b3235 >= 0 e28859: b3230 - b3231 + b3236 >= 0 e28860: b3230 - b3231 + b3237 >= 0 e28861: b3230 - b3231 + b3238 >= 0 e28862: b3231 - b3232 + b3233 >= 0 e28863: b3231 - b3232 + b3234 >= 0 e28864: b3231 - b3232 + b3235 >= 0 e28865: b3231 - b3232 + b3236 >= 0 e28866: b3231 - b3232 + b3237 >= 0 e28867: b3231 - b3232 + b3238 >= 0 e28868: b3231 - b3232 + b3239 >= 0 e28869: b3232 - b3233 + b3234 >= 0 e28870: b3232 - b3233 + b3235 >= 0 e28871: b3232 - b3233 + b3236 >= 0 e28872: b3232 - b3233 + b3237 >= 0 e28873: b3232 - b3233 + b3238 >= 0 e28874: b3232 - b3233 + b3239 >= 0 e28875: b3232 - b3233 + b3240 >= 0 e28876: b3233 - b3234 + b3235 >= 0 e28877: b3233 - b3234 + b3236 >= 0 e28878: b3233 - b3234 + b3237 >= 0 e28879: b3233 - b3234 + b3238 >= 0 e28880: b3233 - b3234 + b3239 >= 0 e28881: b3233 - b3234 + b3240 >= 0 e28882: b3233 - b3234 + b3241 >= 0 e28883: b3234 - b3235 + b3236 >= 0 e28884: b3234 - b3235 + b3237 >= 0 e28885: b3234 - b3235 + b3238 >= 0 e28886: b3234 - b3235 + b3239 >= 0 e28887: b3234 - b3235 + b3240 >= 0 e28888: b3234 - b3235 + b3241 >= 0 e28889: b3235 - b3236 + b3237 >= 0 e28890: b3235 - b3236 + b3238 >= 0 e28891: b3235 - b3236 + b3239 >= 0 e28892: b3235 - b3236 + b3240 >= 0 e28893: b3235 - b3236 + b3241 >= 0 e28894: b3236 - b3237 + b3238 >= 0 e28895: b3236 - b3237 + b3239 >= 0 e28896: b3236 - b3237 + b3240 >= 0 e28897: b3236 - b3237 + b3241 >= 0 e28898: b3237 - b3238 + b3239 >= 0 e28899: b3237 - b3238 + b3240 >= 0 e28900: b3237 - b3238 + b3241 >= 0 e28901: b3238 - b3239 + b3240 >= 0 e28902: b3238 - b3239 + b3241 >= 0 e28903: b3239 - b3240 + b3241 >= 0 e28904: b3242 = 0 e28905: b3243 = 0 e28906: b3244 = 0 e28907: b3245 = 0 e28908: b3246 = 0 e28909: b3246 - b3247 + b3248 <= 1 e28910: b3246 - b3247 + b3249 <= 1 e28911: b3246 - b3247 + b3250 <= 1 e28912: b3246 - b3247 + b3251 <= 1 e28913: b3246 - b3247 + b3252 <= 1 e28914: b3246 - b3247 + b3253 <= 1 e28915: b3246 - b3247 + b3254 <= 1 e28916: b3247 - b3248 + b3249 <= 1 e28917: b3247 - b3248 + b3250 <= 1 e28918: b3247 - b3248 + b3251 <= 1 e28919: b3247 - b3248 + b3252 <= 1 e28920: b3247 - b3248 + b3253 <= 1 e28921: b3247 - b3248 + b3254 <= 1 e28922: b3247 - b3248 + b3255 <= 1 e28923: b3248 - b3249 + b3250 <= 1 e28924: b3248 - b3249 + b3251 <= 1 e28925: b3248 - b3249 + b3252 <= 1 e28926: b3248 - b3249 + b3253 <= 1 e28927: b3248 - b3249 + b3254 <= 1 e28928: b3248 - b3249 + b3255 <= 1 e28929: b3248 - b3249 + b3256 <= 1 e28930: b3249 - b3250 + b3251 <= 1 e28931: b3249 - b3250 + b3252 <= 1 e28932: b3249 - b3250 + b3253 <= 1 e28933: b3249 - b3250 + b3254 <= 1 e28934: b3249 - b3250 + b3255 <= 1 e28935: b3249 - b3250 + b3256 <= 1 e28936: b3249 - b3250 + b3257 <= 1 e28937: b3250 - b3251 + b3252 <= 1 e28938: b3250 - b3251 + b3253 <= 1 e28939: b3250 - b3251 + b3254 <= 1 e28940: b3250 - b3251 + b3255 <= 1 e28941: b3250 - b3251 + b3256 <= 1 e28942: b3250 - b3251 + b3257 <= 1 e28943: b3250 - b3251 + b3258 <= 1 e28944: b3251 - b3252 + b3253 <= 1 e28945: b3251 - b3252 + b3254 <= 1 e28946: b3251 - b3252 + b3255 <= 1 e28947: b3251 - b3252 + b3256 <= 1 e28948: b3251 - b3252 + b3257 <= 1 e28949: b3251 - b3252 + b3258 <= 1 e28950: b3251 - b3252 + b3259 <= 1 e28951: b3252 - b3253 + b3254 <= 1 e28952: b3252 - b3253 + b3255 <= 1 e28953: b3252 - b3253 + b3256 <= 1 e28954: b3252 - b3253 + b3257 <= 1 e28955: b3252 - b3253 + b3258 <= 1 e28956: b3252 - b3253 + b3259 <= 1 e28957: b3252 - b3253 + b3260 <= 1 e28958: b3253 - b3254 + b3255 <= 1 e28959: b3253 - b3254 + b3256 <= 1 e28960: b3253 - b3254 + b3257 <= 1 e28961: b3253 - b3254 + b3258 <= 1 e28962: b3253 - b3254 + b3259 <= 1 e28963: b3253 - b3254 + b3260 <= 1 e28964: b3253 - b3254 + b3261 <= 1 e28965: b3254 - b3255 + b3256 <= 1 e28966: b3254 - b3255 + b3257 <= 1 e28967: b3254 - b3255 + b3258 <= 1 e28968: b3254 - b3255 + b3259 <= 1 e28969: b3254 - b3255 + b3260 <= 1 e28970: b3254 - b3255 + b3261 <= 1 e28971: b3254 - b3255 + b3262 <= 1 e28972: b3255 - b3256 + b3257 <= 1 e28973: b3255 - b3256 + b3258 <= 1 e28974: b3255 - b3256 + b3259 <= 1 e28975: b3255 - b3256 + b3260 <= 1 e28976: b3255 - b3256 + b3261 <= 1 e28977: b3255 - b3256 + b3262 <= 1 e28978: b3255 - b3256 + b3263 <= 1 e28979: b3256 - b3257 + b3258 <= 1 e28980: b3256 - b3257 + b3259 <= 1 e28981: b3256 - b3257 + b3260 <= 1 e28982: b3256 - b3257 + b3261 <= 1 e28983: b3256 - b3257 + b3262 <= 1 e28984: b3256 - b3257 + b3263 <= 1 e28985: b3256 - b3257 + b3264 <= 1 e28986: b3257 - b3258 + b3259 <= 1 e28987: b3257 - b3258 + b3260 <= 1 e28988: b3257 - b3258 + b3261 <= 1 e28989: b3257 - b3258 + b3262 <= 1 e28990: b3257 - b3258 + b3263 <= 1 e28991: b3257 - b3258 + b3264 <= 1 e28992: b3257 - b3258 + b3265 <= 1 e28993: b3258 - b3259 + b3260 <= 1 e28994: b3258 - b3259 + b3261 <= 1 e28995: b3258 - b3259 + b3262 <= 1 e28996: b3258 - b3259 + b3263 <= 1 e28997: b3258 - b3259 + b3264 <= 1 e28998: b3258 - b3259 + b3265 <= 1 e28999: b3259 - b3260 + b3261 <= 1 e29000: b3259 - b3260 + b3262 <= 1 e29001: b3259 - b3260 + b3263 <= 1 e29002: b3259 - b3260 + b3264 <= 1 e29003: b3259 - b3260 + b3265 <= 1 e29004: b3260 - b3261 + b3262 <= 1 e29005: b3260 - b3261 + b3263 <= 1 e29006: b3260 - b3261 + b3264 <= 1 e29007: b3260 - b3261 + b3265 <= 1 e29008: b3261 - b3262 + b3263 <= 1 e29009: b3261 - b3262 + b3264 <= 1 e29010: b3261 - b3262 + b3265 <= 1 e29011: b3262 - b3263 + b3264 <= 1 e29012: b3262 - b3263 + b3265 <= 1 e29013: b3263 - b3264 + b3265 <= 1 e29014: b3246 - b3247 + b3248 >= 0 e29015: b3246 - b3247 + b3249 >= 0 e29016: b3246 - b3247 + b3250 >= 0 e29017: b3246 - b3247 + b3251 >= 0 e29018: b3246 - b3247 + b3252 >= 0 e29019: b3246 - b3247 + b3253 >= 0 e29020: b3246 - b3247 + b3254 >= 0 e29021: b3247 - b3248 + b3249 >= 0 e29022: b3247 - b3248 + b3250 >= 0 e29023: b3247 - b3248 + b3251 >= 0 e29024: b3247 - b3248 + b3252 >= 0 e29025: b3247 - b3248 + b3253 >= 0 e29026: b3247 - b3248 + b3254 >= 0 e29027: b3247 - b3248 + b3255 >= 0 e29028: b3248 - b3249 + b3250 >= 0 e29029: b3248 - b3249 + b3251 >= 0 e29030: b3248 - b3249 + b3252 >= 0 e29031: b3248 - b3249 + b3253 >= 0 e29032: b3248 - b3249 + b3254 >= 0 e29033: b3248 - b3249 + b3255 >= 0 e29034: b3248 - b3249 + b3256 >= 0 e29035: b3249 - b3250 + b3251 >= 0 e29036: b3249 - b3250 + b3252 >= 0 e29037: b3249 - b3250 + b3253 >= 0 e29038: b3249 - b3250 + b3254 >= 0 e29039: b3249 - b3250 + b3255 >= 0 e29040: b3249 - b3250 + b3256 >= 0 e29041: b3249 - b3250 + b3257 >= 0 e29042: b3250 - b3251 + b3252 >= 0 e29043: b3250 - b3251 + b3253 >= 0 e29044: b3250 - b3251 + b3254 >= 0 e29045: b3250 - b3251 + b3255 >= 0 e29046: b3250 - b3251 + b3256 >= 0 e29047: b3250 - b3251 + b3257 >= 0 e29048: b3250 - b3251 + b3258 >= 0 e29049: b3251 - b3252 + b3253 >= 0 e29050: b3251 - b3252 + b3254 >= 0 e29051: b3251 - b3252 + b3255 >= 0 e29052: b3251 - b3252 + b3256 >= 0 e29053: b3251 - b3252 + b3257 >= 0 e29054: b3251 - b3252 + b3258 >= 0 e29055: b3251 - b3252 + b3259 >= 0 e29056: b3252 - b3253 + b3254 >= 0 e29057: b3252 - b3253 + b3255 >= 0 e29058: b3252 - b3253 + b3256 >= 0 e29059: b3252 - b3253 + b3257 >= 0 e29060: b3252 - b3253 + b3258 >= 0 e29061: b3252 - b3253 + b3259 >= 0 e29062: b3252 - b3253 + b3260 >= 0 e29063: b3253 - b3254 + b3255 >= 0 e29064: b3253 - b3254 + b3256 >= 0 e29065: b3253 - b3254 + b3257 >= 0 e29066: b3253 - b3254 + b3258 >= 0 e29067: b3253 - b3254 + b3259 >= 0 e29068: b3253 - b3254 + b3260 >= 0 e29069: b3253 - b3254 + b3261 >= 0 e29070: b3254 - b3255 + b3256 >= 0 e29071: b3254 - b3255 + b3257 >= 0 e29072: b3254 - b3255 + b3258 >= 0 e29073: b3254 - b3255 + b3259 >= 0 e29074: b3254 - b3255 + b3260 >= 0 e29075: b3254 - b3255 + b3261 >= 0 e29076: b3254 - b3255 + b3262 >= 0 e29077: b3255 - b3256 + b3257 >= 0 e29078: b3255 - b3256 + b3258 >= 0 e29079: b3255 - b3256 + b3259 >= 0 e29080: b3255 - b3256 + b3260 >= 0 e29081: b3255 - b3256 + b3261 >= 0 e29082: b3255 - b3256 + b3262 >= 0 e29083: b3255 - b3256 + b3263 >= 0 e29084: b3256 - b3257 + b3258 >= 0 e29085: b3256 - b3257 + b3259 >= 0 e29086: b3256 - b3257 + b3260 >= 0 e29087: b3256 - b3257 + b3261 >= 0 e29088: b3256 - b3257 + b3262 >= 0 e29089: b3256 - b3257 + b3263 >= 0 e29090: b3256 - b3257 + b3264 >= 0 e29091: b3257 - b3258 + b3259 >= 0 e29092: b3257 - b3258 + b3260 >= 0 e29093: b3257 - b3258 + b3261 >= 0 e29094: b3257 - b3258 + b3262 >= 0 e29095: b3257 - b3258 + b3263 >= 0 e29096: b3257 - b3258 + b3264 >= 0 e29097: b3257 - b3258 + b3265 >= 0 e29098: b3258 - b3259 + b3260 >= 0 e29099: b3258 - b3259 + b3261 >= 0 e29100: b3258 - b3259 + b3262 >= 0 e29101: b3258 - b3259 + b3263 >= 0 e29102: b3258 - b3259 + b3264 >= 0 e29103: b3258 - b3259 + b3265 >= 0 e29104: b3259 - b3260 + b3261 >= 0 e29105: b3259 - b3260 + b3262 >= 0 e29106: b3259 - b3260 + b3263 >= 0 e29107: b3259 - b3260 + b3264 >= 0 e29108: b3259 - b3260 + b3265 >= 0 e29109: b3260 - b3261 + b3262 >= 0 e29110: b3260 - b3261 + b3263 >= 0 e29111: b3260 - b3261 + b3264 >= 0 e29112: b3260 - b3261 + b3265 >= 0 e29113: b3261 - b3262 + b3263 >= 0 e29114: b3261 - b3262 + b3264 >= 0 e29115: b3261 - b3262 + b3265 >= 0 e29116: b3262 - b3263 + b3264 >= 0 e29117: b3262 - b3263 + b3265 >= 0 e29118: b3263 - b3264 + b3265 >= 0 e29119: - b3266 + b3267 + x19276 <= 1 e29120: - b3266 + b3268 + x19276 <= 1 e29121: - b3266 + b3269 + x19276 <= 1 e29122: - b3266 + b3270 + x19276 <= 1 e29123: - b3266 + b3271 + x19276 <= 1 e29124: - b3266 + b3272 + x19276 <= 1 e29125: b3266 - b3267 + b3268 <= 1 e29126: b3266 - b3267 + b3269 <= 1 e29127: b3266 - b3267 + b3270 <= 1 e29128: b3266 - b3267 + b3271 <= 1 e29129: b3266 - b3267 + b3272 <= 1 e29130: b3266 - b3267 + b3273 <= 1 e29131: b3267 - b3268 + b3269 <= 1 e29132: b3267 - b3268 + b3270 <= 1 e29133: b3267 - b3268 + b3271 <= 1 e29134: b3267 - b3268 + b3272 <= 1 e29135: b3267 - b3268 + b3273 <= 1 e29136: b3267 - b3268 + b3274 <= 1 e29137: b3268 - b3269 + b3270 <= 1 e29138: b3268 - b3269 + b3271 <= 1 e29139: b3268 - b3269 + b3272 <= 1 e29140: b3268 - b3269 + b3273 <= 1 e29141: b3268 - b3269 + b3274 <= 1 e29142: b3268 - b3269 + b3275 <= 1 e29143: b3269 - b3270 + b3271 <= 1 e29144: b3269 - b3270 + b3272 <= 1 e29145: b3269 - b3270 + b3273 <= 1 e29146: b3269 - b3270 + b3274 <= 1 e29147: b3269 - b3270 + b3275 <= 1 e29148: b3269 - b3270 + b3276 <= 1 e29149: b3270 - b3271 + b3272 <= 1 e29150: b3270 - b3271 + b3273 <= 1 e29151: b3270 - b3271 + b3274 <= 1 e29152: b3270 - b3271 + b3275 <= 1 e29153: b3270 - b3271 + b3276 <= 1 e29154: b3270 - b3271 + b3277 <= 1 e29155: b3271 - b3272 + b3273 <= 1 e29156: b3271 - b3272 + b3274 <= 1 e29157: b3271 - b3272 + b3275 <= 1 e29158: b3271 - b3272 + b3276 <= 1 e29159: b3271 - b3272 + b3277 <= 1 e29160: b3271 - b3272 + b3278 <= 1 e29161: b3272 - b3273 + b3274 <= 1 e29162: b3272 - b3273 + b3275 <= 1 e29163: b3272 - b3273 + b3276 <= 1 e29164: b3272 - b3273 + b3277 <= 1 e29165: b3272 - b3273 + b3278 <= 1 e29166: b3272 - b3273 + b3279 <= 1 e29167: b3273 - b3274 + b3275 <= 1 e29168: b3273 - b3274 + b3276 <= 1 e29169: b3273 - b3274 + b3277 <= 1 e29170: b3273 - b3274 + b3278 <= 1 e29171: b3273 - b3274 + b3279 <= 1 e29172: b3273 - b3274 + b3280 <= 1 e29173: b3274 - b3275 + b3276 <= 1 e29174: b3274 - b3275 + b3277 <= 1 e29175: b3274 - b3275 + b3278 <= 1 e29176: b3274 - b3275 + b3279 <= 1 e29177: b3274 - b3275 + b3280 <= 1 e29178: b3274 - b3275 + b3281 <= 1 e29179: b3275 - b3276 + b3277 <= 1 e29180: b3275 - b3276 + b3278 <= 1 e29181: b3275 - b3276 + b3279 <= 1 e29182: b3275 - b3276 + b3280 <= 1 e29183: b3275 - b3276 + b3281 <= 1 e29184: b3275 - b3276 + b3282 <= 1 e29185: b3276 - b3277 + b3278 <= 1 e29186: b3276 - b3277 + b3279 <= 1 e29187: b3276 - b3277 + b3280 <= 1 e29188: b3276 - b3277 + b3281 <= 1 e29189: b3276 - b3277 + b3282 <= 1 e29190: b3276 - b3277 + b3283 <= 1 e29191: b3277 - b3278 + b3279 <= 1 e29192: b3277 - b3278 + b3280 <= 1 e29193: b3277 - b3278 + b3281 <= 1 e29194: b3277 - b3278 + b3282 <= 1 e29195: b3277 - b3278 + b3283 <= 1 e29196: b3277 - b3278 + b3284 <= 1 e29197: b3278 - b3279 + b3280 <= 1 e29198: b3278 - b3279 + b3281 <= 1 e29199: b3278 - b3279 + b3282 <= 1 e29200: b3278 - b3279 + b3283 <= 1 e29201: b3278 - b3279 + b3284 <= 1 e29202: b3278 - b3279 + b3285 <= 1 e29203: b3279 - b3280 + b3281 <= 1 e29204: b3279 - b3280 + b3282 <= 1 e29205: b3279 - b3280 + b3283 <= 1 e29206: b3279 - b3280 + b3284 <= 1 e29207: b3279 - b3280 + b3285 <= 1 e29208: b3279 - b3280 + b3286 <= 1 e29209: b3280 - b3281 + b3282 <= 1 e29210: b3280 - b3281 + b3283 <= 1 e29211: b3280 - b3281 + b3284 <= 1 e29212: b3280 - b3281 + b3285 <= 1 e29213: b3280 - b3281 + b3286 <= 1 e29214: b3280 - b3281 + b3287 <= 1 e29215: b3281 - b3282 + b3283 <= 1 e29216: b3281 - b3282 + b3284 <= 1 e29217: b3281 - b3282 + b3285 <= 1 e29218: b3281 - b3282 + b3286 <= 1 e29219: b3281 - b3282 + b3287 <= 1 e29220: b3281 - b3282 + b3288 <= 1 e29221: b3282 - b3283 + b3284 <= 1 e29222: b3282 - b3283 + b3285 <= 1 e29223: b3282 - b3283 + b3286 <= 1 e29224: b3282 - b3283 + b3287 <= 1 e29225: b3282 - b3283 + b3288 <= 1 e29226: b3282 - b3283 + b3289 <= 1 e29227: b3283 - b3284 + b3285 <= 1 e29228: b3283 - b3284 + b3286 <= 1 e29229: b3283 - b3284 + b3287 <= 1 e29230: b3283 - b3284 + b3288 <= 1 e29231: b3283 - b3284 + b3289 <= 1 e29232: b3284 - b3285 + b3286 <= 1 e29233: b3284 - b3285 + b3287 <= 1 e29234: b3284 - b3285 + b3288 <= 1 e29235: b3284 - b3285 + b3289 <= 1 e29236: b3285 - b3286 + b3287 <= 1 e29237: b3285 - b3286 + b3288 <= 1 e29238: b3285 - b3286 + b3289 <= 1 e29239: b3286 - b3287 + b3288 <= 1 e29240: b3286 - b3287 + b3289 <= 1 e29241: b3287 - b3288 + b3289 <= 1 e29242: - b3266 + b3267 + x19276 >= 0 e29243: - b3266 + b3268 + x19276 >= 0 e29244: - b3266 + b3269 + x19276 >= 0 e29245: - b3266 + b3270 + x19276 >= 0 e29246: - b3266 + b3271 + x19276 >= 0 e29247: - b3266 + b3272 + x19276 >= 0 e29248: b3266 - b3267 + b3268 >= 0 e29249: b3266 - b3267 + b3269 >= 0 e29250: b3266 - b3267 + b3270 >= 0 e29251: b3266 - b3267 + b3271 >= 0 e29252: b3266 - b3267 + b3272 >= 0 e29253: b3266 - b3267 + b3273 >= 0 e29254: b3267 - b3268 + b3269 >= 0 e29255: b3267 - b3268 + b3270 >= 0 e29256: b3267 - b3268 + b3271 >= 0 e29257: b3267 - b3268 + b3272 >= 0 e29258: b3267 - b3268 + b3273 >= 0 e29259: b3267 - b3268 + b3274 >= 0 e29260: b3268 - b3269 + b3270 >= 0 e29261: b3268 - b3269 + b3271 >= 0 e29262: b3268 - b3269 + b3272 >= 0 e29263: b3268 - b3269 + b3273 >= 0 e29264: b3268 - b3269 + b3274 >= 0 e29265: b3268 - b3269 + b3275 >= 0 e29266: b3269 - b3270 + b3271 >= 0 e29267: b3269 - b3270 + b3272 >= 0 e29268: b3269 - b3270 + b3273 >= 0 e29269: b3269 - b3270 + b3274 >= 0 e29270: b3269 - b3270 + b3275 >= 0 e29271: b3269 - b3270 + b3276 >= 0 e29272: b3270 - b3271 + b3272 >= 0 e29273: b3270 - b3271 + b3273 >= 0 e29274: b3270 - b3271 + b3274 >= 0 e29275: b3270 - b3271 + b3275 >= 0 e29276: b3270 - b3271 + b3276 >= 0 e29277: b3270 - b3271 + b3277 >= 0 e29278: b3271 - b3272 + b3273 >= 0 e29279: b3271 - b3272 + b3274 >= 0 e29280: b3271 - b3272 + b3275 >= 0 e29281: b3271 - b3272 + b3276 >= 0 e29282: b3271 - b3272 + b3277 >= 0 e29283: b3271 - b3272 + b3278 >= 0 e29284: b3272 - b3273 + b3274 >= 0 e29285: b3272 - b3273 + b3275 >= 0 e29286: b3272 - b3273 + b3276 >= 0 e29287: b3272 - b3273 + b3277 >= 0 e29288: b3272 - b3273 + b3278 >= 0 e29289: b3272 - b3273 + b3279 >= 0 e29290: b3273 - b3274 + b3275 >= 0 e29291: b3273 - b3274 + b3276 >= 0 e29292: b3273 - b3274 + b3277 >= 0 e29293: b3273 - b3274 + b3278 >= 0 e29294: b3273 - b3274 + b3279 >= 0 e29295: b3273 - b3274 + b3280 >= 0 e29296: b3274 - b3275 + b3276 >= 0 e29297: b3274 - b3275 + b3277 >= 0 e29298: b3274 - b3275 + b3278 >= 0 e29299: b3274 - b3275 + b3279 >= 0 e29300: b3274 - b3275 + b3280 >= 0 e29301: b3274 - b3275 + b3281 >= 0 e29302: b3275 - b3276 + b3277 >= 0 e29303: b3275 - b3276 + b3278 >= 0 e29304: b3275 - b3276 + b3279 >= 0 e29305: b3275 - b3276 + b3280 >= 0 e29306: b3275 - b3276 + b3281 >= 0 e29307: b3275 - b3276 + b3282 >= 0 e29308: b3276 - b3277 + b3278 >= 0 e29309: b3276 - b3277 + b3279 >= 0 e29310: b3276 - b3277 + b3280 >= 0 e29311: b3276 - b3277 + b3281 >= 0 e29312: b3276 - b3277 + b3282 >= 0 e29313: b3276 - b3277 + b3283 >= 0 e29314: b3277 - b3278 + b3279 >= 0 e29315: b3277 - b3278 + b3280 >= 0 e29316: b3277 - b3278 + b3281 >= 0 e29317: b3277 - b3278 + b3282 >= 0 e29318: b3277 - b3278 + b3283 >= 0 e29319: b3277 - b3278 + b3284 >= 0 e29320: b3278 - b3279 + b3280 >= 0 e29321: b3278 - b3279 + b3281 >= 0 e29322: b3278 - b3279 + b3282 >= 0 e29323: b3278 - b3279 + b3283 >= 0 e29324: b3278 - b3279 + b3284 >= 0 e29325: b3278 - b3279 + b3285 >= 0 e29326: b3279 - b3280 + b3281 >= 0 e29327: b3279 - b3280 + b3282 >= 0 e29328: b3279 - b3280 + b3283 >= 0 e29329: b3279 - b3280 + b3284 >= 0 e29330: b3279 - b3280 + b3285 >= 0 e29331: b3279 - b3280 + b3286 >= 0 e29332: b3280 - b3281 + b3282 >= 0 e29333: b3280 - b3281 + b3283 >= 0 e29334: b3280 - b3281 + b3284 >= 0 e29335: b3280 - b3281 + b3285 >= 0 e29336: b3280 - b3281 + b3286 >= 0 e29337: b3280 - b3281 + b3287 >= 0 e29338: b3281 - b3282 + b3283 >= 0 e29339: b3281 - b3282 + b3284 >= 0 e29340: b3281 - b3282 + b3285 >= 0 e29341: b3281 - b3282 + b3286 >= 0 e29342: b3281 - b3282 + b3287 >= 0 e29343: b3281 - b3282 + b3288 >= 0 e29344: b3282 - b3283 + b3284 >= 0 e29345: b3282 - b3283 + b3285 >= 0 e29346: b3282 - b3283 + b3286 >= 0 e29347: b3282 - b3283 + b3287 >= 0 e29348: b3282 - b3283 + b3288 >= 0 e29349: b3282 - b3283 + b3289 >= 0 e29350: b3283 - b3284 + b3285 >= 0 e29351: b3283 - b3284 + b3286 >= 0 e29352: b3283 - b3284 + b3287 >= 0 e29353: b3283 - b3284 + b3288 >= 0 e29354: b3283 - b3284 + b3289 >= 0 e29355: b3284 - b3285 + b3286 >= 0 e29356: b3284 - b3285 + b3287 >= 0 e29357: b3284 - b3285 + b3288 >= 0 e29358: b3284 - b3285 + b3289 >= 0 e29359: b3285 - b3286 + b3287 >= 0 e29360: b3285 - b3286 + b3288 >= 0 e29361: b3285 - b3286 + b3289 >= 0 e29362: b3286 - b3287 + b3288 >= 0 e29363: b3286 - b3287 + b3289 >= 0 e29364: b3287 - b3288 + b3289 >= 0 e29365: b3290 = 1 e29366: b3291 = 1 e29367: b3292 = 1 e29368: b3293 = 1 e29369: b3294 = 1 e29370: b3294 - b3295 + b3296 <= 1 e29371: b3294 - b3295 + b3297 <= 1 e29372: b3294 - b3295 + b3298 <= 1 e29373: b3294 - b3295 + b3299 <= 1 e29374: b3294 - b3295 + b3300 <= 1 e29375: b3294 - b3295 + b3301 <= 1 e29376: b3295 - b3296 + b3297 <= 1 e29377: b3295 - b3296 + b3298 <= 1 e29378: b3295 - b3296 + b3299 <= 1 e29379: b3295 - b3296 + b3300 <= 1 e29380: b3295 - b3296 + b3301 <= 1 e29381: b3295 - b3296 + b3302 <= 1 e29382: b3296 - b3297 + b3298 <= 1 e29383: b3296 - b3297 + b3299 <= 1 e29384: b3296 - b3297 + b3300 <= 1 e29385: b3296 - b3297 + b3301 <= 1 e29386: b3296 - b3297 + b3302 <= 1 e29387: b3296 - b3297 + b3303 <= 1 e29388: b3297 - b3298 + b3299 <= 1 e29389: b3297 - b3298 + b3300 <= 1 e29390: b3297 - b3298 + b3301 <= 1 e29391: b3297 - b3298 + b3302 <= 1 e29392: b3297 - b3298 + b3303 <= 1 e29393: b3297 - b3298 + b3304 <= 1 e29394: b3298 - b3299 + b3300 <= 1 e29395: b3298 - b3299 + b3301 <= 1 e29396: b3298 - b3299 + b3302 <= 1 e29397: b3298 - b3299 + b3303 <= 1 e29398: b3298 - b3299 + b3304 <= 1 e29399: b3298 - b3299 + b3305 <= 1 e29400: b3299 - b3300 + b3301 <= 1 e29401: b3299 - b3300 + b3302 <= 1 e29402: b3299 - b3300 + b3303 <= 1 e29403: b3299 - b3300 + b3304 <= 1 e29404: b3299 - b3300 + b3305 <= 1 e29405: b3299 - b3300 + b3306 <= 1 e29406: b3300 - b3301 + b3302 <= 1 e29407: b3300 - b3301 + b3303 <= 1 e29408: b3300 - b3301 + b3304 <= 1 e29409: b3300 - b3301 + b3305 <= 1 e29410: b3300 - b3301 + b3306 <= 1 e29411: b3300 - b3301 + b3307 <= 1 e29412: b3301 - b3302 + b3303 <= 1 e29413: b3301 - b3302 + b3304 <= 1 e29414: b3301 - b3302 + b3305 <= 1 e29415: b3301 - b3302 + b3306 <= 1 e29416: b3301 - b3302 + b3307 <= 1 e29417: b3301 - b3302 + b3308 <= 1 e29418: b3302 - b3303 + b3304 <= 1 e29419: b3302 - b3303 + b3305 <= 1 e29420: b3302 - b3303 + b3306 <= 1 e29421: b3302 - b3303 + b3307 <= 1 e29422: b3302 - b3303 + b3308 <= 1 e29423: b3302 - b3303 + b3309 <= 1 e29424: b3303 - b3304 + b3305 <= 1 e29425: b3303 - b3304 + b3306 <= 1 e29426: b3303 - b3304 + b3307 <= 1 e29427: b3303 - b3304 + b3308 <= 1 e29428: b3303 - b3304 + b3309 <= 1 e29429: b3303 - b3304 + b3310 <= 1 e29430: b3304 - b3305 + b3306 <= 1 e29431: b3304 - b3305 + b3307 <= 1 e29432: b3304 - b3305 + b3308 <= 1 e29433: b3304 - b3305 + b3309 <= 1 e29434: b3304 - b3305 + b3310 <= 1 e29435: b3304 - b3305 + b3311 <= 1 e29436: b3305 - b3306 + b3307 <= 1 e29437: b3305 - b3306 + b3308 <= 1 e29438: b3305 - b3306 + b3309 <= 1 e29439: b3305 - b3306 + b3310 <= 1 e29440: b3305 - b3306 + b3311 <= 1 e29441: b3305 - b3306 + b3312 <= 1 e29442: b3306 - b3307 + b3308 <= 1 e29443: b3306 - b3307 + b3309 <= 1 e29444: b3306 - b3307 + b3310 <= 1 e29445: b3306 - b3307 + b3311 <= 1 e29446: b3306 - b3307 + b3312 <= 1 e29447: b3306 - b3307 + b3313 <= 1 e29448: b3307 - b3308 + b3309 <= 1 e29449: b3307 - b3308 + b3310 <= 1 e29450: b3307 - b3308 + b3311 <= 1 e29451: b3307 - b3308 + b3312 <= 1 e29452: b3307 - b3308 + b3313 <= 1 e29453: b3308 - b3309 + b3310 <= 1 e29454: b3308 - b3309 + b3311 <= 1 e29455: b3308 - b3309 + b3312 <= 1 e29456: b3308 - b3309 + b3313 <= 1 e29457: b3309 - b3310 + b3311 <= 1 e29458: b3309 - b3310 + b3312 <= 1 e29459: b3309 - b3310 + b3313 <= 1 e29460: b3310 - b3311 + b3312 <= 1 e29461: b3310 - b3311 + b3313 <= 1 e29462: b3311 - b3312 + b3313 <= 1 e29463: b3294 - b3295 + b3296 >= 0 e29464: b3294 - b3295 + b3297 >= 0 e29465: b3294 - b3295 + b3298 >= 0 e29466: b3294 - b3295 + b3299 >= 0 e29467: b3294 - b3295 + b3300 >= 0 e29468: b3294 - b3295 + b3301 >= 0 e29469: b3294 - b3295 + b3302 >= 0 e29470: b3295 - b3296 + b3297 >= 0 e29471: b3295 - b3296 + b3298 >= 0 e29472: b3295 - b3296 + b3299 >= 0 e29473: b3295 - b3296 + b3300 >= 0 e29474: b3295 - b3296 + b3301 >= 0 e29475: b3295 - b3296 + b3302 >= 0 e29476: b3295 - b3296 + b3303 >= 0 e29477: b3296 - b3297 + b3298 >= 0 e29478: b3296 - b3297 + b3299 >= 0 e29479: b3296 - b3297 + b3300 >= 0 e29480: b3296 - b3297 + b3301 >= 0 e29481: b3296 - b3297 + b3302 >= 0 e29482: b3296 - b3297 + b3303 >= 0 e29483: b3296 - b3297 + b3304 >= 0 e29484: b3297 - b3298 + b3299 >= 0 e29485: b3297 - b3298 + b3300 >= 0 e29486: b3297 - b3298 + b3301 >= 0 e29487: b3297 - b3298 + b3302 >= 0 e29488: b3297 - b3298 + b3303 >= 0 e29489: b3297 - b3298 + b3304 >= 0 e29490: b3297 - b3298 + b3305 >= 0 e29491: b3298 - b3299 + b3300 >= 0 e29492: b3298 - b3299 + b3301 >= 0 e29493: b3298 - b3299 + b3302 >= 0 e29494: b3298 - b3299 + b3303 >= 0 e29495: b3298 - b3299 + b3304 >= 0 e29496: b3298 - b3299 + b3305 >= 0 e29497: b3298 - b3299 + b3306 >= 0 e29498: b3299 - b3300 + b3301 >= 0 e29499: b3299 - b3300 + b3302 >= 0 e29500: b3299 - b3300 + b3303 >= 0 e29501: b3299 - b3300 + b3304 >= 0 e29502: b3299 - b3300 + b3305 >= 0 e29503: b3299 - b3300 + b3306 >= 0 e29504: b3299 - b3300 + b3307 >= 0 e29505: b3300 - b3301 + b3302 >= 0 e29506: b3300 - b3301 + b3303 >= 0 e29507: b3300 - b3301 + b3304 >= 0 e29508: b3300 - b3301 + b3305 >= 0 e29509: b3300 - b3301 + b3306 >= 0 e29510: b3300 - b3301 + b3307 >= 0 e29511: b3300 - b3301 + b3308 >= 0 e29512: b3301 - b3302 + b3303 >= 0 e29513: b3301 - b3302 + b3304 >= 0 e29514: b3301 - b3302 + b3305 >= 0 e29515: b3301 - b3302 + b3306 >= 0 e29516: b3301 - b3302 + b3307 >= 0 e29517: b3301 - b3302 + b3308 >= 0 e29518: b3301 - b3302 + b3309 >= 0 e29519: b3302 - b3303 + b3304 >= 0 e29520: b3302 - b3303 + b3305 >= 0 e29521: b3302 - b3303 + b3306 >= 0 e29522: b3302 - b3303 + b3307 >= 0 e29523: b3302 - b3303 + b3308 >= 0 e29524: b3302 - b3303 + b3309 >= 0 e29525: b3302 - b3303 + b3310 >= 0 e29526: b3303 - b3304 + b3305 >= 0 e29527: b3303 - b3304 + b3306 >= 0 e29528: b3303 - b3304 + b3307 >= 0 e29529: b3303 - b3304 + b3308 >= 0 e29530: b3303 - b3304 + b3309 >= 0 e29531: b3303 - b3304 + b3310 >= 0 e29532: b3303 - b3304 + b3311 >= 0 e29533: b3304 - b3305 + b3306 >= 0 e29534: b3304 - b3305 + b3307 >= 0 e29535: b3304 - b3305 + b3308 >= 0 e29536: b3304 - b3305 + b3309 >= 0 e29537: b3304 - b3305 + b3310 >= 0 e29538: b3304 - b3305 + b3311 >= 0 e29539: b3304 - b3305 + b3312 >= 0 e29540: b3305 - b3306 + b3307 >= 0 e29541: b3305 - b3306 + b3308 >= 0 e29542: b3305 - b3306 + b3309 >= 0 e29543: b3305 - b3306 + b3310 >= 0 e29544: b3305 - b3306 + b3311 >= 0 e29545: b3305 - b3306 + b3312 >= 0 e29546: b3305 - b3306 + b3313 >= 0 e29547: b3306 - b3307 + b3308 >= 0 e29548: b3306 - b3307 + b3309 >= 0 e29549: b3306 - b3307 + b3310 >= 0 e29550: b3306 - b3307 + b3311 >= 0 e29551: b3306 - b3307 + b3312 >= 0 e29552: b3306 - b3307 + b3313 >= 0 e29553: b3307 - b3308 + b3309 >= 0 e29554: b3307 - b3308 + b3310 >= 0 e29555: b3307 - b3308 + b3311 >= 0 e29556: b3307 - b3308 + b3312 >= 0 e29557: b3307 - b3308 + b3313 >= 0 e29558: b3308 - b3309 + b3310 >= 0 e29559: b3308 - b3309 + b3311 >= 0 e29560: b3308 - b3309 + b3312 >= 0 e29561: b3308 - b3309 + b3313 >= 0 e29562: b3309 - b3310 + b3311 >= 0 e29563: b3309 - b3310 + b3312 >= 0 e29564: b3309 - b3310 + b3313 >= 0 e29565: b3310 - b3311 + b3312 >= 0 e29566: b3310 - b3311 + b3313 >= 0 e29567: b3311 - b3312 + b3313 >= 0 e29568: - b3314 + b3315 + x19277 <= 1 e29569: - b3314 + b3316 + x19277 <= 1 e29570: - b3314 + b3317 + x19277 <= 1 e29571: - b3314 + b3318 + x19277 <= 1 e29572: - b3314 + b3319 + x19277 <= 1 e29573: - b3314 + b3320 + x19277 <= 1 e29574: b3314 - b3315 + b3316 <= 1 e29575: b3314 - b3315 + b3317 <= 1 e29576: b3314 - b3315 + b3318 <= 1 e29577: b3314 - b3315 + b3319 <= 1 e29578: b3314 - b3315 + b3320 <= 1 e29579: b3314 - b3315 + b3321 <= 1 e29580: b3315 - b3316 + b3317 <= 1 e29581: b3315 - b3316 + b3318 <= 1 e29582: b3315 - b3316 + b3319 <= 1 e29583: b3315 - b3316 + b3320 <= 1 e29584: b3315 - b3316 + b3321 <= 1 e29585: b3315 - b3316 + b3322 <= 1 e29586: b3316 - b3317 + b3318 <= 1 e29587: b3316 - b3317 + b3319 <= 1 e29588: b3316 - b3317 + b3320 <= 1 e29589: b3316 - b3317 + b3321 <= 1 e29590: b3316 - b3317 + b3322 <= 1 e29591: b3316 - b3317 + b3323 <= 1 e29592: b3317 - b3318 + b3319 <= 1 e29593: b3317 - b3318 + b3320 <= 1 e29594: b3317 - b3318 + b3321 <= 1 e29595: b3317 - b3318 + b3322 <= 1 e29596: b3317 - b3318 + b3323 <= 1 e29597: b3317 - b3318 + b3324 <= 1 e29598: b3318 - b3319 + b3320 <= 1 e29599: b3318 - b3319 + b3321 <= 1 e29600: b3318 - b3319 + b3322 <= 1 e29601: b3318 - b3319 + b3323 <= 1 e29602: b3318 - b3319 + b3324 <= 1 e29603: b3318 - b3319 + b3325 <= 1 e29604: b3319 - b3320 + b3321 <= 1 e29605: b3319 - b3320 + b3322 <= 1 e29606: b3319 - b3320 + b3323 <= 1 e29607: b3319 - b3320 + b3324 <= 1 e29608: b3319 - b3320 + b3325 <= 1 e29609: b3319 - b3320 + b3326 <= 1 e29610: b3320 - b3321 + b3322 <= 1 e29611: b3320 - b3321 + b3323 <= 1 e29612: b3320 - b3321 + b3324 <= 1 e29613: b3320 - b3321 + b3325 <= 1 e29614: b3320 - b3321 + b3326 <= 1 e29615: b3320 - b3321 + b3327 <= 1 e29616: b3321 - b3322 + b3323 <= 1 e29617: b3321 - b3322 + b3324 <= 1 e29618: b3321 - b3322 + b3325 <= 1 e29619: b3321 - b3322 + b3326 <= 1 e29620: b3321 - b3322 + b3327 <= 1 e29621: b3321 - b3322 + b3328 <= 1 e29622: b3322 - b3323 + b3324 <= 1 e29623: b3322 - b3323 + b3325 <= 1 e29624: b3322 - b3323 + b3326 <= 1 e29625: b3322 - b3323 + b3327 <= 1 e29626: b3322 - b3323 + b3328 <= 1 e29627: b3322 - b3323 + b3329 <= 1 e29628: b3323 - b3324 + b3325 <= 1 e29629: b3323 - b3324 + b3326 <= 1 e29630: b3323 - b3324 + b3327 <= 1 e29631: b3323 - b3324 + b3328 <= 1 e29632: b3323 - b3324 + b3329 <= 1 e29633: b3323 - b3324 + b3330 <= 1 e29634: b3324 - b3325 + b3326 <= 1 e29635: b3324 - b3325 + b3327 <= 1 e29636: b3324 - b3325 + b3328 <= 1 e29637: b3324 - b3325 + b3329 <= 1 e29638: b3324 - b3325 + b3330 <= 1 e29639: b3324 - b3325 + b3331 <= 1 e29640: b3325 - b3326 + b3327 <= 1 e29641: b3325 - b3326 + b3328 <= 1 e29642: b3325 - b3326 + b3329 <= 1 e29643: b3325 - b3326 + b3330 <= 1 e29644: b3325 - b3326 + b3331 <= 1 e29645: b3325 - b3326 + b3332 <= 1 e29646: b3326 - b3327 + b3328 <= 1 e29647: b3326 - b3327 + b3329 <= 1 e29648: b3326 - b3327 + b3330 <= 1 e29649: b3326 - b3327 + b3331 <= 1 e29650: b3326 - b3327 + b3332 <= 1 e29651: b3326 - b3327 + b3333 <= 1 e29652: b3327 - b3328 + b3329 <= 1 e29653: b3327 - b3328 + b3330 <= 1 e29654: b3327 - b3328 + b3331 <= 1 e29655: b3327 - b3328 + b3332 <= 1 e29656: b3327 - b3328 + b3333 <= 1 e29657: b3327 - b3328 + b3334 <= 1 e29658: b3328 - b3329 + b3330 <= 1 e29659: b3328 - b3329 + b3331 <= 1 e29660: b3328 - b3329 + b3332 <= 1 e29661: b3328 - b3329 + b3333 <= 1 e29662: b3328 - b3329 + b3334 <= 1 e29663: b3328 - b3329 + b3335 <= 1 e29664: b3329 - b3330 + b3331 <= 1 e29665: b3329 - b3330 + b3332 <= 1 e29666: b3329 - b3330 + b3333 <= 1 e29667: b3329 - b3330 + b3334 <= 1 e29668: b3329 - b3330 + b3335 <= 1 e29669: b3329 - b3330 + b3336 <= 1 e29670: b3330 - b3331 + b3332 <= 1 e29671: b3330 - b3331 + b3333 <= 1 e29672: b3330 - b3331 + b3334 <= 1 e29673: b3330 - b3331 + b3335 <= 1 e29674: b3330 - b3331 + b3336 <= 1 e29675: b3330 - b3331 + b3337 <= 1 e29676: b3331 - b3332 + b3333 <= 1 e29677: b3331 - b3332 + b3334 <= 1 e29678: b3331 - b3332 + b3335 <= 1 e29679: b3331 - b3332 + b3336 <= 1 e29680: b3331 - b3332 + b3337 <= 1 e29681: b3332 - b3333 + b3334 <= 1 e29682: b3332 - b3333 + b3335 <= 1 e29683: b3332 - b3333 + b3336 <= 1 e29684: b3332 - b3333 + b3337 <= 1 e29685: b3333 - b3334 + b3335 <= 1 e29686: b3333 - b3334 + b3336 <= 1 e29687: b3333 - b3334 + b3337 <= 1 e29688: b3334 - b3335 + b3336 <= 1 e29689: b3334 - b3335 + b3337 <= 1 e29690: b3335 - b3336 + b3337 <= 1 e29691: - b3314 + b3315 + x19277 >= 0 e29692: - b3314 + b3316 + x19277 >= 0 e29693: - b3314 + b3317 + x19277 >= 0 e29694: - b3314 + b3318 + x19277 >= 0 e29695: - b3314 + b3319 + x19277 >= 0 e29696: - b3314 + b3320 + x19277 >= 0 e29697: - b3314 + b3321 + x19277 >= 0 e29698: b3314 - b3315 + b3316 >= 0 e29699: b3314 - b3315 + b3317 >= 0 e29700: b3314 - b3315 + b3318 >= 0 e29701: b3314 - b3315 + b3319 >= 0 e29702: b3314 - b3315 + b3320 >= 0 e29703: b3314 - b3315 + b3321 >= 0 e29704: b3314 - b3315 + b3322 >= 0 e29705: b3315 - b3316 + b3317 >= 0 e29706: b3315 - b3316 + b3318 >= 0 e29707: b3315 - b3316 + b3319 >= 0 e29708: b3315 - b3316 + b3320 >= 0 e29709: b3315 - b3316 + b3321 >= 0 e29710: b3315 - b3316 + b3322 >= 0 e29711: b3315 - b3316 + b3323 >= 0 e29712: b3316 - b3317 + b3318 >= 0 e29713: b3316 - b3317 + b3319 >= 0 e29714: b3316 - b3317 + b3320 >= 0 e29715: b3316 - b3317 + b3321 >= 0 e29716: b3316 - b3317 + b3322 >= 0 e29717: b3316 - b3317 + b3323 >= 0 e29718: b3316 - b3317 + b3324 >= 0 e29719: b3317 - b3318 + b3319 >= 0 e29720: b3317 - b3318 + b3320 >= 0 e29721: b3317 - b3318 + b3321 >= 0 e29722: b3317 - b3318 + b3322 >= 0 e29723: b3317 - b3318 + b3323 >= 0 e29724: b3317 - b3318 + b3324 >= 0 e29725: b3317 - b3318 + b3325 >= 0 e29726: b3318 - b3319 + b3320 >= 0 e29727: b3318 - b3319 + b3321 >= 0 e29728: b3318 - b3319 + b3322 >= 0 e29729: b3318 - b3319 + b3323 >= 0 e29730: b3318 - b3319 + b3324 >= 0 e29731: b3318 - b3319 + b3325 >= 0 e29732: b3318 - b3319 + b3326 >= 0 e29733: b3319 - b3320 + b3321 >= 0 e29734: b3319 - b3320 + b3322 >= 0 e29735: b3319 - b3320 + b3323 >= 0 e29736: b3319 - b3320 + b3324 >= 0 e29737: b3319 - b3320 + b3325 >= 0 e29738: b3319 - b3320 + b3326 >= 0 e29739: b3319 - b3320 + b3327 >= 0 e29740: b3320 - b3321 + b3322 >= 0 e29741: b3320 - b3321 + b3323 >= 0 e29742: b3320 - b3321 + b3324 >= 0 e29743: b3320 - b3321 + b3325 >= 0 e29744: b3320 - b3321 + b3326 >= 0 e29745: b3320 - b3321 + b3327 >= 0 e29746: b3320 - b3321 + b3328 >= 0 e29747: b3321 - b3322 + b3323 >= 0 e29748: b3321 - b3322 + b3324 >= 0 e29749: b3321 - b3322 + b3325 >= 0 e29750: b3321 - b3322 + b3326 >= 0 e29751: b3321 - b3322 + b3327 >= 0 e29752: b3321 - b3322 + b3328 >= 0 e29753: b3321 - b3322 + b3329 >= 0 e29754: b3322 - b3323 + b3324 >= 0 e29755: b3322 - b3323 + b3325 >= 0 e29756: b3322 - b3323 + b3326 >= 0 e29757: b3322 - b3323 + b3327 >= 0 e29758: b3322 - b3323 + b3328 >= 0 e29759: b3322 - b3323 + b3329 >= 0 e29760: b3322 - b3323 + b3330 >= 0 e29761: b3323 - b3324 + b3325 >= 0 e29762: b3323 - b3324 + b3326 >= 0 e29763: b3323 - b3324 + b3327 >= 0 e29764: b3323 - b3324 + b3328 >= 0 e29765: b3323 - b3324 + b3329 >= 0 e29766: b3323 - b3324 + b3330 >= 0 e29767: b3323 - b3324 + b3331 >= 0 e29768: b3324 - b3325 + b3326 >= 0 e29769: b3324 - b3325 + b3327 >= 0 e29770: b3324 - b3325 + b3328 >= 0 e29771: b3324 - b3325 + b3329 >= 0 e29772: b3324 - b3325 + b3330 >= 0 e29773: b3324 - b3325 + b3331 >= 0 e29774: b3324 - b3325 + b3332 >= 0 e29775: b3325 - b3326 + b3327 >= 0 e29776: b3325 - b3326 + b3328 >= 0 e29777: b3325 - b3326 + b3329 >= 0 e29778: b3325 - b3326 + b3330 >= 0 e29779: b3325 - b3326 + b3331 >= 0 e29780: b3325 - b3326 + b3332 >= 0 e29781: b3325 - b3326 + b3333 >= 0 e29782: b3326 - b3327 + b3328 >= 0 e29783: b3326 - b3327 + b3329 >= 0 e29784: b3326 - b3327 + b3330 >= 0 e29785: b3326 - b3327 + b3331 >= 0 e29786: b3326 - b3327 + b3332 >= 0 e29787: b3326 - b3327 + b3333 >= 0 e29788: b3326 - b3327 + b3334 >= 0 e29789: b3327 - b3328 + b3329 >= 0 e29790: b3327 - b3328 + b3330 >= 0 e29791: b3327 - b3328 + b3331 >= 0 e29792: b3327 - b3328 + b3332 >= 0 e29793: b3327 - b3328 + b3333 >= 0 e29794: b3327 - b3328 + b3334 >= 0 e29795: b3327 - b3328 + b3335 >= 0 e29796: b3328 - b3329 + b3330 >= 0 e29797: b3328 - b3329 + b3331 >= 0 e29798: b3328 - b3329 + b3332 >= 0 e29799: b3328 - b3329 + b3333 >= 0 e29800: b3328 - b3329 + b3334 >= 0 e29801: b3328 - b3329 + b3335 >= 0 e29802: b3328 - b3329 + b3336 >= 0 e29803: b3329 - b3330 + b3331 >= 0 e29804: b3329 - b3330 + b3332 >= 0 e29805: b3329 - b3330 + b3333 >= 0 e29806: b3329 - b3330 + b3334 >= 0 e29807: b3329 - b3330 + b3335 >= 0 e29808: b3329 - b3330 + b3336 >= 0 e29809: b3329 - b3330 + b3337 >= 0 e29810: b3330 - b3331 + b3332 >= 0 e29811: b3330 - b3331 + b3333 >= 0 e29812: b3330 - b3331 + b3334 >= 0 e29813: b3330 - b3331 + b3335 >= 0 e29814: b3330 - b3331 + b3336 >= 0 e29815: b3330 - b3331 + b3337 >= 0 e29816: b3331 - b3332 + b3333 >= 0 e29817: b3331 - b3332 + b3334 >= 0 e29818: b3331 - b3332 + b3335 >= 0 e29819: b3331 - b3332 + b3336 >= 0 e29820: b3331 - b3332 + b3337 >= 0 e29821: b3332 - b3333 + b3334 >= 0 e29822: b3332 - b3333 + b3335 >= 0 e29823: b3332 - b3333 + b3336 >= 0 e29824: b3332 - b3333 + b3337 >= 0 e29825: b3333 - b3334 + b3335 >= 0 e29826: b3333 - b3334 + b3336 >= 0 e29827: b3333 - b3334 + b3337 >= 0 e29828: b3334 - b3335 + b3336 >= 0 e29829: b3334 - b3335 + b3337 >= 0 e29830: b3335 - b3336 + b3337 >= 0 e29831: b3338 = 1 e29832: b3339 = 1 e29833: b3340 = 1 e29834: b3340 - b3341 + b3342 <= 1 e29835: b3340 - b3341 + b3343 <= 1 e29836: b3340 - b3341 + b3344 <= 1 e29837: b3340 - b3341 + b3345 <= 1 e29838: b3340 - b3341 + b3346 <= 1 e29839: b3340 - b3341 + b3347 <= 1 e29840: b3340 - b3341 + b3348 <= 1 e29841: b3341 - b3342 + b3343 <= 1 e29842: b3341 - b3342 + b3344 <= 1 e29843: b3341 - b3342 + b3345 <= 1 e29844: b3341 - b3342 + b3346 <= 1 e29845: b3341 - b3342 + b3347 <= 1 e29846: b3341 - b3342 + b3348 <= 1 e29847: b3341 - b3342 + b3349 <= 1 e29848: b3342 - b3343 + b3344 <= 1 e29849: b3342 - b3343 + b3345 <= 1 e29850: b3342 - b3343 + b3346 <= 1 e29851: b3342 - b3343 + b3347 <= 1 e29852: b3342 - b3343 + b3348 <= 1 e29853: b3342 - b3343 + b3349 <= 1 e29854: b3342 - b3343 + b3350 <= 1 e29855: b3343 - b3344 + b3345 <= 1 e29856: b3343 - b3344 + b3346 <= 1 e29857: b3343 - b3344 + b3347 <= 1 e29858: b3343 - b3344 + b3348 <= 1 e29859: b3343 - b3344 + b3349 <= 1 e29860: b3343 - b3344 + b3350 <= 1 e29861: b3343 - b3344 + b3351 <= 1 e29862: b3344 - b3345 + b3346 <= 1 e29863: b3344 - b3345 + b3347 <= 1 e29864: b3344 - b3345 + b3348 <= 1 e29865: b3344 - b3345 + b3349 <= 1 e29866: b3344 - b3345 + b3350 <= 1 e29867: b3344 - b3345 + b3351 <= 1 e29868: b3344 - b3345 + b3352 <= 1 e29869: b3345 - b3346 + b3347 <= 1 e29870: b3345 - b3346 + b3348 <= 1 e29871: b3345 - b3346 + b3349 <= 1 e29872: b3345 - b3346 + b3350 <= 1 e29873: b3345 - b3346 + b3351 <= 1 e29874: b3345 - b3346 + b3352 <= 1 e29875: b3345 - b3346 + b3353 <= 1 e29876: b3346 - b3347 + b3348 <= 1 e29877: b3346 - b3347 + b3349 <= 1 e29878: b3346 - b3347 + b3350 <= 1 e29879: b3346 - b3347 + b3351 <= 1 e29880: b3346 - b3347 + b3352 <= 1 e29881: b3346 - b3347 + b3353 <= 1 e29882: b3346 - b3347 + b3354 <= 1 e29883: b3347 - b3348 + b3349 <= 1 e29884: b3347 - b3348 + b3350 <= 1 e29885: b3347 - b3348 + b3351 <= 1 e29886: b3347 - b3348 + b3352 <= 1 e29887: b3347 - b3348 + b3353 <= 1 e29888: b3347 - b3348 + b3354 <= 1 e29889: b3347 - b3348 + b3355 <= 1 e29890: b3348 - b3349 + b3350 <= 1 e29891: b3348 - b3349 + b3351 <= 1 e29892: b3348 - b3349 + b3352 <= 1 e29893: b3348 - b3349 + b3353 <= 1 e29894: b3348 - b3349 + b3354 <= 1 e29895: b3348 - b3349 + b3355 <= 1 e29896: b3348 - b3349 + b3356 <= 1 e29897: b3349 - b3350 + b3351 <= 1 e29898: b3349 - b3350 + b3352 <= 1 e29899: b3349 - b3350 + b3353 <= 1 e29900: b3349 - b3350 + b3354 <= 1 e29901: b3349 - b3350 + b3355 <= 1 e29902: b3349 - b3350 + b3356 <= 1 e29903: b3349 - b3350 + b3357 <= 1 e29904: b3350 - b3351 + b3352 <= 1 e29905: b3350 - b3351 + b3353 <= 1 e29906: b3350 - b3351 + b3354 <= 1 e29907: b3350 - b3351 + b3355 <= 1 e29908: b3350 - b3351 + b3356 <= 1 e29909: b3350 - b3351 + b3357 <= 1 e29910: b3350 - b3351 + b3358 <= 1 e29911: b3351 - b3352 + b3353 <= 1 e29912: b3351 - b3352 + b3354 <= 1 e29913: b3351 - b3352 + b3355 <= 1 e29914: b3351 - b3352 + b3356 <= 1 e29915: b3351 - b3352 + b3357 <= 1 e29916: b3351 - b3352 + b3358 <= 1 e29917: b3351 - b3352 + b3359 <= 1 e29918: b3352 - b3353 + b3354 <= 1 e29919: b3352 - b3353 + b3355 <= 1 e29920: b3352 - b3353 + b3356 <= 1 e29921: b3352 - b3353 + b3357 <= 1 e29922: b3352 - b3353 + b3358 <= 1 e29923: b3352 - b3353 + b3359 <= 1 e29924: b3352 - b3353 + b3360 <= 1 e29925: b3353 - b3354 + b3355 <= 1 e29926: b3353 - b3354 + b3356 <= 1 e29927: b3353 - b3354 + b3357 <= 1 e29928: b3353 - b3354 + b3358 <= 1 e29929: b3353 - b3354 + b3359 <= 1 e29930: b3353 - b3354 + b3360 <= 1 e29931: b3353 - b3354 + b3361 <= 1 e29932: b3354 - b3355 + b3356 <= 1 e29933: b3354 - b3355 + b3357 <= 1 e29934: b3354 - b3355 + b3358 <= 1 e29935: b3354 - b3355 + b3359 <= 1 e29936: b3354 - b3355 + b3360 <= 1 e29937: b3354 - b3355 + b3361 <= 1 e29938: b3355 - b3356 + b3357 <= 1 e29939: b3355 - b3356 + b3358 <= 1 e29940: b3355 - b3356 + b3359 <= 1 e29941: b3355 - b3356 + b3360 <= 1 e29942: b3355 - b3356 + b3361 <= 1 e29943: b3356 - b3357 + b3358 <= 1 e29944: b3356 - b3357 + b3359 <= 1 e29945: b3356 - b3357 + b3360 <= 1 e29946: b3356 - b3357 + b3361 <= 1 e29947: b3357 - b3358 + b3359 <= 1 e29948: b3357 - b3358 + b3360 <= 1 e29949: b3357 - b3358 + b3361 <= 1 e29950: b3358 - b3359 + b3360 <= 1 e29951: b3358 - b3359 + b3361 <= 1 e29952: b3359 - b3360 + b3361 <= 1 e29953: b3340 - b3341 + b3342 >= 0 e29954: b3340 - b3341 + b3343 >= 0 e29955: b3340 - b3341 + b3344 >= 0 e29956: b3340 - b3341 + b3345 >= 0 e29957: b3340 - b3341 + b3346 >= 0 e29958: b3340 - b3341 + b3347 >= 0 e29959: b3340 - b3341 + b3348 >= 0 e29960: b3341 - b3342 + b3343 >= 0 e29961: b3341 - b3342 + b3344 >= 0 e29962: b3341 - b3342 + b3345 >= 0 e29963: b3341 - b3342 + b3346 >= 0 e29964: b3341 - b3342 + b3347 >= 0 e29965: b3341 - b3342 + b3348 >= 0 e29966: b3341 - b3342 + b3349 >= 0 e29967: b3342 - b3343 + b3344 >= 0 e29968: b3342 - b3343 + b3345 >= 0 e29969: b3342 - b3343 + b3346 >= 0 e29970: b3342 - b3343 + b3347 >= 0 e29971: b3342 - b3343 + b3348 >= 0 e29972: b3342 - b3343 + b3349 >= 0 e29973: b3342 - b3343 + b3350 >= 0 e29974: b3343 - b3344 + b3345 >= 0 e29975: b3343 - b3344 + b3346 >= 0 e29976: b3343 - b3344 + b3347 >= 0 e29977: b3343 - b3344 + b3348 >= 0 e29978: b3343 - b3344 + b3349 >= 0 e29979: b3343 - b3344 + b3350 >= 0 e29980: b3343 - b3344 + b3351 >= 0 e29981: b3344 - b3345 + b3346 >= 0 e29982: b3344 - b3345 + b3347 >= 0 e29983: b3344 - b3345 + b3348 >= 0 e29984: b3344 - b3345 + b3349 >= 0 e29985: b3344 - b3345 + b3350 >= 0 e29986: b3344 - b3345 + b3351 >= 0 e29987: b3344 - b3345 + b3352 >= 0 e29988: b3345 - b3346 + b3347 >= 0 e29989: b3345 - b3346 + b3348 >= 0 e29990: b3345 - b3346 + b3349 >= 0 e29991: b3345 - b3346 + b3350 >= 0 e29992: b3345 - b3346 + b3351 >= 0 e29993: b3345 - b3346 + b3352 >= 0 e29994: b3345 - b3346 + b3353 >= 0 e29995: b3346 - b3347 + b3348 >= 0 e29996: b3346 - b3347 + b3349 >= 0 e29997: b3346 - b3347 + b3350 >= 0 e29998: b3346 - b3347 + b3351 >= 0 e29999: b3346 - b3347 + b3352 >= 0 e30000: b3346 - b3347 + b3353 >= 0 e30001: b3346 - b3347 + b3354 >= 0 e30002: b3347 - b3348 + b3349 >= 0 e30003: b3347 - b3348 + b3350 >= 0 e30004: b3347 - b3348 + b3351 >= 0 e30005: b3347 - b3348 + b3352 >= 0 e30006: b3347 - b3348 + b3353 >= 0 e30007: b3347 - b3348 + b3354 >= 0 e30008: b3347 - b3348 + b3355 >= 0 e30009: b3348 - b3349 + b3350 >= 0 e30010: b3348 - b3349 + b3351 >= 0 e30011: b3348 - b3349 + b3352 >= 0 e30012: b3348 - b3349 + b3353 >= 0 e30013: b3348 - b3349 + b3354 >= 0 e30014: b3348 - b3349 + b3355 >= 0 e30015: b3348 - b3349 + b3356 >= 0 e30016: b3349 - b3350 + b3351 >= 0 e30017: b3349 - b3350 + b3352 >= 0 e30018: b3349 - b3350 + b3353 >= 0 e30019: b3349 - b3350 + b3354 >= 0 e30020: b3349 - b3350 + b3355 >= 0 e30021: b3349 - b3350 + b3356 >= 0 e30022: b3349 - b3350 + b3357 >= 0 e30023: b3350 - b3351 + b3352 >= 0 e30024: b3350 - b3351 + b3353 >= 0 e30025: b3350 - b3351 + b3354 >= 0 e30026: b3350 - b3351 + b3355 >= 0 e30027: b3350 - b3351 + b3356 >= 0 e30028: b3350 - b3351 + b3357 >= 0 e30029: b3350 - b3351 + b3358 >= 0 e30030: b3351 - b3352 + b3353 >= 0 e30031: b3351 - b3352 + b3354 >= 0 e30032: b3351 - b3352 + b3355 >= 0 e30033: b3351 - b3352 + b3356 >= 0 e30034: b3351 - b3352 + b3357 >= 0 e30035: b3351 - b3352 + b3358 >= 0 e30036: b3351 - b3352 + b3359 >= 0 e30037: b3352 - b3353 + b3354 >= 0 e30038: b3352 - b3353 + b3355 >= 0 e30039: b3352 - b3353 + b3356 >= 0 e30040: b3352 - b3353 + b3357 >= 0 e30041: b3352 - b3353 + b3358 >= 0 e30042: b3352 - b3353 + b3359 >= 0 e30043: b3352 - b3353 + b3360 >= 0 e30044: b3353 - b3354 + b3355 >= 0 e30045: b3353 - b3354 + b3356 >= 0 e30046: b3353 - b3354 + b3357 >= 0 e30047: b3353 - b3354 + b3358 >= 0 e30048: b3353 - b3354 + b3359 >= 0 e30049: b3353 - b3354 + b3360 >= 0 e30050: b3353 - b3354 + b3361 >= 0 e30051: b3354 - b3355 + b3356 >= 0 e30052: b3354 - b3355 + b3357 >= 0 e30053: b3354 - b3355 + b3358 >= 0 e30054: b3354 - b3355 + b3359 >= 0 e30055: b3354 - b3355 + b3360 >= 0 e30056: b3354 - b3355 + b3361 >= 0 e30057: b3355 - b3356 + b3357 >= 0 e30058: b3355 - b3356 + b3358 >= 0 e30059: b3355 - b3356 + b3359 >= 0 e30060: b3355 - b3356 + b3360 >= 0 e30061: b3355 - b3356 + b3361 >= 0 e30062: b3356 - b3357 + b3358 >= 0 e30063: b3356 - b3357 + b3359 >= 0 e30064: b3356 - b3357 + b3360 >= 0 e30065: b3356 - b3357 + b3361 >= 0 e30066: b3357 - b3358 + b3359 >= 0 e30067: b3357 - b3358 + b3360 >= 0 e30068: b3357 - b3358 + b3361 >= 0 e30069: b3358 - b3359 + b3360 >= 0 e30070: b3358 - b3359 + b3361 >= 0 e30071: b3359 - b3360 + b3361 >= 0 e30072: b3362 = 1 e30073: b3363 = 1 e30074: b3364 = 1 e30075: b3365 = 1 e30076: b3366 = 1 e30077: b3367 = 1 e30078: b3367 - b3368 + b3369 <= 1 e30079: b3367 - b3368 + b3370 <= 1 e30080: b3367 - b3368 + b3371 <= 1 e30081: b3367 - b3368 + b3372 <= 1 e30082: b3367 - b3368 + b3373 <= 1 e30083: b3367 - b3368 + b3374 <= 1 e30084: b3367 - b3368 + b3375 <= 1 e30085: b3368 - b3369 + b3370 <= 1 e30086: b3368 - b3369 + b3371 <= 1 e30087: b3368 - b3369 + b3372 <= 1 e30088: b3368 - b3369 + b3373 <= 1 e30089: b3368 - b3369 + b3374 <= 1 e30090: b3368 - b3369 + b3375 <= 1 e30091: b3368 - b3369 + b3376 <= 1 e30092: b3369 - b3370 + b3371 <= 1 e30093: b3369 - b3370 + b3372 <= 1 e30094: b3369 - b3370 + b3373 <= 1 e30095: b3369 - b3370 + b3374 <= 1 e30096: b3369 - b3370 + b3375 <= 1 e30097: b3369 - b3370 + b3376 <= 1 e30098: b3369 - b3370 + b3377 <= 1 e30099: b3370 - b3371 + b3372 <= 1 e30100: b3370 - b3371 + b3373 <= 1 e30101: b3370 - b3371 + b3374 <= 1 e30102: b3370 - b3371 + b3375 <= 1 e30103: b3370 - b3371 + b3376 <= 1 e30104: b3370 - b3371 + b3377 <= 1 e30105: b3370 - b3371 + b3378 <= 1 e30106: b3371 - b3372 + b3373 <= 1 e30107: b3371 - b3372 + b3374 <= 1 e30108: b3371 - b3372 + b3375 <= 1 e30109: b3371 - b3372 + b3376 <= 1 e30110: b3371 - b3372 + b3377 <= 1 e30111: b3371 - b3372 + b3378 <= 1 e30112: b3371 - b3372 + b3379 <= 1 e30113: b3372 - b3373 + b3374 <= 1 e30114: b3372 - b3373 + b3375 <= 1 e30115: b3372 - b3373 + b3376 <= 1 e30116: b3372 - b3373 + b3377 <= 1 e30117: b3372 - b3373 + b3378 <= 1 e30118: b3372 - b3373 + b3379 <= 1 e30119: b3372 - b3373 + b3380 <= 1 e30120: b3373 - b3374 + b3375 <= 1 e30121: b3373 - b3374 + b3376 <= 1 e30122: b3373 - b3374 + b3377 <= 1 e30123: b3373 - b3374 + b3378 <= 1 e30124: b3373 - b3374 + b3379 <= 1 e30125: b3373 - b3374 + b3380 <= 1 e30126: b3373 - b3374 + b3381 <= 1 e30127: b3374 - b3375 + b3376 <= 1 e30128: b3374 - b3375 + b3377 <= 1 e30129: b3374 - b3375 + b3378 <= 1 e30130: b3374 - b3375 + b3379 <= 1 e30131: b3374 - b3375 + b3380 <= 1 e30132: b3374 - b3375 + b3381 <= 1 e30133: b3374 - b3375 + b3382 <= 1 e30134: b3375 - b3376 + b3377 <= 1 e30135: b3375 - b3376 + b3378 <= 1 e30136: b3375 - b3376 + b3379 <= 1 e30137: b3375 - b3376 + b3380 <= 1 e30138: b3375 - b3376 + b3381 <= 1 e30139: b3375 - b3376 + b3382 <= 1 e30140: b3375 - b3376 + b3383 <= 1 e30141: b3376 - b3377 + b3378 <= 1 e30142: b3376 - b3377 + b3379 <= 1 e30143: b3376 - b3377 + b3380 <= 1 e30144: b3376 - b3377 + b3381 <= 1 e30145: b3376 - b3377 + b3382 <= 1 e30146: b3376 - b3377 + b3383 <= 1 e30147: b3376 - b3377 + b3384 <= 1 e30148: b3377 - b3378 + b3379 <= 1 e30149: b3377 - b3378 + b3380 <= 1 e30150: b3377 - b3378 + b3381 <= 1 e30151: b3377 - b3378 + b3382 <= 1 e30152: b3377 - b3378 + b3383 <= 1 e30153: b3377 - b3378 + b3384 <= 1 e30154: b3377 - b3378 + b3385 <= 1 e30155: b3378 - b3379 + b3380 <= 1 e30156: b3378 - b3379 + b3381 <= 1 e30157: b3378 - b3379 + b3382 <= 1 e30158: b3378 - b3379 + b3383 <= 1 e30159: b3378 - b3379 + b3384 <= 1 e30160: b3378 - b3379 + b3385 <= 1 e30161: b3379 - b3380 + b3381 <= 1 e30162: b3379 - b3380 + b3382 <= 1 e30163: b3379 - b3380 + b3383 <= 1 e30164: b3379 - b3380 + b3384 <= 1 e30165: b3379 - b3380 + b3385 <= 1 e30166: b3380 - b3381 + b3382 <= 1 e30167: b3380 - b3381 + b3383 <= 1 e30168: b3380 - b3381 + b3384 <= 1 e30169: b3380 - b3381 + b3385 <= 1 e30170: b3381 - b3382 + b3383 <= 1 e30171: b3381 - b3382 + b3384 <= 1 e30172: b3381 - b3382 + b3385 <= 1 e30173: b3382 - b3383 + b3384 <= 1 e30174: b3382 - b3383 + b3385 <= 1 e30175: b3383 - b3384 + b3385 <= 1 e30176: b3367 - b3368 + b3369 >= 0 e30177: b3367 - b3368 + b3370 >= 0 e30178: b3367 - b3368 + b3371 >= 0 e30179: b3367 - b3368 + b3372 >= 0 e30180: b3367 - b3368 + b3373 >= 0 e30181: b3367 - b3368 + b3374 >= 0 e30182: b3367 - b3368 + b3375 >= 0 e30183: b3368 - b3369 + b3370 >= 0 e30184: b3368 - b3369 + b3371 >= 0 e30185: b3368 - b3369 + b3372 >= 0 e30186: b3368 - b3369 + b3373 >= 0 e30187: b3368 - b3369 + b3374 >= 0 e30188: b3368 - b3369 + b3375 >= 0 e30189: b3368 - b3369 + b3376 >= 0 e30190: b3369 - b3370 + b3371 >= 0 e30191: b3369 - b3370 + b3372 >= 0 e30192: b3369 - b3370 + b3373 >= 0 e30193: b3369 - b3370 + b3374 >= 0 e30194: b3369 - b3370 + b3375 >= 0 e30195: b3369 - b3370 + b3376 >= 0 e30196: b3369 - b3370 + b3377 >= 0 e30197: b3370 - b3371 + b3372 >= 0 e30198: b3370 - b3371 + b3373 >= 0 e30199: b3370 - b3371 + b3374 >= 0 e30200: b3370 - b3371 + b3375 >= 0 e30201: b3370 - b3371 + b3376 >= 0 e30202: b3370 - b3371 + b3377 >= 0 e30203: b3370 - b3371 + b3378 >= 0 e30204: b3371 - b3372 + b3373 >= 0 e30205: b3371 - b3372 + b3374 >= 0 e30206: b3371 - b3372 + b3375 >= 0 e30207: b3371 - b3372 + b3376 >= 0 e30208: b3371 - b3372 + b3377 >= 0 e30209: b3371 - b3372 + b3378 >= 0 e30210: b3371 - b3372 + b3379 >= 0 e30211: b3372 - b3373 + b3374 >= 0 e30212: b3372 - b3373 + b3375 >= 0 e30213: b3372 - b3373 + b3376 >= 0 e30214: b3372 - b3373 + b3377 >= 0 e30215: b3372 - b3373 + b3378 >= 0 e30216: b3372 - b3373 + b3379 >= 0 e30217: b3372 - b3373 + b3380 >= 0 e30218: b3373 - b3374 + b3375 >= 0 e30219: b3373 - b3374 + b3376 >= 0 e30220: b3373 - b3374 + b3377 >= 0 e30221: b3373 - b3374 + b3378 >= 0 e30222: b3373 - b3374 + b3379 >= 0 e30223: b3373 - b3374 + b3380 >= 0 e30224: b3373 - b3374 + b3381 >= 0 e30225: b3374 - b3375 + b3376 >= 0 e30226: b3374 - b3375 + b3377 >= 0 e30227: b3374 - b3375 + b3378 >= 0 e30228: b3374 - b3375 + b3379 >= 0 e30229: b3374 - b3375 + b3380 >= 0 e30230: b3374 - b3375 + b3381 >= 0 e30231: b3374 - b3375 + b3382 >= 0 e30232: b3375 - b3376 + b3377 >= 0 e30233: b3375 - b3376 + b3378 >= 0 e30234: b3375 - b3376 + b3379 >= 0 e30235: b3375 - b3376 + b3380 >= 0 e30236: b3375 - b3376 + b3381 >= 0 e30237: b3375 - b3376 + b3382 >= 0 e30238: b3375 - b3376 + b3383 >= 0 e30239: b3376 - b3377 + b3378 >= 0 e30240: b3376 - b3377 + b3379 >= 0 e30241: b3376 - b3377 + b3380 >= 0 e30242: b3376 - b3377 + b3381 >= 0 e30243: b3376 - b3377 + b3382 >= 0 e30244: b3376 - b3377 + b3383 >= 0 e30245: b3376 - b3377 + b3384 >= 0 e30246: b3377 - b3378 + b3379 >= 0 e30247: b3377 - b3378 + b3380 >= 0 e30248: b3377 - b3378 + b3381 >= 0 e30249: b3377 - b3378 + b3382 >= 0 e30250: b3377 - b3378 + b3383 >= 0 e30251: b3377 - b3378 + b3384 >= 0 e30252: b3377 - b3378 + b3385 >= 0 e30253: b3378 - b3379 + b3380 >= 0 e30254: b3378 - b3379 + b3381 >= 0 e30255: b3378 - b3379 + b3382 >= 0 e30256: b3378 - b3379 + b3383 >= 0 e30257: b3378 - b3379 + b3384 >= 0 e30258: b3378 - b3379 + b3385 >= 0 e30259: b3379 - b3380 + b3381 >= 0 e30260: b3379 - b3380 + b3382 >= 0 e30261: b3379 - b3380 + b3383 >= 0 e30262: b3379 - b3380 + b3384 >= 0 e30263: b3379 - b3380 + b3385 >= 0 e30264: b3380 - b3381 + b3382 >= 0 e30265: b3380 - b3381 + b3383 >= 0 e30266: b3380 - b3381 + b3384 >= 0 e30267: b3380 - b3381 + b3385 >= 0 e30268: b3381 - b3382 + b3383 >= 0 e30269: b3381 - b3382 + b3384 >= 0 e30270: b3381 - b3382 + b3385 >= 0 e30271: b3382 - b3383 + b3384 >= 0 e30272: b3382 - b3383 + b3385 >= 0 e30273: b3383 - b3384 + b3385 >= 0 e30274: - b3386 + b3387 + x19278 <= 1 e30275: - b3386 + b3388 + x19278 <= 1 e30276: - b3386 + b3389 + x19278 <= 1 e30277: - b3386 + b3390 + x19278 <= 1 e30278: - b3386 + b3391 + x19278 <= 1 e30279: - b3386 + b3392 + x19278 <= 1 e30280: - b3386 + b3393 + x19278 <= 1 e30281: b3386 - b3387 + b3388 <= 1 e30282: b3386 - b3387 + b3389 <= 1 e30283: b3386 - b3387 + b3390 <= 1 e30284: b3386 - b3387 + b3391 <= 1 e30285: b3386 - b3387 + b3392 <= 1 e30286: b3386 - b3387 + b3393 <= 1 e30287: b3386 - b3387 + b3394 <= 1 e30288: b3387 - b3388 + b3389 <= 1 e30289: b3387 - b3388 + b3390 <= 1 e30290: b3387 - b3388 + b3391 <= 1 e30291: b3387 - b3388 + b3392 <= 1 e30292: b3387 - b3388 + b3393 <= 1 e30293: b3387 - b3388 + b3394 <= 1 e30294: b3387 - b3388 + b3395 <= 1 e30295: b3388 - b3389 + b3390 <= 1 e30296: b3388 - b3389 + b3391 <= 1 e30297: b3388 - b3389 + b3392 <= 1 e30298: b3388 - b3389 + b3393 <= 1 e30299: b3388 - b3389 + b3394 <= 1 e30300: b3388 - b3389 + b3395 <= 1 e30301: b3388 - b3389 + b3396 <= 1 e30302: b3389 - b3390 + b3391 <= 1 e30303: b3389 - b3390 + b3392 <= 1 e30304: b3389 - b3390 + b3393 <= 1 e30305: b3389 - b3390 + b3394 <= 1 e30306: b3389 - b3390 + b3395 <= 1 e30307: b3389 - b3390 + b3396 <= 1 e30308: b3389 - b3390 + b3397 <= 1 e30309: b3390 - b3391 + b3392 <= 1 e30310: b3390 - b3391 + b3393 <= 1 e30311: b3390 - b3391 + b3394 <= 1 e30312: b3390 - b3391 + b3395 <= 1 e30313: b3390 - b3391 + b3396 <= 1 e30314: b3390 - b3391 + b3397 <= 1 e30315: b3390 - b3391 + b3398 <= 1 e30316: b3391 - b3392 + b3393 <= 1 e30317: b3391 - b3392 + b3394 <= 1 e30318: b3391 - b3392 + b3395 <= 1 e30319: b3391 - b3392 + b3396 <= 1 e30320: b3391 - b3392 + b3397 <= 1 e30321: b3391 - b3392 + b3398 <= 1 e30322: b3391 - b3392 + b3399 <= 1 e30323: b3392 - b3393 + b3394 <= 1 e30324: b3392 - b3393 + b3395 <= 1 e30325: b3392 - b3393 + b3396 <= 1 e30326: b3392 - b3393 + b3397 <= 1 e30327: b3392 - b3393 + b3398 <= 1 e30328: b3392 - b3393 + b3399 <= 1 e30329: b3392 - b3393 + b3400 <= 1 e30330: b3393 - b3394 + b3395 <= 1 e30331: b3393 - b3394 + b3396 <= 1 e30332: b3393 - b3394 + b3397 <= 1 e30333: b3393 - b3394 + b3398 <= 1 e30334: b3393 - b3394 + b3399 <= 1 e30335: b3393 - b3394 + b3400 <= 1 e30336: b3393 - b3394 + b3401 <= 1 e30337: b3394 - b3395 + b3396 <= 1 e30338: b3394 - b3395 + b3397 <= 1 e30339: b3394 - b3395 + b3398 <= 1 e30340: b3394 - b3395 + b3399 <= 1 e30341: b3394 - b3395 + b3400 <= 1 e30342: b3394 - b3395 + b3401 <= 1 e30343: b3394 - b3395 + b3402 <= 1 e30344: b3395 - b3396 + b3397 <= 1 e30345: b3395 - b3396 + b3398 <= 1 e30346: b3395 - b3396 + b3399 <= 1 e30347: b3395 - b3396 + b3400 <= 1 e30348: b3395 - b3396 + b3401 <= 1 e30349: b3395 - b3396 + b3402 <= 1 e30350: b3395 - b3396 + b3403 <= 1 e30351: b3396 - b3397 + b3398 <= 1 e30352: b3396 - b3397 + b3399 <= 1 e30353: b3396 - b3397 + b3400 <= 1 e30354: b3396 - b3397 + b3401 <= 1 e30355: b3396 - b3397 + b3402 <= 1 e30356: b3396 - b3397 + b3403 <= 1 e30357: b3396 - b3397 + b3404 <= 1 e30358: b3397 - b3398 + b3399 <= 1 e30359: b3397 - b3398 + b3400 <= 1 e30360: b3397 - b3398 + b3401 <= 1 e30361: b3397 - b3398 + b3402 <= 1 e30362: b3397 - b3398 + b3403 <= 1 e30363: b3397 - b3398 + b3404 <= 1 e30364: b3397 - b3398 + b3405 <= 1 e30365: b3398 - b3399 + b3400 <= 1 e30366: b3398 - b3399 + b3401 <= 1 e30367: b3398 - b3399 + b3402 <= 1 e30368: b3398 - b3399 + b3403 <= 1 e30369: b3398 - b3399 + b3404 <= 1 e30370: b3398 - b3399 + b3405 <= 1 e30371: b3398 - b3399 + b3406 <= 1 e30372: b3399 - b3400 + b3401 <= 1 e30373: b3399 - b3400 + b3402 <= 1 e30374: b3399 - b3400 + b3403 <= 1 e30375: b3399 - b3400 + b3404 <= 1 e30376: b3399 - b3400 + b3405 <= 1 e30377: b3399 - b3400 + b3406 <= 1 e30378: b3399 - b3400 + b3407 <= 1 e30379: b3400 - b3401 + b3402 <= 1 e30380: b3400 - b3401 + b3403 <= 1 e30381: b3400 - b3401 + b3404 <= 1 e30382: b3400 - b3401 + b3405 <= 1 e30383: b3400 - b3401 + b3406 <= 1 e30384: b3400 - b3401 + b3407 <= 1 e30385: b3400 - b3401 + b3408 <= 1 e30386: b3401 - b3402 + b3403 <= 1 e30387: b3401 - b3402 + b3404 <= 1 e30388: b3401 - b3402 + b3405 <= 1 e30389: b3401 - b3402 + b3406 <= 1 e30390: b3401 - b3402 + b3407 <= 1 e30391: b3401 - b3402 + b3408 <= 1 e30392: b3401 - b3402 + b3409 <= 1 e30393: b3402 - b3403 + b3404 <= 1 e30394: b3402 - b3403 + b3405 <= 1 e30395: b3402 - b3403 + b3406 <= 1 e30396: b3402 - b3403 + b3407 <= 1 e30397: b3402 - b3403 + b3408 <= 1 e30398: b3402 - b3403 + b3409 <= 1 e30399: b3403 - b3404 + b3405 <= 1 e30400: b3403 - b3404 + b3406 <= 1 e30401: b3403 - b3404 + b3407 <= 1 e30402: b3403 - b3404 + b3408 <= 1 e30403: b3403 - b3404 + b3409 <= 1 e30404: b3404 - b3405 + b3406 <= 1 e30405: b3404 - b3405 + b3407 <= 1 e30406: b3404 - b3405 + b3408 <= 1 e30407: b3404 - b3405 + b3409 <= 1 e30408: b3405 - b3406 + b3407 <= 1 e30409: b3405 - b3406 + b3408 <= 1 e30410: b3405 - b3406 + b3409 <= 1 e30411: b3406 - b3407 + b3408 <= 1 e30412: b3406 - b3407 + b3409 <= 1 e30413: b3407 - b3408 + b3409 <= 1 e30414: - b3386 + b3387 + x19278 >= 0 e30415: - b3386 + b3388 + x19278 >= 0 e30416: - b3386 + b3389 + x19278 >= 0 e30417: - b3386 + b3390 + x19278 >= 0 e30418: - b3386 + b3391 + x19278 >= 0 e30419: - b3386 + b3392 + x19278 >= 0 e30420: b3386 - b3387 + b3388 >= 0 e30421: b3386 - b3387 + b3389 >= 0 e30422: b3386 - b3387 + b3390 >= 0 e30423: b3386 - b3387 + b3391 >= 0 e30424: b3386 - b3387 + b3392 >= 0 e30425: b3386 - b3387 + b3393 >= 0 e30426: b3387 - b3388 + b3389 >= 0 e30427: b3387 - b3388 + b3390 >= 0 e30428: b3387 - b3388 + b3391 >= 0 e30429: b3387 - b3388 + b3392 >= 0 e30430: b3387 - b3388 + b3393 >= 0 e30431: b3387 - b3388 + b3394 >= 0 e30432: b3388 - b3389 + b3390 >= 0 e30433: b3388 - b3389 + b3391 >= 0 e30434: b3388 - b3389 + b3392 >= 0 e30435: b3388 - b3389 + b3393 >= 0 e30436: b3388 - b3389 + b3394 >= 0 e30437: b3388 - b3389 + b3395 >= 0 e30438: b3389 - b3390 + b3391 >= 0 e30439: b3389 - b3390 + b3392 >= 0 e30440: b3389 - b3390 + b3393 >= 0 e30441: b3389 - b3390 + b3394 >= 0 e30442: b3389 - b3390 + b3395 >= 0 e30443: b3389 - b3390 + b3396 >= 0 e30444: b3390 - b3391 + b3392 >= 0 e30445: b3390 - b3391 + b3393 >= 0 e30446: b3390 - b3391 + b3394 >= 0 e30447: b3390 - b3391 + b3395 >= 0 e30448: b3390 - b3391 + b3396 >= 0 e30449: b3390 - b3391 + b3397 >= 0 e30450: b3391 - b3392 + b3393 >= 0 e30451: b3391 - b3392 + b3394 >= 0 e30452: b3391 - b3392 + b3395 >= 0 e30453: b3391 - b3392 + b3396 >= 0 e30454: b3391 - b3392 + b3397 >= 0 e30455: b3391 - b3392 + b3398 >= 0 e30456: b3392 - b3393 + b3394 >= 0 e30457: b3392 - b3393 + b3395 >= 0 e30458: b3392 - b3393 + b3396 >= 0 e30459: b3392 - b3393 + b3397 >= 0 e30460: b3392 - b3393 + b3398 >= 0 e30461: b3392 - b3393 + b3399 >= 0 e30462: b3393 - b3394 + b3395 >= 0 e30463: b3393 - b3394 + b3396 >= 0 e30464: b3393 - b3394 + b3397 >= 0 e30465: b3393 - b3394 + b3398 >= 0 e30466: b3393 - b3394 + b3399 >= 0 e30467: b3393 - b3394 + b3400 >= 0 e30468: b3394 - b3395 + b3396 >= 0 e30469: b3394 - b3395 + b3397 >= 0 e30470: b3394 - b3395 + b3398 >= 0 e30471: b3394 - b3395 + b3399 >= 0 e30472: b3394 - b3395 + b3400 >= 0 e30473: b3394 - b3395 + b3401 >= 0 e30474: b3395 - b3396 + b3397 >= 0 e30475: b3395 - b3396 + b3398 >= 0 e30476: b3395 - b3396 + b3399 >= 0 e30477: b3395 - b3396 + b3400 >= 0 e30478: b3395 - b3396 + b3401 >= 0 e30479: b3395 - b3396 + b3402 >= 0 e30480: b3396 - b3397 + b3398 >= 0 e30481: b3396 - b3397 + b3399 >= 0 e30482: b3396 - b3397 + b3400 >= 0 e30483: b3396 - b3397 + b3401 >= 0 e30484: b3396 - b3397 + b3402 >= 0 e30485: b3396 - b3397 + b3403 >= 0 e30486: b3397 - b3398 + b3399 >= 0 e30487: b3397 - b3398 + b3400 >= 0 e30488: b3397 - b3398 + b3401 >= 0 e30489: b3397 - b3398 + b3402 >= 0 e30490: b3397 - b3398 + b3403 >= 0 e30491: b3397 - b3398 + b3404 >= 0 e30492: b3398 - b3399 + b3400 >= 0 e30493: b3398 - b3399 + b3401 >= 0 e30494: b3398 - b3399 + b3402 >= 0 e30495: b3398 - b3399 + b3403 >= 0 e30496: b3398 - b3399 + b3404 >= 0 e30497: b3398 - b3399 + b3405 >= 0 e30498: b3399 - b3400 + b3401 >= 0 e30499: b3399 - b3400 + b3402 >= 0 e30500: b3399 - b3400 + b3403 >= 0 e30501: b3399 - b3400 + b3404 >= 0 e30502: b3399 - b3400 + b3405 >= 0 e30503: b3399 - b3400 + b3406 >= 0 e30504: b3400 - b3401 + b3402 >= 0 e30505: b3400 - b3401 + b3403 >= 0 e30506: b3400 - b3401 + b3404 >= 0 e30507: b3400 - b3401 + b3405 >= 0 e30508: b3400 - b3401 + b3406 >= 0 e30509: b3400 - b3401 + b3407 >= 0 e30510: b3401 - b3402 + b3403 >= 0 e30511: b3401 - b3402 + b3404 >= 0 e30512: b3401 - b3402 + b3405 >= 0 e30513: b3401 - b3402 + b3406 >= 0 e30514: b3401 - b3402 + b3407 >= 0 e30515: b3401 - b3402 + b3408 >= 0 e30516: b3402 - b3403 + b3404 >= 0 e30517: b3402 - b3403 + b3405 >= 0 e30518: b3402 - b3403 + b3406 >= 0 e30519: b3402 - b3403 + b3407 >= 0 e30520: b3402 - b3403 + b3408 >= 0 e30521: b3402 - b3403 + b3409 >= 0 e30522: b3403 - b3404 + b3405 >= 0 e30523: b3403 - b3404 + b3406 >= 0 e30524: b3403 - b3404 + b3407 >= 0 e30525: b3403 - b3404 + b3408 >= 0 e30526: b3403 - b3404 + b3409 >= 0 e30527: b3404 - b3405 + b3406 >= 0 e30528: b3404 - b3405 + b3407 >= 0 e30529: b3404 - b3405 + b3408 >= 0 e30530: b3404 - b3405 + b3409 >= 0 e30531: b3405 - b3406 + b3407 >= 0 e30532: b3405 - b3406 + b3408 >= 0 e30533: b3405 - b3406 + b3409 >= 0 e30534: b3406 - b3407 + b3408 >= 0 e30535: b3406 - b3407 + b3409 >= 0 e30536: b3407 - b3408 + b3409 >= 0 e30537: b3410 = 1 e30538: b3410 - b3411 + b3412 <= 1 e30539: b3410 - b3411 + b3413 <= 1 e30540: b3410 - b3411 + b3414 <= 1 e30541: b3410 - b3411 + b3415 <= 1 e30542: b3410 - b3411 + b3416 <= 1 e30543: b3410 - b3411 + b3417 <= 1 e30544: b3410 - b3411 + b3418 <= 1 e30545: b3411 - b3412 + b3413 <= 1 e30546: b3411 - b3412 + b3414 <= 1 e30547: b3411 - b3412 + b3415 <= 1 e30548: b3411 - b3412 + b3416 <= 1 e30549: b3411 - b3412 + b3417 <= 1 e30550: b3411 - b3412 + b3418 <= 1 e30551: b3411 - b3412 + b3419 <= 1 e30552: b3412 - b3413 + b3414 <= 1 e30553: b3412 - b3413 + b3415 <= 1 e30554: b3412 - b3413 + b3416 <= 1 e30555: b3412 - b3413 + b3417 <= 1 e30556: b3412 - b3413 + b3418 <= 1 e30557: b3412 - b3413 + b3419 <= 1 e30558: b3412 - b3413 + b3420 <= 1 e30559: b3413 - b3414 + b3415 <= 1 e30560: b3413 - b3414 + b3416 <= 1 e30561: b3413 - b3414 + b3417 <= 1 e30562: b3413 - b3414 + b3418 <= 1 e30563: b3413 - b3414 + b3419 <= 1 e30564: b3413 - b3414 + b3420 <= 1 e30565: b3413 - b3414 + b3421 <= 1 e30566: b3414 - b3415 + b3416 <= 1 e30567: b3414 - b3415 + b3417 <= 1 e30568: b3414 - b3415 + b3418 <= 1 e30569: b3414 - b3415 + b3419 <= 1 e30570: b3414 - b3415 + b3420 <= 1 e30571: b3414 - b3415 + b3421 <= 1 e30572: b3414 - b3415 + b3422 <= 1 e30573: b3415 - b3416 + b3417 <= 1 e30574: b3415 - b3416 + b3418 <= 1 e30575: b3415 - b3416 + b3419 <= 1 e30576: b3415 - b3416 + b3420 <= 1 e30577: b3415 - b3416 + b3421 <= 1 e30578: b3415 - b3416 + b3422 <= 1 e30579: b3415 - b3416 + b3423 <= 1 e30580: b3416 - b3417 + b3418 <= 1 e30581: b3416 - b3417 + b3419 <= 1 e30582: b3416 - b3417 + b3420 <= 1 e30583: b3416 - b3417 + b3421 <= 1 e30584: b3416 - b3417 + b3422 <= 1 e30585: b3416 - b3417 + b3423 <= 1 e30586: b3416 - b3417 + b3424 <= 1 e30587: b3417 - b3418 + b3419 <= 1 e30588: b3417 - b3418 + b3420 <= 1 e30589: b3417 - b3418 + b3421 <= 1 e30590: b3417 - b3418 + b3422 <= 1 e30591: b3417 - b3418 + b3423 <= 1 e30592: b3417 - b3418 + b3424 <= 1 e30593: b3417 - b3418 + b3425 <= 1 e30594: b3418 - b3419 + b3420 <= 1 e30595: b3418 - b3419 + b3421 <= 1 e30596: b3418 - b3419 + b3422 <= 1 e30597: b3418 - b3419 + b3423 <= 1 e30598: b3418 - b3419 + b3424 <= 1 e30599: b3418 - b3419 + b3425 <= 1 e30600: b3418 - b3419 + b3426 <= 1 e30601: b3419 - b3420 + b3421 <= 1 e30602: b3419 - b3420 + b3422 <= 1 e30603: b3419 - b3420 + b3423 <= 1 e30604: b3419 - b3420 + b3424 <= 1 e30605: b3419 - b3420 + b3425 <= 1 e30606: b3419 - b3420 + b3426 <= 1 e30607: b3419 - b3420 + b3427 <= 1 e30608: b3420 - b3421 + b3422 <= 1 e30609: b3420 - b3421 + b3423 <= 1 e30610: b3420 - b3421 + b3424 <= 1 e30611: b3420 - b3421 + b3425 <= 1 e30612: b3420 - b3421 + b3426 <= 1 e30613: b3420 - b3421 + b3427 <= 1 e30614: b3420 - b3421 + b3428 <= 1 e30615: b3421 - b3422 + b3423 <= 1 e30616: b3421 - b3422 + b3424 <= 1 e30617: b3421 - b3422 + b3425 <= 1 e30618: b3421 - b3422 + b3426 <= 1 e30619: b3421 - b3422 + b3427 <= 1 e30620: b3421 - b3422 + b3428 <= 1 e30621: b3421 - b3422 + b3429 <= 1 e30622: b3422 - b3423 + b3424 <= 1 e30623: b3422 - b3423 + b3425 <= 1 e30624: b3422 - b3423 + b3426 <= 1 e30625: b3422 - b3423 + b3427 <= 1 e30626: b3422 - b3423 + b3428 <= 1 e30627: b3422 - b3423 + b3429 <= 1 e30628: b3422 - b3423 + b3430 <= 1 e30629: b3423 - b3424 + b3425 <= 1 e30630: b3423 - b3424 + b3426 <= 1 e30631: b3423 - b3424 + b3427 <= 1 e30632: b3423 - b3424 + b3428 <= 1 e30633: b3423 - b3424 + b3429 <= 1 e30634: b3423 - b3424 + b3430 <= 1 e30635: b3423 - b3424 + b3431 <= 1 e30636: b3424 - b3425 + b3426 <= 1 e30637: b3424 - b3425 + b3427 <= 1 e30638: b3424 - b3425 + b3428 <= 1 e30639: b3424 - b3425 + b3429 <= 1 e30640: b3424 - b3425 + b3430 <= 1 e30641: b3424 - b3425 + b3431 <= 1 e30642: b3424 - b3425 + b3432 <= 1 e30643: b3425 - b3426 + b3427 <= 1 e30644: b3425 - b3426 + b3428 <= 1 e30645: b3425 - b3426 + b3429 <= 1 e30646: b3425 - b3426 + b3430 <= 1 e30647: b3425 - b3426 + b3431 <= 1 e30648: b3425 - b3426 + b3432 <= 1 e30649: b3425 - b3426 + b3433 <= 1 e30650: b3426 - b3427 + b3428 <= 1 e30651: b3426 - b3427 + b3429 <= 1 e30652: b3426 - b3427 + b3430 <= 1 e30653: b3426 - b3427 + b3431 <= 1 e30654: b3426 - b3427 + b3432 <= 1 e30655: b3426 - b3427 + b3433 <= 1 e30656: b3427 - b3428 + b3429 <= 1 e30657: b3427 - b3428 + b3430 <= 1 e30658: b3427 - b3428 + b3431 <= 1 e30659: b3427 - b3428 + b3432 <= 1 e30660: b3427 - b3428 + b3433 <= 1 e30661: b3428 - b3429 + b3430 <= 1 e30662: b3428 - b3429 + b3431 <= 1 e30663: b3428 - b3429 + b3432 <= 1 e30664: b3428 - b3429 + b3433 <= 1 e30665: b3429 - b3430 + b3431 <= 1 e30666: b3429 - b3430 + b3432 <= 1 e30667: b3429 - b3430 + b3433 <= 1 e30668: b3430 - b3431 + b3432 <= 1 e30669: b3430 - b3431 + b3433 <= 1 e30670: b3431 - b3432 + b3433 <= 1 e30671: b3410 - b3411 + b3412 >= 0 e30672: b3410 - b3411 + b3413 >= 0 e30673: b3410 - b3411 + b3414 >= 0 e30674: b3410 - b3411 + b3415 >= 0 e30675: b3410 - b3411 + b3416 >= 0 e30676: b3410 - b3411 + b3417 >= 0 e30677: b3410 - b3411 + b3418 >= 0 e30678: b3411 - b3412 + b3413 >= 0 e30679: b3411 - b3412 + b3414 >= 0 e30680: b3411 - b3412 + b3415 >= 0 e30681: b3411 - b3412 + b3416 >= 0 e30682: b3411 - b3412 + b3417 >= 0 e30683: b3411 - b3412 + b3418 >= 0 e30684: b3411 - b3412 + b3419 >= 0 e30685: b3412 - b3413 + b3414 >= 0 e30686: b3412 - b3413 + b3415 >= 0 e30687: b3412 - b3413 + b3416 >= 0 e30688: b3412 - b3413 + b3417 >= 0 e30689: b3412 - b3413 + b3418 >= 0 e30690: b3412 - b3413 + b3419 >= 0 e30691: b3412 - b3413 + b3420 >= 0 e30692: b3413 - b3414 + b3415 >= 0 e30693: b3413 - b3414 + b3416 >= 0 e30694: b3413 - b3414 + b3417 >= 0 e30695: b3413 - b3414 + b3418 >= 0 e30696: b3413 - b3414 + b3419 >= 0 e30697: b3413 - b3414 + b3420 >= 0 e30698: b3413 - b3414 + b3421 >= 0 e30699: b3414 - b3415 + b3416 >= 0 e30700: b3414 - b3415 + b3417 >= 0 e30701: b3414 - b3415 + b3418 >= 0 e30702: b3414 - b3415 + b3419 >= 0 e30703: b3414 - b3415 + b3420 >= 0 e30704: b3414 - b3415 + b3421 >= 0 e30705: b3414 - b3415 + b3422 >= 0 e30706: b3415 - b3416 + b3417 >= 0 e30707: b3415 - b3416 + b3418 >= 0 e30708: b3415 - b3416 + b3419 >= 0 e30709: b3415 - b3416 + b3420 >= 0 e30710: b3415 - b3416 + b3421 >= 0 e30711: b3415 - b3416 + b3422 >= 0 e30712: b3415 - b3416 + b3423 >= 0 e30713: b3416 - b3417 + b3418 >= 0 e30714: b3416 - b3417 + b3419 >= 0 e30715: b3416 - b3417 + b3420 >= 0 e30716: b3416 - b3417 + b3421 >= 0 e30717: b3416 - b3417 + b3422 >= 0 e30718: b3416 - b3417 + b3423 >= 0 e30719: b3416 - b3417 + b3424 >= 0 e30720: b3417 - b3418 + b3419 >= 0 e30721: b3417 - b3418 + b3420 >= 0 e30722: b3417 - b3418 + b3421 >= 0 e30723: b3417 - b3418 + b3422 >= 0 e30724: b3417 - b3418 + b3423 >= 0 e30725: b3417 - b3418 + b3424 >= 0 e30726: b3417 - b3418 + b3425 >= 0 e30727: b3418 - b3419 + b3420 >= 0 e30728: b3418 - b3419 + b3421 >= 0 e30729: b3418 - b3419 + b3422 >= 0 e30730: b3418 - b3419 + b3423 >= 0 e30731: b3418 - b3419 + b3424 >= 0 e30732: b3418 - b3419 + b3425 >= 0 e30733: b3418 - b3419 + b3426 >= 0 e30734: b3419 - b3420 + b3421 >= 0 e30735: b3419 - b3420 + b3422 >= 0 e30736: b3419 - b3420 + b3423 >= 0 e30737: b3419 - b3420 + b3424 >= 0 e30738: b3419 - b3420 + b3425 >= 0 e30739: b3419 - b3420 + b3426 >= 0 e30740: b3419 - b3420 + b3427 >= 0 e30741: b3420 - b3421 + b3422 >= 0 e30742: b3420 - b3421 + b3423 >= 0 e30743: b3420 - b3421 + b3424 >= 0 e30744: b3420 - b3421 + b3425 >= 0 e30745: b3420 - b3421 + b3426 >= 0 e30746: b3420 - b3421 + b3427 >= 0 e30747: b3420 - b3421 + b3428 >= 0 e30748: b3421 - b3422 + b3423 >= 0 e30749: b3421 - b3422 + b3424 >= 0 e30750: b3421 - b3422 + b3425 >= 0 e30751: b3421 - b3422 + b3426 >= 0 e30752: b3421 - b3422 + b3427 >= 0 e30753: b3421 - b3422 + b3428 >= 0 e30754: b3421 - b3422 + b3429 >= 0 e30755: b3422 - b3423 + b3424 >= 0 e30756: b3422 - b3423 + b3425 >= 0 e30757: b3422 - b3423 + b3426 >= 0 e30758: b3422 - b3423 + b3427 >= 0 e30759: b3422 - b3423 + b3428 >= 0 e30760: b3422 - b3423 + b3429 >= 0 e30761: b3422 - b3423 + b3430 >= 0 e30762: b3423 - b3424 + b3425 >= 0 e30763: b3423 - b3424 + b3426 >= 0 e30764: b3423 - b3424 + b3427 >= 0 e30765: b3423 - b3424 + b3428 >= 0 e30766: b3423 - b3424 + b3429 >= 0 e30767: b3423 - b3424 + b3430 >= 0 e30768: b3423 - b3424 + b3431 >= 0 e30769: b3424 - b3425 + b3426 >= 0 e30770: b3424 - b3425 + b3427 >= 0 e30771: b3424 - b3425 + b3428 >= 0 e30772: b3424 - b3425 + b3429 >= 0 e30773: b3424 - b3425 + b3430 >= 0 e30774: b3424 - b3425 + b3431 >= 0 e30775: b3424 - b3425 + b3432 >= 0 e30776: b3425 - b3426 + b3427 >= 0 e30777: b3425 - b3426 + b3428 >= 0 e30778: b3425 - b3426 + b3429 >= 0 e30779: b3425 - b3426 + b3430 >= 0 e30780: b3425 - b3426 + b3431 >= 0 e30781: b3425 - b3426 + b3432 >= 0 e30782: b3425 - b3426 + b3433 >= 0 e30783: b3426 - b3427 + b3428 >= 0 e30784: b3426 - b3427 + b3429 >= 0 e30785: b3426 - b3427 + b3430 >= 0 e30786: b3426 - b3427 + b3431 >= 0 e30787: b3426 - b3427 + b3432 >= 0 e30788: b3426 - b3427 + b3433 >= 0 e30789: b3427 - b3428 + b3429 >= 0 e30790: b3427 - b3428 + b3430 >= 0 e30791: b3427 - b3428 + b3431 >= 0 e30792: b3427 - b3428 + b3432 >= 0 e30793: b3427 - b3428 + b3433 >= 0 e30794: b3428 - b3429 + b3430 >= 0 e30795: b3428 - b3429 + b3431 >= 0 e30796: b3428 - b3429 + b3432 >= 0 e30797: b3428 - b3429 + b3433 >= 0 e30798: b3429 - b3430 + b3431 >= 0 e30799: b3429 - b3430 + b3432 >= 0 e30800: b3429 - b3430 + b3433 >= 0 e30801: b3430 - b3431 + b3432 >= 0 e30802: b3430 - b3431 + b3433 >= 0 e30803: b3431 - b3432 + b3433 >= 0 e30804: b3434 = 1 e30805: b3434 - b3435 + b3436 <= 1 e30806: b3434 - b3435 + b3437 <= 1 e30807: b3434 - b3435 + b3438 <= 1 e30808: b3434 - b3435 + b3439 <= 1 e30809: b3434 - b3435 + b3440 <= 1 e30810: b3434 - b3435 + b3441 <= 1 e30811: b3435 - b3436 + b3437 <= 1 e30812: b3435 - b3436 + b3438 <= 1 e30813: b3435 - b3436 + b3439 <= 1 e30814: b3435 - b3436 + b3440 <= 1 e30815: b3435 - b3436 + b3441 <= 1 e30816: b3435 - b3436 + b3442 <= 1 e30817: b3436 - b3437 + b3438 <= 1 e30818: b3436 - b3437 + b3439 <= 1 e30819: b3436 - b3437 + b3440 <= 1 e30820: b3436 - b3437 + b3441 <= 1 e30821: b3436 - b3437 + b3442 <= 1 e30822: b3436 - b3437 + b3443 <= 1 e30823: b3437 - b3438 + b3439 <= 1 e30824: b3437 - b3438 + b3440 <= 1 e30825: b3437 - b3438 + b3441 <= 1 e30826: b3437 - b3438 + b3442 <= 1 e30827: b3437 - b3438 + b3443 <= 1 e30828: b3437 - b3438 + b3444 <= 1 e30829: b3438 - b3439 + b3440 <= 1 e30830: b3438 - b3439 + b3441 <= 1 e30831: b3438 - b3439 + b3442 <= 1 e30832: b3438 - b3439 + b3443 <= 1 e30833: b3438 - b3439 + b3444 <= 1 e30834: b3438 - b3439 + b3445 <= 1 e30835: b3439 - b3440 + b3441 <= 1 e30836: b3439 - b3440 + b3442 <= 1 e30837: b3439 - b3440 + b3443 <= 1 e30838: b3439 - b3440 + b3444 <= 1 e30839: b3439 - b3440 + b3445 <= 1 e30840: b3439 - b3440 + b3446 <= 1 e30841: b3440 - b3441 + b3442 <= 1 e30842: b3440 - b3441 + b3443 <= 1 e30843: b3440 - b3441 + b3444 <= 1 e30844: b3440 - b3441 + b3445 <= 1 e30845: b3440 - b3441 + b3446 <= 1 e30846: b3440 - b3441 + b3447 <= 1 e30847: b3441 - b3442 + b3443 <= 1 e30848: b3441 - b3442 + b3444 <= 1 e30849: b3441 - b3442 + b3445 <= 1 e30850: b3441 - b3442 + b3446 <= 1 e30851: b3441 - b3442 + b3447 <= 1 e30852: b3441 - b3442 + b3448 <= 1 e30853: b3442 - b3443 + b3444 <= 1 e30854: b3442 - b3443 + b3445 <= 1 e30855: b3442 - b3443 + b3446 <= 1 e30856: b3442 - b3443 + b3447 <= 1 e30857: b3442 - b3443 + b3448 <= 1 e30858: b3442 - b3443 + b3449 <= 1 e30859: b3443 - b3444 + b3445 <= 1 e30860: b3443 - b3444 + b3446 <= 1 e30861: b3443 - b3444 + b3447 <= 1 e30862: b3443 - b3444 + b3448 <= 1 e30863: b3443 - b3444 + b3449 <= 1 e30864: b3443 - b3444 + b3450 <= 1 e30865: b3444 - b3445 + b3446 <= 1 e30866: b3444 - b3445 + b3447 <= 1 e30867: b3444 - b3445 + b3448 <= 1 e30868: b3444 - b3445 + b3449 <= 1 e30869: b3444 - b3445 + b3450 <= 1 e30870: b3444 - b3445 + b3451 <= 1 e30871: b3445 - b3446 + b3447 <= 1 e30872: b3445 - b3446 + b3448 <= 1 e30873: b3445 - b3446 + b3449 <= 1 e30874: b3445 - b3446 + b3450 <= 1 e30875: b3445 - b3446 + b3451 <= 1 e30876: b3445 - b3446 + b3452 <= 1 e30877: b3446 - b3447 + b3448 <= 1 e30878: b3446 - b3447 + b3449 <= 1 e30879: b3446 - b3447 + b3450 <= 1 e30880: b3446 - b3447 + b3451 <= 1 e30881: b3446 - b3447 + b3452 <= 1 e30882: b3446 - b3447 + b3453 <= 1 e30883: b3447 - b3448 + b3449 <= 1 e30884: b3447 - b3448 + b3450 <= 1 e30885: b3447 - b3448 + b3451 <= 1 e30886: b3447 - b3448 + b3452 <= 1 e30887: b3447 - b3448 + b3453 <= 1 e30888: b3447 - b3448 + b3454 <= 1 e30889: b3448 - b3449 + b3450 <= 1 e30890: b3448 - b3449 + b3451 <= 1 e30891: b3448 - b3449 + b3452 <= 1 e30892: b3448 - b3449 + b3453 <= 1 e30893: b3448 - b3449 + b3454 <= 1 e30894: b3448 - b3449 + b3455 <= 1 e30895: b3449 - b3450 + b3451 <= 1 e30896: b3449 - b3450 + b3452 <= 1 e30897: b3449 - b3450 + b3453 <= 1 e30898: b3449 - b3450 + b3454 <= 1 e30899: b3449 - b3450 + b3455 <= 1 e30900: b3449 - b3450 + b3456 <= 1 e30901: b3450 - b3451 + b3452 <= 1 e30902: b3450 - b3451 + b3453 <= 1 e30903: b3450 - b3451 + b3454 <= 1 e30904: b3450 - b3451 + b3455 <= 1 e30905: b3450 - b3451 + b3456 <= 1 e30906: b3450 - b3451 + b3457 <= 1 e30907: b3451 - b3452 + b3453 <= 1 e30908: b3451 - b3452 + b3454 <= 1 e30909: b3451 - b3452 + b3455 <= 1 e30910: b3451 - b3452 + b3456 <= 1 e30911: b3451 - b3452 + b3457 <= 1 e30912: b3452 - b3453 + b3454 <= 1 e30913: b3452 - b3453 + b3455 <= 1 e30914: b3452 - b3453 + b3456 <= 1 e30915: b3452 - b3453 + b3457 <= 1 e30916: b3453 - b3454 + b3455 <= 1 e30917: b3453 - b3454 + b3456 <= 1 e30918: b3453 - b3454 + b3457 <= 1 e30919: b3454 - b3455 + b3456 <= 1 e30920: b3454 - b3455 + b3457 <= 1 e30921: b3455 - b3456 + b3457 <= 1 e30922: b3434 - b3435 + b3436 >= 0 e30923: b3434 - b3435 + b3437 >= 0 e30924: b3434 - b3435 + b3438 >= 0 e30925: b3434 - b3435 + b3439 >= 0 e30926: b3434 - b3435 + b3440 >= 0 e30927: b3434 - b3435 + b3441 >= 0 e30928: b3435 - b3436 + b3437 >= 0 e30929: b3435 - b3436 + b3438 >= 0 e30930: b3435 - b3436 + b3439 >= 0 e30931: b3435 - b3436 + b3440 >= 0 e30932: b3435 - b3436 + b3441 >= 0 e30933: b3435 - b3436 + b3442 >= 0 e30934: b3436 - b3437 + b3438 >= 0 e30935: b3436 - b3437 + b3439 >= 0 e30936: b3436 - b3437 + b3440 >= 0 e30937: b3436 - b3437 + b3441 >= 0 e30938: b3436 - b3437 + b3442 >= 0 e30939: b3436 - b3437 + b3443 >= 0 e30940: b3437 - b3438 + b3439 >= 0 e30941: b3437 - b3438 + b3440 >= 0 e30942: b3437 - b3438 + b3441 >= 0 e30943: b3437 - b3438 + b3442 >= 0 e30944: b3437 - b3438 + b3443 >= 0 e30945: b3437 - b3438 + b3444 >= 0 e30946: b3438 - b3439 + b3440 >= 0 e30947: b3438 - b3439 + b3441 >= 0 e30948: b3438 - b3439 + b3442 >= 0 e30949: b3438 - b3439 + b3443 >= 0 e30950: b3438 - b3439 + b3444 >= 0 e30951: b3438 - b3439 + b3445 >= 0 e30952: b3439 - b3440 + b3441 >= 0 e30953: b3439 - b3440 + b3442 >= 0 e30954: b3439 - b3440 + b3443 >= 0 e30955: b3439 - b3440 + b3444 >= 0 e30956: b3439 - b3440 + b3445 >= 0 e30957: b3439 - b3440 + b3446 >= 0 e30958: b3440 - b3441 + b3442 >= 0 e30959: b3440 - b3441 + b3443 >= 0 e30960: b3440 - b3441 + b3444 >= 0 e30961: b3440 - b3441 + b3445 >= 0 e30962: b3440 - b3441 + b3446 >= 0 e30963: b3440 - b3441 + b3447 >= 0 e30964: b3441 - b3442 + b3443 >= 0 e30965: b3441 - b3442 + b3444 >= 0 e30966: b3441 - b3442 + b3445 >= 0 e30967: b3441 - b3442 + b3446 >= 0 e30968: b3441 - b3442 + b3447 >= 0 e30969: b3441 - b3442 + b3448 >= 0 e30970: b3442 - b3443 + b3444 >= 0 e30971: b3442 - b3443 + b3445 >= 0 e30972: b3442 - b3443 + b3446 >= 0 e30973: b3442 - b3443 + b3447 >= 0 e30974: b3442 - b3443 + b3448 >= 0 e30975: b3442 - b3443 + b3449 >= 0 e30976: b3443 - b3444 + b3445 >= 0 e30977: b3443 - b3444 + b3446 >= 0 e30978: b3443 - b3444 + b3447 >= 0 e30979: b3443 - b3444 + b3448 >= 0 e30980: b3443 - b3444 + b3449 >= 0 e30981: b3443 - b3444 + b3450 >= 0 e30982: b3444 - b3445 + b3446 >= 0 e30983: b3444 - b3445 + b3447 >= 0 e30984: b3444 - b3445 + b3448 >= 0 e30985: b3444 - b3445 + b3449 >= 0 e30986: b3444 - b3445 + b3450 >= 0 e30987: b3444 - b3445 + b3451 >= 0 e30988: b3445 - b3446 + b3447 >= 0 e30989: b3445 - b3446 + b3448 >= 0 e30990: b3445 - b3446 + b3449 >= 0 e30991: b3445 - b3446 + b3450 >= 0 e30992: b3445 - b3446 + b3451 >= 0 e30993: b3445 - b3446 + b3452 >= 0 e30994: b3446 - b3447 + b3448 >= 0 e30995: b3446 - b3447 + b3449 >= 0 e30996: b3446 - b3447 + b3450 >= 0 e30997: b3446 - b3447 + b3451 >= 0 e30998: b3446 - b3447 + b3452 >= 0 e30999: b3446 - b3447 + b3453 >= 0 e31000: b3447 - b3448 + b3449 >= 0 e31001: b3447 - b3448 + b3450 >= 0 e31002: b3447 - b3448 + b3451 >= 0 e31003: b3447 - b3448 + b3452 >= 0 e31004: b3447 - b3448 + b3453 >= 0 e31005: b3447 - b3448 + b3454 >= 0 e31006: b3448 - b3449 + b3450 >= 0 e31007: b3448 - b3449 + b3451 >= 0 e31008: b3448 - b3449 + b3452 >= 0 e31009: b3448 - b3449 + b3453 >= 0 e31010: b3448 - b3449 + b3454 >= 0 e31011: b3448 - b3449 + b3455 >= 0 e31012: b3449 - b3450 + b3451 >= 0 e31013: b3449 - b3450 + b3452 >= 0 e31014: b3449 - b3450 + b3453 >= 0 e31015: b3449 - b3450 + b3454 >= 0 e31016: b3449 - b3450 + b3455 >= 0 e31017: b3449 - b3450 + b3456 >= 0 e31018: b3450 - b3451 + b3452 >= 0 e31019: b3450 - b3451 + b3453 >= 0 e31020: b3450 - b3451 + b3454 >= 0 e31021: b3450 - b3451 + b3455 >= 0 e31022: b3450 - b3451 + b3456 >= 0 e31023: b3450 - b3451 + b3457 >= 0 e31024: b3451 - b3452 + b3453 >= 0 e31025: b3451 - b3452 + b3454 >= 0 e31026: b3451 - b3452 + b3455 >= 0 e31027: b3451 - b3452 + b3456 >= 0 e31028: b3451 - b3452 + b3457 >= 0 e31029: b3452 - b3453 + b3454 >= 0 e31030: b3452 - b3453 + b3455 >= 0 e31031: b3452 - b3453 + b3456 >= 0 e31032: b3452 - b3453 + b3457 >= 0 e31033: b3453 - b3454 + b3455 >= 0 e31034: b3453 - b3454 + b3456 >= 0 e31035: b3453 - b3454 + b3457 >= 0 e31036: b3454 - b3455 + b3456 >= 0 e31037: b3454 - b3455 + b3457 >= 0 e31038: b3455 - b3456 + b3457 >= 0 e31039: - b3458 + b3459 + x19279 <= 1 e31040: - b3458 + b3460 + x19279 <= 1 e31041: - b3458 + b3461 + x19279 <= 1 e31042: - b3458 + b3462 + x19279 <= 1 e31043: - b3458 + b3463 + x19279 <= 1 e31044: - b3458 + b3464 + x19279 <= 1 e31045: - b3458 + b3465 + x19279 <= 1 e31046: b3458 - b3459 + b3460 <= 1 e31047: b3458 - b3459 + b3461 <= 1 e31048: b3458 - b3459 + b3462 <= 1 e31049: b3458 - b3459 + b3463 <= 1 e31050: b3458 - b3459 + b3464 <= 1 e31051: b3458 - b3459 + b3465 <= 1 e31052: b3458 - b3459 + b3466 <= 1 e31053: b3459 - b3460 + b3461 <= 1 e31054: b3459 - b3460 + b3462 <= 1 e31055: b3459 - b3460 + b3463 <= 1 e31056: b3459 - b3460 + b3464 <= 1 e31057: b3459 - b3460 + b3465 <= 1 e31058: b3459 - b3460 + b3466 <= 1 e31059: b3459 - b3460 + b3467 <= 1 e31060: b3460 - b3461 + b3462 <= 1 e31061: b3460 - b3461 + b3463 <= 1 e31062: b3460 - b3461 + b3464 <= 1 e31063: b3460 - b3461 + b3465 <= 1 e31064: b3460 - b3461 + b3466 <= 1 e31065: b3460 - b3461 + b3467 <= 1 e31066: b3460 - b3461 + b3468 <= 1 e31067: b3461 - b3462 + b3463 <= 1 e31068: b3461 - b3462 + b3464 <= 1 e31069: b3461 - b3462 + b3465 <= 1 e31070: b3461 - b3462 + b3466 <= 1 e31071: b3461 - b3462 + b3467 <= 1 e31072: b3461 - b3462 + b3468 <= 1 e31073: b3461 - b3462 + b3469 <= 1 e31074: b3462 - b3463 + b3464 <= 1 e31075: b3462 - b3463 + b3465 <= 1 e31076: b3462 - b3463 + b3466 <= 1 e31077: b3462 - b3463 + b3467 <= 1 e31078: b3462 - b3463 + b3468 <= 1 e31079: b3462 - b3463 + b3469 <= 1 e31080: b3462 - b3463 + b3470 <= 1 e31081: b3463 - b3464 + b3465 <= 1 e31082: b3463 - b3464 + b3466 <= 1 e31083: b3463 - b3464 + b3467 <= 1 e31084: b3463 - b3464 + b3468 <= 1 e31085: b3463 - b3464 + b3469 <= 1 e31086: b3463 - b3464 + b3470 <= 1 e31087: b3463 - b3464 + b3471 <= 1 e31088: b3464 - b3465 + b3466 <= 1 e31089: b3464 - b3465 + b3467 <= 1 e31090: b3464 - b3465 + b3468 <= 1 e31091: b3464 - b3465 + b3469 <= 1 e31092: b3464 - b3465 + b3470 <= 1 e31093: b3464 - b3465 + b3471 <= 1 e31094: b3464 - b3465 + b3472 <= 1 e31095: b3465 - b3466 + b3467 <= 1 e31096: b3465 - b3466 + b3468 <= 1 e31097: b3465 - b3466 + b3469 <= 1 e31098: b3465 - b3466 + b3470 <= 1 e31099: b3465 - b3466 + b3471 <= 1 e31100: b3465 - b3466 + b3472 <= 1 e31101: b3465 - b3466 + b3473 <= 1 e31102: b3466 - b3467 + b3468 <= 1 e31103: b3466 - b3467 + b3469 <= 1 e31104: b3466 - b3467 + b3470 <= 1 e31105: b3466 - b3467 + b3471 <= 1 e31106: b3466 - b3467 + b3472 <= 1 e31107: b3466 - b3467 + b3473 <= 1 e31108: b3466 - b3467 + b3474 <= 1 e31109: b3467 - b3468 + b3469 <= 1 e31110: b3467 - b3468 + b3470 <= 1 e31111: b3467 - b3468 + b3471 <= 1 e31112: b3467 - b3468 + b3472 <= 1 e31113: b3467 - b3468 + b3473 <= 1 e31114: b3467 - b3468 + b3474 <= 1 e31115: b3467 - b3468 + b3475 <= 1 e31116: b3468 - b3469 + b3470 <= 1 e31117: b3468 - b3469 + b3471 <= 1 e31118: b3468 - b3469 + b3472 <= 1 e31119: b3468 - b3469 + b3473 <= 1 e31120: b3468 - b3469 + b3474 <= 1 e31121: b3468 - b3469 + b3475 <= 1 e31122: b3468 - b3469 + b3476 <= 1 e31123: b3469 - b3470 + b3471 <= 1 e31124: b3469 - b3470 + b3472 <= 1 e31125: b3469 - b3470 + b3473 <= 1 e31126: b3469 - b3470 + b3474 <= 1 e31127: b3469 - b3470 + b3475 <= 1 e31128: b3469 - b3470 + b3476 <= 1 e31129: b3469 - b3470 + b3477 <= 1 e31130: b3470 - b3471 + b3472 <= 1 e31131: b3470 - b3471 + b3473 <= 1 e31132: b3470 - b3471 + b3474 <= 1 e31133: b3470 - b3471 + b3475 <= 1 e31134: b3470 - b3471 + b3476 <= 1 e31135: b3470 - b3471 + b3477 <= 1 e31136: b3470 - b3471 + b3478 <= 1 e31137: b3471 - b3472 + b3473 <= 1 e31138: b3471 - b3472 + b3474 <= 1 e31139: b3471 - b3472 + b3475 <= 1 e31140: b3471 - b3472 + b3476 <= 1 e31141: b3471 - b3472 + b3477 <= 1 e31142: b3471 - b3472 + b3478 <= 1 e31143: b3471 - b3472 + b3479 <= 1 e31144: b3472 - b3473 + b3474 <= 1 e31145: b3472 - b3473 + b3475 <= 1 e31146: b3472 - b3473 + b3476 <= 1 e31147: b3472 - b3473 + b3477 <= 1 e31148: b3472 - b3473 + b3478 <= 1 e31149: b3472 - b3473 + b3479 <= 1 e31150: b3472 - b3473 + b3480 <= 1 e31151: b3473 - b3474 + b3475 <= 1 e31152: b3473 - b3474 + b3476 <= 1 e31153: b3473 - b3474 + b3477 <= 1 e31154: b3473 - b3474 + b3478 <= 1 e31155: b3473 - b3474 + b3479 <= 1 e31156: b3473 - b3474 + b3480 <= 1 e31157: b3473 - b3474 + b3481 <= 1 e31158: b3474 - b3475 + b3476 <= 1 e31159: b3474 - b3475 + b3477 <= 1 e31160: b3474 - b3475 + b3478 <= 1 e31161: b3474 - b3475 + b3479 <= 1 e31162: b3474 - b3475 + b3480 <= 1 e31163: b3474 - b3475 + b3481 <= 1 e31164: b3475 - b3476 + b3477 <= 1 e31165: b3475 - b3476 + b3478 <= 1 e31166: b3475 - b3476 + b3479 <= 1 e31167: b3475 - b3476 + b3480 <= 1 e31168: b3475 - b3476 + b3481 <= 1 e31169: b3476 - b3477 + b3478 <= 1 e31170: b3476 - b3477 + b3479 <= 1 e31171: b3476 - b3477 + b3480 <= 1 e31172: b3476 - b3477 + b3481 <= 1 e31173: b3477 - b3478 + b3479 <= 1 e31174: b3477 - b3478 + b3480 <= 1 e31175: b3477 - b3478 + b3481 <= 1 e31176: b3478 - b3479 + b3480 <= 1 e31177: b3478 - b3479 + b3481 <= 1 e31178: b3479 - b3480 + b3481 <= 1 e31179: - b3458 + b3459 + x19279 >= 0 e31180: - b3458 + b3460 + x19279 >= 0 e31181: - b3458 + b3461 + x19279 >= 0 e31182: - b3458 + b3462 + x19279 >= 0 e31183: - b3458 + b3463 + x19279 >= 0 e31184: - b3458 + b3464 + x19279 >= 0 e31185: - b3458 + b3465 + x19279 >= 0 e31186: b3458 - b3459 + b3460 >= 0 e31187: b3458 - b3459 + b3461 >= 0 e31188: b3458 - b3459 + b3462 >= 0 e31189: b3458 - b3459 + b3463 >= 0 e31190: b3458 - b3459 + b3464 >= 0 e31191: b3458 - b3459 + b3465 >= 0 e31192: b3458 - b3459 + b3466 >= 0 e31193: b3459 - b3460 + b3461 >= 0 e31194: b3459 - b3460 + b3462 >= 0 e31195: b3459 - b3460 + b3463 >= 0 e31196: b3459 - b3460 + b3464 >= 0 e31197: b3459 - b3460 + b3465 >= 0 e31198: b3459 - b3460 + b3466 >= 0 e31199: b3459 - b3460 + b3467 >= 0 e31200: b3460 - b3461 + b3462 >= 0 e31201: b3460 - b3461 + b3463 >= 0 e31202: b3460 - b3461 + b3464 >= 0 e31203: b3460 - b3461 + b3465 >= 0 e31204: b3460 - b3461 + b3466 >= 0 e31205: b3460 - b3461 + b3467 >= 0 e31206: b3460 - b3461 + b3468 >= 0 e31207: b3461 - b3462 + b3463 >= 0 e31208: b3461 - b3462 + b3464 >= 0 e31209: b3461 - b3462 + b3465 >= 0 e31210: b3461 - b3462 + b3466 >= 0 e31211: b3461 - b3462 + b3467 >= 0 e31212: b3461 - b3462 + b3468 >= 0 e31213: b3461 - b3462 + b3469 >= 0 e31214: b3462 - b3463 + b3464 >= 0 e31215: b3462 - b3463 + b3465 >= 0 e31216: b3462 - b3463 + b3466 >= 0 e31217: b3462 - b3463 + b3467 >= 0 e31218: b3462 - b3463 + b3468 >= 0 e31219: b3462 - b3463 + b3469 >= 0 e31220: b3462 - b3463 + b3470 >= 0 e31221: b3463 - b3464 + b3465 >= 0 e31222: b3463 - b3464 + b3466 >= 0 e31223: b3463 - b3464 + b3467 >= 0 e31224: b3463 - b3464 + b3468 >= 0 e31225: b3463 - b3464 + b3469 >= 0 e31226: b3463 - b3464 + b3470 >= 0 e31227: b3463 - b3464 + b3471 >= 0 e31228: b3464 - b3465 + b3466 >= 0 e31229: b3464 - b3465 + b3467 >= 0 e31230: b3464 - b3465 + b3468 >= 0 e31231: b3464 - b3465 + b3469 >= 0 e31232: b3464 - b3465 + b3470 >= 0 e31233: b3464 - b3465 + b3471 >= 0 e31234: b3464 - b3465 + b3472 >= 0 e31235: b3465 - b3466 + b3467 >= 0 e31236: b3465 - b3466 + b3468 >= 0 e31237: b3465 - b3466 + b3469 >= 0 e31238: b3465 - b3466 + b3470 >= 0 e31239: b3465 - b3466 + b3471 >= 0 e31240: b3465 - b3466 + b3472 >= 0 e31241: b3465 - b3466 + b3473 >= 0 e31242: b3466 - b3467 + b3468 >= 0 e31243: b3466 - b3467 + b3469 >= 0 e31244: b3466 - b3467 + b3470 >= 0 e31245: b3466 - b3467 + b3471 >= 0 e31246: b3466 - b3467 + b3472 >= 0 e31247: b3466 - b3467 + b3473 >= 0 e31248: b3466 - b3467 + b3474 >= 0 e31249: b3467 - b3468 + b3469 >= 0 e31250: b3467 - b3468 + b3470 >= 0 e31251: b3467 - b3468 + b3471 >= 0 e31252: b3467 - b3468 + b3472 >= 0 e31253: b3467 - b3468 + b3473 >= 0 e31254: b3467 - b3468 + b3474 >= 0 e31255: b3467 - b3468 + b3475 >= 0 e31256: b3468 - b3469 + b3470 >= 0 e31257: b3468 - b3469 + b3471 >= 0 e31258: b3468 - b3469 + b3472 >= 0 e31259: b3468 - b3469 + b3473 >= 0 e31260: b3468 - b3469 + b3474 >= 0 e31261: b3468 - b3469 + b3475 >= 0 e31262: b3468 - b3469 + b3476 >= 0 e31263: b3469 - b3470 + b3471 >= 0 e31264: b3469 - b3470 + b3472 >= 0 e31265: b3469 - b3470 + b3473 >= 0 e31266: b3469 - b3470 + b3474 >= 0 e31267: b3469 - b3470 + b3475 >= 0 e31268: b3469 - b3470 + b3476 >= 0 e31269: b3469 - b3470 + b3477 >= 0 e31270: b3470 - b3471 + b3472 >= 0 e31271: b3470 - b3471 + b3473 >= 0 e31272: b3470 - b3471 + b3474 >= 0 e31273: b3470 - b3471 + b3475 >= 0 e31274: b3470 - b3471 + b3476 >= 0 e31275: b3470 - b3471 + b3477 >= 0 e31276: b3470 - b3471 + b3478 >= 0 e31277: b3471 - b3472 + b3473 >= 0 e31278: b3471 - b3472 + b3474 >= 0 e31279: b3471 - b3472 + b3475 >= 0 e31280: b3471 - b3472 + b3476 >= 0 e31281: b3471 - b3472 + b3477 >= 0 e31282: b3471 - b3472 + b3478 >= 0 e31283: b3471 - b3472 + b3479 >= 0 e31284: b3472 - b3473 + b3474 >= 0 e31285: b3472 - b3473 + b3475 >= 0 e31286: b3472 - b3473 + b3476 >= 0 e31287: b3472 - b3473 + b3477 >= 0 e31288: b3472 - b3473 + b3478 >= 0 e31289: b3472 - b3473 + b3479 >= 0 e31290: b3472 - b3473 + b3480 >= 0 e31291: b3473 - b3474 + b3475 >= 0 e31292: b3473 - b3474 + b3476 >= 0 e31293: b3473 - b3474 + b3477 >= 0 e31294: b3473 - b3474 + b3478 >= 0 e31295: b3473 - b3474 + b3479 >= 0 e31296: b3473 - b3474 + b3480 >= 0 e31297: b3473 - b3474 + b3481 >= 0 e31298: b3474 - b3475 + b3476 >= 0 e31299: b3474 - b3475 + b3477 >= 0 e31300: b3474 - b3475 + b3478 >= 0 e31301: b3474 - b3475 + b3479 >= 0 e31302: b3474 - b3475 + b3480 >= 0 e31303: b3474 - b3475 + b3481 >= 0 e31304: b3475 - b3476 + b3477 >= 0 e31305: b3475 - b3476 + b3478 >= 0 e31306: b3475 - b3476 + b3479 >= 0 e31307: b3475 - b3476 + b3480 >= 0 e31308: b3475 - b3476 + b3481 >= 0 e31309: b3476 - b3477 + b3478 >= 0 e31310: b3476 - b3477 + b3479 >= 0 e31311: b3476 - b3477 + b3480 >= 0 e31312: b3476 - b3477 + b3481 >= 0 e31313: b3477 - b3478 + b3479 >= 0 e31314: b3477 - b3478 + b3480 >= 0 e31315: b3477 - b3478 + b3481 >= 0 e31316: b3478 - b3479 + b3480 >= 0 e31317: b3478 - b3479 + b3481 >= 0 e31318: b3479 - b3480 + b3481 >= 0 e31319: b3482 = 0 e31320: b3483 = 0 e31321: b3484 = 0 e31322: b3485 = 0 e31323: b3486 = 0 e31324: b3487 = 0 e31325: b3488 = 0 e31326: b3488 - b3489 + b3490 <= 1 e31327: b3488 - b3489 + b3491 <= 1 e31328: b3488 - b3489 + b3492 <= 1 e31329: b3488 - b3489 + b3493 <= 1 e31330: b3488 - b3489 + b3494 <= 1 e31331: b3488 - b3489 + b3495 <= 1 e31332: b3488 - b3489 + b3496 <= 1 e31333: b3489 - b3490 + b3491 <= 1 e31334: b3489 - b3490 + b3492 <= 1 e31335: b3489 - b3490 + b3493 <= 1 e31336: b3489 - b3490 + b3494 <= 1 e31337: b3489 - b3490 + b3495 <= 1 e31338: b3489 - b3490 + b3496 <= 1 e31339: b3489 - b3490 + b3497 <= 1 e31340: b3490 - b3491 + b3492 <= 1 e31341: b3490 - b3491 + b3493 <= 1 e31342: b3490 - b3491 + b3494 <= 1 e31343: b3490 - b3491 + b3495 <= 1 e31344: b3490 - b3491 + b3496 <= 1 e31345: b3490 - b3491 + b3497 <= 1 e31346: b3490 - b3491 + b3498 <= 1 e31347: b3491 - b3492 + b3493 <= 1 e31348: b3491 - b3492 + b3494 <= 1 e31349: b3491 - b3492 + b3495 <= 1 e31350: b3491 - b3492 + b3496 <= 1 e31351: b3491 - b3492 + b3497 <= 1 e31352: b3491 - b3492 + b3498 <= 1 e31353: b3491 - b3492 + b3499 <= 1 e31354: b3492 - b3493 + b3494 <= 1 e31355: b3492 - b3493 + b3495 <= 1 e31356: b3492 - b3493 + b3496 <= 1 e31357: b3492 - b3493 + b3497 <= 1 e31358: b3492 - b3493 + b3498 <= 1 e31359: b3492 - b3493 + b3499 <= 1 e31360: b3492 - b3493 + b3500 <= 1 e31361: b3493 - b3494 + b3495 <= 1 e31362: b3493 - b3494 + b3496 <= 1 e31363: b3493 - b3494 + b3497 <= 1 e31364: b3493 - b3494 + b3498 <= 1 e31365: b3493 - b3494 + b3499 <= 1 e31366: b3493 - b3494 + b3500 <= 1 e31367: b3493 - b3494 + b3501 <= 1 e31368: b3494 - b3495 + b3496 <= 1 e31369: b3494 - b3495 + b3497 <= 1 e31370: b3494 - b3495 + b3498 <= 1 e31371: b3494 - b3495 + b3499 <= 1 e31372: b3494 - b3495 + b3500 <= 1 e31373: b3494 - b3495 + b3501 <= 1 e31374: b3494 - b3495 + b3502 <= 1 e31375: b3495 - b3496 + b3497 <= 1 e31376: b3495 - b3496 + b3498 <= 1 e31377: b3495 - b3496 + b3499 <= 1 e31378: b3495 - b3496 + b3500 <= 1 e31379: b3495 - b3496 + b3501 <= 1 e31380: b3495 - b3496 + b3502 <= 1 e31381: b3495 - b3496 + b3503 <= 1 e31382: b3496 - b3497 + b3498 <= 1 e31383: b3496 - b3497 + b3499 <= 1 e31384: b3496 - b3497 + b3500 <= 1 e31385: b3496 - b3497 + b3501 <= 1 e31386: b3496 - b3497 + b3502 <= 1 e31387: b3496 - b3497 + b3503 <= 1 e31388: b3496 - b3497 + b3504 <= 1 e31389: b3497 - b3498 + b3499 <= 1 e31390: b3497 - b3498 + b3500 <= 1 e31391: b3497 - b3498 + b3501 <= 1 e31392: b3497 - b3498 + b3502 <= 1 e31393: b3497 - b3498 + b3503 <= 1 e31394: b3497 - b3498 + b3504 <= 1 e31395: b3497 - b3498 + b3505 <= 1 e31396: b3498 - b3499 + b3500 <= 1 e31397: b3498 - b3499 + b3501 <= 1 e31398: b3498 - b3499 + b3502 <= 1 e31399: b3498 - b3499 + b3503 <= 1 e31400: b3498 - b3499 + b3504 <= 1 e31401: b3498 - b3499 + b3505 <= 1 e31402: b3499 - b3500 + b3501 <= 1 e31403: b3499 - b3500 + b3502 <= 1 e31404: b3499 - b3500 + b3503 <= 1 e31405: b3499 - b3500 + b3504 <= 1 e31406: b3499 - b3500 + b3505 <= 1 e31407: b3500 - b3501 + b3502 <= 1 e31408: b3500 - b3501 + b3503 <= 1 e31409: b3500 - b3501 + b3504 <= 1 e31410: b3500 - b3501 + b3505 <= 1 e31411: b3501 - b3502 + b3503 <= 1 e31412: b3501 - b3502 + b3504 <= 1 e31413: b3501 - b3502 + b3505 <= 1 e31414: b3502 - b3503 + b3504 <= 1 e31415: b3502 - b3503 + b3505 <= 1 e31416: b3503 - b3504 + b3505 <= 1 e31417: b3488 - b3489 + b3490 >= 0 e31418: b3488 - b3489 + b3491 >= 0 e31419: b3488 - b3489 + b3492 >= 0 e31420: b3488 - b3489 + b3493 >= 0 e31421: b3488 - b3489 + b3494 >= 0 e31422: b3488 - b3489 + b3495 >= 0 e31423: b3489 - b3490 + b3491 >= 0 e31424: b3489 - b3490 + b3492 >= 0 e31425: b3489 - b3490 + b3493 >= 0 e31426: b3489 - b3490 + b3494 >= 0 e31427: b3489 - b3490 + b3495 >= 0 e31428: b3489 - b3490 + b3496 >= 0 e31429: b3490 - b3491 + b3492 >= 0 e31430: b3490 - b3491 + b3493 >= 0 e31431: b3490 - b3491 + b3494 >= 0 e31432: b3490 - b3491 + b3495 >= 0 e31433: b3490 - b3491 + b3496 >= 0 e31434: b3490 - b3491 + b3497 >= 0 e31435: b3491 - b3492 + b3493 >= 0 e31436: b3491 - b3492 + b3494 >= 0 e31437: b3491 - b3492 + b3495 >= 0 e31438: b3491 - b3492 + b3496 >= 0 e31439: b3491 - b3492 + b3497 >= 0 e31440: b3491 - b3492 + b3498 >= 0 e31441: b3492 - b3493 + b3494 >= 0 e31442: b3492 - b3493 + b3495 >= 0 e31443: b3492 - b3493 + b3496 >= 0 e31444: b3492 - b3493 + b3497 >= 0 e31445: b3492 - b3493 + b3498 >= 0 e31446: b3492 - b3493 + b3499 >= 0 e31447: b3493 - b3494 + b3495 >= 0 e31448: b3493 - b3494 + b3496 >= 0 e31449: b3493 - b3494 + b3497 >= 0 e31450: b3493 - b3494 + b3498 >= 0 e31451: b3493 - b3494 + b3499 >= 0 e31452: b3493 - b3494 + b3500 >= 0 e31453: b3494 - b3495 + b3496 >= 0 e31454: b3494 - b3495 + b3497 >= 0 e31455: b3494 - b3495 + b3498 >= 0 e31456: b3494 - b3495 + b3499 >= 0 e31457: b3494 - b3495 + b3500 >= 0 e31458: b3494 - b3495 + b3501 >= 0 e31459: b3495 - b3496 + b3497 >= 0 e31460: b3495 - b3496 + b3498 >= 0 e31461: b3495 - b3496 + b3499 >= 0 e31462: b3495 - b3496 + b3500 >= 0 e31463: b3495 - b3496 + b3501 >= 0 e31464: b3495 - b3496 + b3502 >= 0 e31465: b3496 - b3497 + b3498 >= 0 e31466: b3496 - b3497 + b3499 >= 0 e31467: b3496 - b3497 + b3500 >= 0 e31468: b3496 - b3497 + b3501 >= 0 e31469: b3496 - b3497 + b3502 >= 0 e31470: b3496 - b3497 + b3503 >= 0 e31471: b3497 - b3498 + b3499 >= 0 e31472: b3497 - b3498 + b3500 >= 0 e31473: b3497 - b3498 + b3501 >= 0 e31474: b3497 - b3498 + b3502 >= 0 e31475: b3497 - b3498 + b3503 >= 0 e31476: b3497 - b3498 + b3504 >= 0 e31477: b3498 - b3499 + b3500 >= 0 e31478: b3498 - b3499 + b3501 >= 0 e31479: b3498 - b3499 + b3502 >= 0 e31480: b3498 - b3499 + b3503 >= 0 e31481: b3498 - b3499 + b3504 >= 0 e31482: b3498 - b3499 + b3505 >= 0 e31483: b3499 - b3500 + b3501 >= 0 e31484: b3499 - b3500 + b3502 >= 0 e31485: b3499 - b3500 + b3503 >= 0 e31486: b3499 - b3500 + b3504 >= 0 e31487: b3499 - b3500 + b3505 >= 0 e31488: b3500 - b3501 + b3502 >= 0 e31489: b3500 - b3501 + b3503 >= 0 e31490: b3500 - b3501 + b3504 >= 0 e31491: b3500 - b3501 + b3505 >= 0 e31492: b3501 - b3502 + b3503 >= 0 e31493: b3501 - b3502 + b3504 >= 0 e31494: b3501 - b3502 + b3505 >= 0 e31495: b3502 - b3503 + b3504 >= 0 e31496: b3502 - b3503 + b3505 >= 0 e31497: b3503 - b3504 + b3505 >= 0 e31498: b3506 = 0 e31499: b3507 = 0 e31500: b3508 = 0 e31501: b3508 - b3509 + b3510 <= 1 e31502: b3508 - b3509 + b3511 <= 1 e31503: b3508 - b3509 + b3512 <= 1 e31504: b3508 - b3509 + b3513 <= 1 e31505: b3508 - b3509 + b3514 <= 1 e31506: b3508 - b3509 + b3515 <= 1 e31507: b3509 - b3510 + b3511 <= 1 e31508: b3509 - b3510 + b3512 <= 1 e31509: b3509 - b3510 + b3513 <= 1 e31510: b3509 - b3510 + b3514 <= 1 e31511: b3509 - b3510 + b3515 <= 1 e31512: b3509 - b3510 + b3516 <= 1 e31513: b3510 - b3511 + b3512 <= 1 e31514: b3510 - b3511 + b3513 <= 1 e31515: b3510 - b3511 + b3514 <= 1 e31516: b3510 - b3511 + b3515 <= 1 e31517: b3510 - b3511 + b3516 <= 1 e31518: b3510 - b3511 + b3517 <= 1 e31519: b3511 - b3512 + b3513 <= 1 e31520: b3511 - b3512 + b3514 <= 1 e31521: b3511 - b3512 + b3515 <= 1 e31522: b3511 - b3512 + b3516 <= 1 e31523: b3511 - b3512 + b3517 <= 1 e31524: b3511 - b3512 + b3518 <= 1 e31525: b3512 - b3513 + b3514 <= 1 e31526: b3512 - b3513 + b3515 <= 1 e31527: b3512 - b3513 + b3516 <= 1 e31528: b3512 - b3513 + b3517 <= 1 e31529: b3512 - b3513 + b3518 <= 1 e31530: b3512 - b3513 + b3519 <= 1 e31531: b3513 - b3514 + b3515 <= 1 e31532: b3513 - b3514 + b3516 <= 1 e31533: b3513 - b3514 + b3517 <= 1 e31534: b3513 - b3514 + b3518 <= 1 e31535: b3513 - b3514 + b3519 <= 1 e31536: b3513 - b3514 + b3520 <= 1 e31537: b3514 - b3515 + b3516 <= 1 e31538: b3514 - b3515 + b3517 <= 1 e31539: b3514 - b3515 + b3518 <= 1 e31540: b3514 - b3515 + b3519 <= 1 e31541: b3514 - b3515 + b3520 <= 1 e31542: b3514 - b3515 + b3521 <= 1 e31543: b3515 - b3516 + b3517 <= 1 e31544: b3515 - b3516 + b3518 <= 1 e31545: b3515 - b3516 + b3519 <= 1 e31546: b3515 - b3516 + b3520 <= 1 e31547: b3515 - b3516 + b3521 <= 1 e31548: b3515 - b3516 + b3522 <= 1 e31549: b3516 - b3517 + b3518 <= 1 e31550: b3516 - b3517 + b3519 <= 1 e31551: b3516 - b3517 + b3520 <= 1 e31552: b3516 - b3517 + b3521 <= 1 e31553: b3516 - b3517 + b3522 <= 1 e31554: b3516 - b3517 + b3523 <= 1 e31555: b3517 - b3518 + b3519 <= 1 e31556: b3517 - b3518 + b3520 <= 1 e31557: b3517 - b3518 + b3521 <= 1 e31558: b3517 - b3518 + b3522 <= 1 e31559: b3517 - b3518 + b3523 <= 1 e31560: b3517 - b3518 + b3524 <= 1 e31561: b3518 - b3519 + b3520 <= 1 e31562: b3518 - b3519 + b3521 <= 1 e31563: b3518 - b3519 + b3522 <= 1 e31564: b3518 - b3519 + b3523 <= 1 e31565: b3518 - b3519 + b3524 <= 1 e31566: b3518 - b3519 + b3525 <= 1 e31567: b3519 - b3520 + b3521 <= 1 e31568: b3519 - b3520 + b3522 <= 1 e31569: b3519 - b3520 + b3523 <= 1 e31570: b3519 - b3520 + b3524 <= 1 e31571: b3519 - b3520 + b3525 <= 1 e31572: b3519 - b3520 + b3526 <= 1 e31573: b3520 - b3521 + b3522 <= 1 e31574: b3520 - b3521 + b3523 <= 1 e31575: b3520 - b3521 + b3524 <= 1 e31576: b3520 - b3521 + b3525 <= 1 e31577: b3520 - b3521 + b3526 <= 1 e31578: b3520 - b3521 + b3527 <= 1 e31579: b3521 - b3522 + b3523 <= 1 e31580: b3521 - b3522 + b3524 <= 1 e31581: b3521 - b3522 + b3525 <= 1 e31582: b3521 - b3522 + b3526 <= 1 e31583: b3521 - b3522 + b3527 <= 1 e31584: b3521 - b3522 + b3528 <= 1 e31585: b3522 - b3523 + b3524 <= 1 e31586: b3522 - b3523 + b3525 <= 1 e31587: b3522 - b3523 + b3526 <= 1 e31588: b3522 - b3523 + b3527 <= 1 e31589: b3522 - b3523 + b3528 <= 1 e31590: b3522 - b3523 + b3529 <= 1 e31591: b3523 - b3524 + b3525 <= 1 e31592: b3523 - b3524 + b3526 <= 1 e31593: b3523 - b3524 + b3527 <= 1 e31594: b3523 - b3524 + b3528 <= 1 e31595: b3523 - b3524 + b3529 <= 1 e31596: b3524 - b3525 + b3526 <= 1 e31597: b3524 - b3525 + b3527 <= 1 e31598: b3524 - b3525 + b3528 <= 1 e31599: b3524 - b3525 + b3529 <= 1 e31600: b3525 - b3526 + b3527 <= 1 e31601: b3525 - b3526 + b3528 <= 1 e31602: b3525 - b3526 + b3529 <= 1 e31603: b3526 - b3527 + b3528 <= 1 e31604: b3526 - b3527 + b3529 <= 1 e31605: b3527 - b3528 + b3529 <= 1 e31606: b3508 - b3509 + b3510 >= 0 e31607: b3508 - b3509 + b3511 >= 0 e31608: b3508 - b3509 + b3512 >= 0 e31609: b3508 - b3509 + b3513 >= 0 e31610: b3508 - b3509 + b3514 >= 0 e31611: b3508 - b3509 + b3515 >= 0 e31612: b3508 - b3509 + b3516 >= 0 e31613: b3509 - b3510 + b3511 >= 0 e31614: b3509 - b3510 + b3512 >= 0 e31615: b3509 - b3510 + b3513 >= 0 e31616: b3509 - b3510 + b3514 >= 0 e31617: b3509 - b3510 + b3515 >= 0 e31618: b3509 - b3510 + b3516 >= 0 e31619: b3509 - b3510 + b3517 >= 0 e31620: b3510 - b3511 + b3512 >= 0 e31621: b3510 - b3511 + b3513 >= 0 e31622: b3510 - b3511 + b3514 >= 0 e31623: b3510 - b3511 + b3515 >= 0 e31624: b3510 - b3511 + b3516 >= 0 e31625: b3510 - b3511 + b3517 >= 0 e31626: b3510 - b3511 + b3518 >= 0 e31627: b3511 - b3512 + b3513 >= 0 e31628: b3511 - b3512 + b3514 >= 0 e31629: b3511 - b3512 + b3515 >= 0 e31630: b3511 - b3512 + b3516 >= 0 e31631: b3511 - b3512 + b3517 >= 0 e31632: b3511 - b3512 + b3518 >= 0 e31633: b3511 - b3512 + b3519 >= 0 e31634: b3512 - b3513 + b3514 >= 0 e31635: b3512 - b3513 + b3515 >= 0 e31636: b3512 - b3513 + b3516 >= 0 e31637: b3512 - b3513 + b3517 >= 0 e31638: b3512 - b3513 + b3518 >= 0 e31639: b3512 - b3513 + b3519 >= 0 e31640: b3512 - b3513 + b3520 >= 0 e31641: b3513 - b3514 + b3515 >= 0 e31642: b3513 - b3514 + b3516 >= 0 e31643: b3513 - b3514 + b3517 >= 0 e31644: b3513 - b3514 + b3518 >= 0 e31645: b3513 - b3514 + b3519 >= 0 e31646: b3513 - b3514 + b3520 >= 0 e31647: b3513 - b3514 + b3521 >= 0 e31648: b3514 - b3515 + b3516 >= 0 e31649: b3514 - b3515 + b3517 >= 0 e31650: b3514 - b3515 + b3518 >= 0 e31651: b3514 - b3515 + b3519 >= 0 e31652: b3514 - b3515 + b3520 >= 0 e31653: b3514 - b3515 + b3521 >= 0 e31654: b3514 - b3515 + b3522 >= 0 e31655: b3515 - b3516 + b3517 >= 0 e31656: b3515 - b3516 + b3518 >= 0 e31657: b3515 - b3516 + b3519 >= 0 e31658: b3515 - b3516 + b3520 >= 0 e31659: b3515 - b3516 + b3521 >= 0 e31660: b3515 - b3516 + b3522 >= 0 e31661: b3515 - b3516 + b3523 >= 0 e31662: b3516 - b3517 + b3518 >= 0 e31663: b3516 - b3517 + b3519 >= 0 e31664: b3516 - b3517 + b3520 >= 0 e31665: b3516 - b3517 + b3521 >= 0 e31666: b3516 - b3517 + b3522 >= 0 e31667: b3516 - b3517 + b3523 >= 0 e31668: b3516 - b3517 + b3524 >= 0 e31669: b3517 - b3518 + b3519 >= 0 e31670: b3517 - b3518 + b3520 >= 0 e31671: b3517 - b3518 + b3521 >= 0 e31672: b3517 - b3518 + b3522 >= 0 e31673: b3517 - b3518 + b3523 >= 0 e31674: b3517 - b3518 + b3524 >= 0 e31675: b3517 - b3518 + b3525 >= 0 e31676: b3518 - b3519 + b3520 >= 0 e31677: b3518 - b3519 + b3521 >= 0 e31678: b3518 - b3519 + b3522 >= 0 e31679: b3518 - b3519 + b3523 >= 0 e31680: b3518 - b3519 + b3524 >= 0 e31681: b3518 - b3519 + b3525 >= 0 e31682: b3518 - b3519 + b3526 >= 0 e31683: b3519 - b3520 + b3521 >= 0 e31684: b3519 - b3520 + b3522 >= 0 e31685: b3519 - b3520 + b3523 >= 0 e31686: b3519 - b3520 + b3524 >= 0 e31687: b3519 - b3520 + b3525 >= 0 e31688: b3519 - b3520 + b3526 >= 0 e31689: b3519 - b3520 + b3527 >= 0 e31690: b3520 - b3521 + b3522 >= 0 e31691: b3520 - b3521 + b3523 >= 0 e31692: b3520 - b3521 + b3524 >= 0 e31693: b3520 - b3521 + b3525 >= 0 e31694: b3520 - b3521 + b3526 >= 0 e31695: b3520 - b3521 + b3527 >= 0 e31696: b3520 - b3521 + b3528 >= 0 e31697: b3521 - b3522 + b3523 >= 0 e31698: b3521 - b3522 + b3524 >= 0 e31699: b3521 - b3522 + b3525 >= 0 e31700: b3521 - b3522 + b3526 >= 0 e31701: b3521 - b3522 + b3527 >= 0 e31702: b3521 - b3522 + b3528 >= 0 e31703: b3521 - b3522 + b3529 >= 0 e31704: b3522 - b3523 + b3524 >= 0 e31705: b3522 - b3523 + b3525 >= 0 e31706: b3522 - b3523 + b3526 >= 0 e31707: b3522 - b3523 + b3527 >= 0 e31708: b3522 - b3523 + b3528 >= 0 e31709: b3522 - b3523 + b3529 >= 0 e31710: b3523 - b3524 + b3525 >= 0 e31711: b3523 - b3524 + b3526 >= 0 e31712: b3523 - b3524 + b3527 >= 0 e31713: b3523 - b3524 + b3528 >= 0 e31714: b3523 - b3524 + b3529 >= 0 e31715: b3524 - b3525 + b3526 >= 0 e31716: b3524 - b3525 + b3527 >= 0 e31717: b3524 - b3525 + b3528 >= 0 e31718: b3524 - b3525 + b3529 >= 0 e31719: b3525 - b3526 + b3527 >= 0 e31720: b3525 - b3526 + b3528 >= 0 e31721: b3525 - b3526 + b3529 >= 0 e31722: b3526 - b3527 + b3528 >= 0 e31723: b3526 - b3527 + b3529 >= 0 e31724: b3527 - b3528 + b3529 >= 0 e31725: b3530 = 0 e31726: b3531 = 0 e31727: b3531 - b3532 + b3533 <= 1 e31728: b3531 - b3532 + b3534 <= 1 e31729: b3531 - b3532 + b3535 <= 1 e31730: b3531 - b3532 + b3536 <= 1 e31731: b3531 - b3532 + b3537 <= 1 e31732: b3531 - b3532 + b3538 <= 1 e31733: b3532 - b3533 + b3534 <= 1 e31734: b3532 - b3533 + b3535 <= 1 e31735: b3532 - b3533 + b3536 <= 1 e31736: b3532 - b3533 + b3537 <= 1 e31737: b3532 - b3533 + b3538 <= 1 e31738: b3532 - b3533 + b3539 <= 1 e31739: b3533 - b3534 + b3535 <= 1 e31740: b3533 - b3534 + b3536 <= 1 e31741: b3533 - b3534 + b3537 <= 1 e31742: b3533 - b3534 + b3538 <= 1 e31743: b3533 - b3534 + b3539 <= 1 e31744: b3533 - b3534 + b3540 <= 1 e31745: b3534 - b3535 + b3536 <= 1 e31746: b3534 - b3535 + b3537 <= 1 e31747: b3534 - b3535 + b3538 <= 1 e31748: b3534 - b3535 + b3539 <= 1 e31749: b3534 - b3535 + b3540 <= 1 e31750: b3534 - b3535 + b3541 <= 1 e31751: b3535 - b3536 + b3537 <= 1 e31752: b3535 - b3536 + b3538 <= 1 e31753: b3535 - b3536 + b3539 <= 1 e31754: b3535 - b3536 + b3540 <= 1 e31755: b3535 - b3536 + b3541 <= 1 e31756: b3535 - b3536 + b3542 <= 1 e31757: b3536 - b3537 + b3538 <= 1 e31758: b3536 - b3537 + b3539 <= 1 e31759: b3536 - b3537 + b3540 <= 1 e31760: b3536 - b3537 + b3541 <= 1 e31761: b3536 - b3537 + b3542 <= 1 e31762: b3536 - b3537 + b3543 <= 1 e31763: b3537 - b3538 + b3539 <= 1 e31764: b3537 - b3538 + b3540 <= 1 e31765: b3537 - b3538 + b3541 <= 1 e31766: b3537 - b3538 + b3542 <= 1 e31767: b3537 - b3538 + b3543 <= 1 e31768: b3537 - b3538 + b3544 <= 1 e31769: b3538 - b3539 + b3540 <= 1 e31770: b3538 - b3539 + b3541 <= 1 e31771: b3538 - b3539 + b3542 <= 1 e31772: b3538 - b3539 + b3543 <= 1 e31773: b3538 - b3539 + b3544 <= 1 e31774: b3538 - b3539 + b3545 <= 1 e31775: b3539 - b3540 + b3541 <= 1 e31776: b3539 - b3540 + b3542 <= 1 e31777: b3539 - b3540 + b3543 <= 1 e31778: b3539 - b3540 + b3544 <= 1 e31779: b3539 - b3540 + b3545 <= 1 e31780: b3539 - b3540 + b3546 <= 1 e31781: b3540 - b3541 + b3542 <= 1 e31782: b3540 - b3541 + b3543 <= 1 e31783: b3540 - b3541 + b3544 <= 1 e31784: b3540 - b3541 + b3545 <= 1 e31785: b3540 - b3541 + b3546 <= 1 e31786: b3540 - b3541 + b3547 <= 1 e31787: b3541 - b3542 + b3543 <= 1 e31788: b3541 - b3542 + b3544 <= 1 e31789: b3541 - b3542 + b3545 <= 1 e31790: b3541 - b3542 + b3546 <= 1 e31791: b3541 - b3542 + b3547 <= 1 e31792: b3541 - b3542 + b3548 <= 1 e31793: b3542 - b3543 + b3544 <= 1 e31794: b3542 - b3543 + b3545 <= 1 e31795: b3542 - b3543 + b3546 <= 1 e31796: b3542 - b3543 + b3547 <= 1 e31797: b3542 - b3543 + b3548 <= 1 e31798: b3542 - b3543 + b3549 <= 1 e31799: b3543 - b3544 + b3545 <= 1 e31800: b3543 - b3544 + b3546 <= 1 e31801: b3543 - b3544 + b3547 <= 1 e31802: b3543 - b3544 + b3548 <= 1 e31803: b3543 - b3544 + b3549 <= 1 e31804: b3543 - b3544 + b3550 <= 1 e31805: b3544 - b3545 + b3546 <= 1 e31806: b3544 - b3545 + b3547 <= 1 e31807: b3544 - b3545 + b3548 <= 1 e31808: b3544 - b3545 + b3549 <= 1 e31809: b3544 - b3545 + b3550 <= 1 e31810: b3544 - b3545 + b3551 <= 1 e31811: b3545 - b3546 + b3547 <= 1 e31812: b3545 - b3546 + b3548 <= 1 e31813: b3545 - b3546 + b3549 <= 1 e31814: b3545 - b3546 + b3550 <= 1 e31815: b3545 - b3546 + b3551 <= 1 e31816: b3545 - b3546 + b3552 <= 1 e31817: b3546 - b3547 + b3548 <= 1 e31818: b3546 - b3547 + b3549 <= 1 e31819: b3546 - b3547 + b3550 <= 1 e31820: b3546 - b3547 + b3551 <= 1 e31821: b3546 - b3547 + b3552 <= 1 e31822: b3546 - b3547 + b3553 <= 1 e31823: b3547 - b3548 + b3549 <= 1 e31824: b3547 - b3548 + b3550 <= 1 e31825: b3547 - b3548 + b3551 <= 1 e31826: b3547 - b3548 + b3552 <= 1 e31827: b3547 - b3548 + b3553 <= 1 e31828: b3548 - b3549 + b3550 <= 1 e31829: b3548 - b3549 + b3551 <= 1 e31830: b3548 - b3549 + b3552 <= 1 e31831: b3548 - b3549 + b3553 <= 1 e31832: b3549 - b3550 + b3551 <= 1 e31833: b3549 - b3550 + b3552 <= 1 e31834: b3549 - b3550 + b3553 <= 1 e31835: b3550 - b3551 + b3552 <= 1 e31836: b3550 - b3551 + b3553 <= 1 e31837: b3551 - b3552 + b3553 <= 1 e31838: b3531 - b3532 + b3533 >= 0 e31839: b3531 - b3532 + b3534 >= 0 e31840: b3531 - b3532 + b3535 >= 0 e31841: b3531 - b3532 + b3536 >= 0 e31842: b3531 - b3532 + b3537 >= 0 e31843: b3531 - b3532 + b3538 >= 0 e31844: b3531 - b3532 + b3539 >= 0 e31845: b3532 - b3533 + b3534 >= 0 e31846: b3532 - b3533 + b3535 >= 0 e31847: b3532 - b3533 + b3536 >= 0 e31848: b3532 - b3533 + b3537 >= 0 e31849: b3532 - b3533 + b3538 >= 0 e31850: b3532 - b3533 + b3539 >= 0 e31851: b3532 - b3533 + b3540 >= 0 e31852: b3533 - b3534 + b3535 >= 0 e31853: b3533 - b3534 + b3536 >= 0 e31854: b3533 - b3534 + b3537 >= 0 e31855: b3533 - b3534 + b3538 >= 0 e31856: b3533 - b3534 + b3539 >= 0 e31857: b3533 - b3534 + b3540 >= 0 e31858: b3533 - b3534 + b3541 >= 0 e31859: b3534 - b3535 + b3536 >= 0 e31860: b3534 - b3535 + b3537 >= 0 e31861: b3534 - b3535 + b3538 >= 0 e31862: b3534 - b3535 + b3539 >= 0 e31863: b3534 - b3535 + b3540 >= 0 e31864: b3534 - b3535 + b3541 >= 0 e31865: b3534 - b3535 + b3542 >= 0 e31866: b3535 - b3536 + b3537 >= 0 e31867: b3535 - b3536 + b3538 >= 0 e31868: b3535 - b3536 + b3539 >= 0 e31869: b3535 - b3536 + b3540 >= 0 e31870: b3535 - b3536 + b3541 >= 0 e31871: b3535 - b3536 + b3542 >= 0 e31872: b3535 - b3536 + b3543 >= 0 e31873: b3536 - b3537 + b3538 >= 0 e31874: b3536 - b3537 + b3539 >= 0 e31875: b3536 - b3537 + b3540 >= 0 e31876: b3536 - b3537 + b3541 >= 0 e31877: b3536 - b3537 + b3542 >= 0 e31878: b3536 - b3537 + b3543 >= 0 e31879: b3536 - b3537 + b3544 >= 0 e31880: b3537 - b3538 + b3539 >= 0 e31881: b3537 - b3538 + b3540 >= 0 e31882: b3537 - b3538 + b3541 >= 0 e31883: b3537 - b3538 + b3542 >= 0 e31884: b3537 - b3538 + b3543 >= 0 e31885: b3537 - b3538 + b3544 >= 0 e31886: b3537 - b3538 + b3545 >= 0 e31887: b3538 - b3539 + b3540 >= 0 e31888: b3538 - b3539 + b3541 >= 0 e31889: b3538 - b3539 + b3542 >= 0 e31890: b3538 - b3539 + b3543 >= 0 e31891: b3538 - b3539 + b3544 >= 0 e31892: b3538 - b3539 + b3545 >= 0 e31893: b3538 - b3539 + b3546 >= 0 e31894: b3539 - b3540 + b3541 >= 0 e31895: b3539 - b3540 + b3542 >= 0 e31896: b3539 - b3540 + b3543 >= 0 e31897: b3539 - b3540 + b3544 >= 0 e31898: b3539 - b3540 + b3545 >= 0 e31899: b3539 - b3540 + b3546 >= 0 e31900: b3539 - b3540 + b3547 >= 0 e31901: b3540 - b3541 + b3542 >= 0 e31902: b3540 - b3541 + b3543 >= 0 e31903: b3540 - b3541 + b3544 >= 0 e31904: b3540 - b3541 + b3545 >= 0 e31905: b3540 - b3541 + b3546 >= 0 e31906: b3540 - b3541 + b3547 >= 0 e31907: b3540 - b3541 + b3548 >= 0 e31908: b3541 - b3542 + b3543 >= 0 e31909: b3541 - b3542 + b3544 >= 0 e31910: b3541 - b3542 + b3545 >= 0 e31911: b3541 - b3542 + b3546 >= 0 e31912: b3541 - b3542 + b3547 >= 0 e31913: b3541 - b3542 + b3548 >= 0 e31914: b3541 - b3542 + b3549 >= 0 e31915: b3542 - b3543 + b3544 >= 0 e31916: b3542 - b3543 + b3545 >= 0 e31917: b3542 - b3543 + b3546 >= 0 e31918: b3542 - b3543 + b3547 >= 0 e31919: b3542 - b3543 + b3548 >= 0 e31920: b3542 - b3543 + b3549 >= 0 e31921: b3542 - b3543 + b3550 >= 0 e31922: b3543 - b3544 + b3545 >= 0 e31923: b3543 - b3544 + b3546 >= 0 e31924: b3543 - b3544 + b3547 >= 0 e31925: b3543 - b3544 + b3548 >= 0 e31926: b3543 - b3544 + b3549 >= 0 e31927: b3543 - b3544 + b3550 >= 0 e31928: b3543 - b3544 + b3551 >= 0 e31929: b3544 - b3545 + b3546 >= 0 e31930: b3544 - b3545 + b3547 >= 0 e31931: b3544 - b3545 + b3548 >= 0 e31932: b3544 - b3545 + b3549 >= 0 e31933: b3544 - b3545 + b3550 >= 0 e31934: b3544 - b3545 + b3551 >= 0 e31935: b3544 - b3545 + b3552 >= 0 e31936: b3545 - b3546 + b3547 >= 0 e31937: b3545 - b3546 + b3548 >= 0 e31938: b3545 - b3546 + b3549 >= 0 e31939: b3545 - b3546 + b3550 >= 0 e31940: b3545 - b3546 + b3551 >= 0 e31941: b3545 - b3546 + b3552 >= 0 e31942: b3545 - b3546 + b3553 >= 0 e31943: b3546 - b3547 + b3548 >= 0 e31944: b3546 - b3547 + b3549 >= 0 e31945: b3546 - b3547 + b3550 >= 0 e31946: b3546 - b3547 + b3551 >= 0 e31947: b3546 - b3547 + b3552 >= 0 e31948: b3546 - b3547 + b3553 >= 0 e31949: b3547 - b3548 + b3549 >= 0 e31950: b3547 - b3548 + b3550 >= 0 e31951: b3547 - b3548 + b3551 >= 0 e31952: b3547 - b3548 + b3552 >= 0 e31953: b3547 - b3548 + b3553 >= 0 e31954: b3548 - b3549 + b3550 >= 0 e31955: b3548 - b3549 + b3551 >= 0 e31956: b3548 - b3549 + b3552 >= 0 e31957: b3548 - b3549 + b3553 >= 0 e31958: b3549 - b3550 + b3551 >= 0 e31959: b3549 - b3550 + b3552 >= 0 e31960: b3549 - b3550 + b3553 >= 0 e31961: b3550 - b3551 + b3552 >= 0 e31962: b3550 - b3551 + b3553 >= 0 e31963: b3551 - b3552 + b3553 >= 0 e31964: b3554 = 0 e31965: b3555 = 0 e31966: b3556 = 0 e31967: b3557 = 0 e31968: b3558 = 0 e31969: b3559 = 0 e31970: b3560 = 0 e31971: b3560 - b3561 + b3562 <= 1 e31972: b3560 - b3561 + b3563 <= 1 e31973: b3560 - b3561 + b3564 <= 1 e31974: b3560 - b3561 + b3565 <= 1 e31975: b3560 - b3561 + b3566 <= 1 e31976: b3560 - b3561 + b3567 <= 1 e31977: b3560 - b3561 + b3568 <= 1 e31978: b3561 - b3562 + b3563 <= 1 e31979: b3561 - b3562 + b3564 <= 1 e31980: b3561 - b3562 + b3565 <= 1 e31981: b3561 - b3562 + b3566 <= 1 e31982: b3561 - b3562 + b3567 <= 1 e31983: b3561 - b3562 + b3568 <= 1 e31984: b3561 - b3562 + b3569 <= 1 e31985: b3562 - b3563 + b3564 <= 1 e31986: b3562 - b3563 + b3565 <= 1 e31987: b3562 - b3563 + b3566 <= 1 e31988: b3562 - b3563 + b3567 <= 1 e31989: b3562 - b3563 + b3568 <= 1 e31990: b3562 - b3563 + b3569 <= 1 e31991: b3562 - b3563 + b3570 <= 1 e31992: b3563 - b3564 + b3565 <= 1 e31993: b3563 - b3564 + b3566 <= 1 e31994: b3563 - b3564 + b3567 <= 1 e31995: b3563 - b3564 + b3568 <= 1 e31996: b3563 - b3564 + b3569 <= 1 e31997: b3563 - b3564 + b3570 <= 1 e31998: b3563 - b3564 + b3571 <= 1 e31999: b3564 - b3565 + b3566 <= 1 e32000: b3564 - b3565 + b3567 <= 1 e32001: b3564 - b3565 + b3568 <= 1 e32002: b3564 - b3565 + b3569 <= 1 e32003: b3564 - b3565 + b3570 <= 1 e32004: b3564 - b3565 + b3571 <= 1 e32005: b3564 - b3565 + b3572 <= 1 e32006: b3565 - b3566 + b3567 <= 1 e32007: b3565 - b3566 + b3568 <= 1 e32008: b3565 - b3566 + b3569 <= 1 e32009: b3565 - b3566 + b3570 <= 1 e32010: b3565 - b3566 + b3571 <= 1 e32011: b3565 - b3566 + b3572 <= 1 e32012: b3565 - b3566 + b3573 <= 1 e32013: b3566 - b3567 + b3568 <= 1 e32014: b3566 - b3567 + b3569 <= 1 e32015: b3566 - b3567 + b3570 <= 1 e32016: b3566 - b3567 + b3571 <= 1 e32017: b3566 - b3567 + b3572 <= 1 e32018: b3566 - b3567 + b3573 <= 1 e32019: b3566 - b3567 + b3574 <= 1 e32020: b3567 - b3568 + b3569 <= 1 e32021: b3567 - b3568 + b3570 <= 1 e32022: b3567 - b3568 + b3571 <= 1 e32023: b3567 - b3568 + b3572 <= 1 e32024: b3567 - b3568 + b3573 <= 1 e32025: b3567 - b3568 + b3574 <= 1 e32026: b3567 - b3568 + b3575 <= 1 e32027: b3568 - b3569 + b3570 <= 1 e32028: b3568 - b3569 + b3571 <= 1 e32029: b3568 - b3569 + b3572 <= 1 e32030: b3568 - b3569 + b3573 <= 1 e32031: b3568 - b3569 + b3574 <= 1 e32032: b3568 - b3569 + b3575 <= 1 e32033: b3568 - b3569 + b3576 <= 1 e32034: b3569 - b3570 + b3571 <= 1 e32035: b3569 - b3570 + b3572 <= 1 e32036: b3569 - b3570 + b3573 <= 1 e32037: b3569 - b3570 + b3574 <= 1 e32038: b3569 - b3570 + b3575 <= 1 e32039: b3569 - b3570 + b3576 <= 1 e32040: b3569 - b3570 + b3577 <= 1 e32041: b3570 - b3571 + b3572 <= 1 e32042: b3570 - b3571 + b3573 <= 1 e32043: b3570 - b3571 + b3574 <= 1 e32044: b3570 - b3571 + b3575 <= 1 e32045: b3570 - b3571 + b3576 <= 1 e32046: b3570 - b3571 + b3577 <= 1 e32047: b3571 - b3572 + b3573 <= 1 e32048: b3571 - b3572 + b3574 <= 1 e32049: b3571 - b3572 + b3575 <= 1 e32050: b3571 - b3572 + b3576 <= 1 e32051: b3571 - b3572 + b3577 <= 1 e32052: b3572 - b3573 + b3574 <= 1 e32053: b3572 - b3573 + b3575 <= 1 e32054: b3572 - b3573 + b3576 <= 1 e32055: b3572 - b3573 + b3577 <= 1 e32056: b3573 - b3574 + b3575 <= 1 e32057: b3573 - b3574 + b3576 <= 1 e32058: b3573 - b3574 + b3577 <= 1 e32059: b3574 - b3575 + b3576 <= 1 e32060: b3574 - b3575 + b3577 <= 1 e32061: b3575 - b3576 + b3577 <= 1 e32062: b3560 - b3561 + b3562 >= 0 e32063: b3560 - b3561 + b3563 >= 0 e32064: b3560 - b3561 + b3564 >= 0 e32065: b3560 - b3561 + b3565 >= 0 e32066: b3560 - b3561 + b3566 >= 0 e32067: b3560 - b3561 + b3567 >= 0 e32068: b3561 - b3562 + b3563 >= 0 e32069: b3561 - b3562 + b3564 >= 0 e32070: b3561 - b3562 + b3565 >= 0 e32071: b3561 - b3562 + b3566 >= 0 e32072: b3561 - b3562 + b3567 >= 0 e32073: b3561 - b3562 + b3568 >= 0 e32074: b3562 - b3563 + b3564 >= 0 e32075: b3562 - b3563 + b3565 >= 0 e32076: b3562 - b3563 + b3566 >= 0 e32077: b3562 - b3563 + b3567 >= 0 e32078: b3562 - b3563 + b3568 >= 0 e32079: b3562 - b3563 + b3569 >= 0 e32080: b3563 - b3564 + b3565 >= 0 e32081: b3563 - b3564 + b3566 >= 0 e32082: b3563 - b3564 + b3567 >= 0 e32083: b3563 - b3564 + b3568 >= 0 e32084: b3563 - b3564 + b3569 >= 0 e32085: b3563 - b3564 + b3570 >= 0 e32086: b3564 - b3565 + b3566 >= 0 e32087: b3564 - b3565 + b3567 >= 0 e32088: b3564 - b3565 + b3568 >= 0 e32089: b3564 - b3565 + b3569 >= 0 e32090: b3564 - b3565 + b3570 >= 0 e32091: b3564 - b3565 + b3571 >= 0 e32092: b3565 - b3566 + b3567 >= 0 e32093: b3565 - b3566 + b3568 >= 0 e32094: b3565 - b3566 + b3569 >= 0 e32095: b3565 - b3566 + b3570 >= 0 e32096: b3565 - b3566 + b3571 >= 0 e32097: b3565 - b3566 + b3572 >= 0 e32098: b3566 - b3567 + b3568 >= 0 e32099: b3566 - b3567 + b3569 >= 0 e32100: b3566 - b3567 + b3570 >= 0 e32101: b3566 - b3567 + b3571 >= 0 e32102: b3566 - b3567 + b3572 >= 0 e32103: b3566 - b3567 + b3573 >= 0 e32104: b3567 - b3568 + b3569 >= 0 e32105: b3567 - b3568 + b3570 >= 0 e32106: b3567 - b3568 + b3571 >= 0 e32107: b3567 - b3568 + b3572 >= 0 e32108: b3567 - b3568 + b3573 >= 0 e32109: b3567 - b3568 + b3574 >= 0 e32110: b3568 - b3569 + b3570 >= 0 e32111: b3568 - b3569 + b3571 >= 0 e32112: b3568 - b3569 + b3572 >= 0 e32113: b3568 - b3569 + b3573 >= 0 e32114: b3568 - b3569 + b3574 >= 0 e32115: b3568 - b3569 + b3575 >= 0 e32116: b3569 - b3570 + b3571 >= 0 e32117: b3569 - b3570 + b3572 >= 0 e32118: b3569 - b3570 + b3573 >= 0 e32119: b3569 - b3570 + b3574 >= 0 e32120: b3569 - b3570 + b3575 >= 0 e32121: b3569 - b3570 + b3576 >= 0 e32122: b3570 - b3571 + b3572 >= 0 e32123: b3570 - b3571 + b3573 >= 0 e32124: b3570 - b3571 + b3574 >= 0 e32125: b3570 - b3571 + b3575 >= 0 e32126: b3570 - b3571 + b3576 >= 0 e32127: b3570 - b3571 + b3577 >= 0 e32128: b3571 - b3572 + b3573 >= 0 e32129: b3571 - b3572 + b3574 >= 0 e32130: b3571 - b3572 + b3575 >= 0 e32131: b3571 - b3572 + b3576 >= 0 e32132: b3571 - b3572 + b3577 >= 0 e32133: b3572 - b3573 + b3574 >= 0 e32134: b3572 - b3573 + b3575 >= 0 e32135: b3572 - b3573 + b3576 >= 0 e32136: b3572 - b3573 + b3577 >= 0 e32137: b3573 - b3574 + b3575 >= 0 e32138: b3573 - b3574 + b3576 >= 0 e32139: b3573 - b3574 + b3577 >= 0 e32140: b3574 - b3575 + b3576 >= 0 e32141: b3574 - b3575 + b3577 >= 0 e32142: b3575 - b3576 + b3577 >= 0 e32143: b3578 = 1 e32144: b3579 = 1 e32145: b3580 = 1 e32146: b3581 = 1 e32147: b3582 = 1 e32148: b3582 - b3583 + b3584 <= 1 e32149: b3582 - b3583 + b3585 <= 1 e32150: b3582 - b3583 + b3586 <= 1 e32151: b3582 - b3583 + b3587 <= 1 e32152: b3582 - b3583 + b3588 <= 1 e32153: b3582 - b3583 + b3589 <= 1 e32154: b3583 - b3584 + b3585 <= 1 e32155: b3583 - b3584 + b3586 <= 1 e32156: b3583 - b3584 + b3587 <= 1 e32157: b3583 - b3584 + b3588 <= 1 e32158: b3583 - b3584 + b3589 <= 1 e32159: b3583 - b3584 + b3590 <= 1 e32160: b3584 - b3585 + b3586 <= 1 e32161: b3584 - b3585 + b3587 <= 1 e32162: b3584 - b3585 + b3588 <= 1 e32163: b3584 - b3585 + b3589 <= 1 e32164: b3584 - b3585 + b3590 <= 1 e32165: b3584 - b3585 + b3591 <= 1 e32166: b3585 - b3586 + b3587 <= 1 e32167: b3585 - b3586 + b3588 <= 1 e32168: b3585 - b3586 + b3589 <= 1 e32169: b3585 - b3586 + b3590 <= 1 e32170: b3585 - b3586 + b3591 <= 1 e32171: b3585 - b3586 + b3592 <= 1 e32172: b3586 - b3587 + b3588 <= 1 e32173: b3586 - b3587 + b3589 <= 1 e32174: b3586 - b3587 + b3590 <= 1 e32175: b3586 - b3587 + b3591 <= 1 e32176: b3586 - b3587 + b3592 <= 1 e32177: b3586 - b3587 + b3593 <= 1 e32178: b3587 - b3588 + b3589 <= 1 e32179: b3587 - b3588 + b3590 <= 1 e32180: b3587 - b3588 + b3591 <= 1 e32181: b3587 - b3588 + b3592 <= 1 e32182: b3587 - b3588 + b3593 <= 1 e32183: b3587 - b3588 + b3594 <= 1 e32184: b3588 - b3589 + b3590 <= 1 e32185: b3588 - b3589 + b3591 <= 1 e32186: b3588 - b3589 + b3592 <= 1 e32187: b3588 - b3589 + b3593 <= 1 e32188: b3588 - b3589 + b3594 <= 1 e32189: b3588 - b3589 + b3595 <= 1 e32190: b3589 - b3590 + b3591 <= 1 e32191: b3589 - b3590 + b3592 <= 1 e32192: b3589 - b3590 + b3593 <= 1 e32193: b3589 - b3590 + b3594 <= 1 e32194: b3589 - b3590 + b3595 <= 1 e32195: b3589 - b3590 + b3596 <= 1 e32196: b3590 - b3591 + b3592 <= 1 e32197: b3590 - b3591 + b3593 <= 1 e32198: b3590 - b3591 + b3594 <= 1 e32199: b3590 - b3591 + b3595 <= 1 e32200: b3590 - b3591 + b3596 <= 1 e32201: b3590 - b3591 + b3597 <= 1 e32202: b3591 - b3592 + b3593 <= 1 e32203: b3591 - b3592 + b3594 <= 1 e32204: b3591 - b3592 + b3595 <= 1 e32205: b3591 - b3592 + b3596 <= 1 e32206: b3591 - b3592 + b3597 <= 1 e32207: b3591 - b3592 + b3598 <= 1 e32208: b3592 - b3593 + b3594 <= 1 e32209: b3592 - b3593 + b3595 <= 1 e32210: b3592 - b3593 + b3596 <= 1 e32211: b3592 - b3593 + b3597 <= 1 e32212: b3592 - b3593 + b3598 <= 1 e32213: b3592 - b3593 + b3599 <= 1 e32214: b3593 - b3594 + b3595 <= 1 e32215: b3593 - b3594 + b3596 <= 1 e32216: b3593 - b3594 + b3597 <= 1 e32217: b3593 - b3594 + b3598 <= 1 e32218: b3593 - b3594 + b3599 <= 1 e32219: b3593 - b3594 + b3600 <= 1 e32220: b3594 - b3595 + b3596 <= 1 e32221: b3594 - b3595 + b3597 <= 1 e32222: b3594 - b3595 + b3598 <= 1 e32223: b3594 - b3595 + b3599 <= 1 e32224: b3594 - b3595 + b3600 <= 1 e32225: b3594 - b3595 + b3601 <= 1 e32226: b3595 - b3596 + b3597 <= 1 e32227: b3595 - b3596 + b3598 <= 1 e32228: b3595 - b3596 + b3599 <= 1 e32229: b3595 - b3596 + b3600 <= 1 e32230: b3595 - b3596 + b3601 <= 1 e32231: b3596 - b3597 + b3598 <= 1 e32232: b3596 - b3597 + b3599 <= 1 e32233: b3596 - b3597 + b3600 <= 1 e32234: b3596 - b3597 + b3601 <= 1 e32235: b3597 - b3598 + b3599 <= 1 e32236: b3597 - b3598 + b3600 <= 1 e32237: b3597 - b3598 + b3601 <= 1 e32238: b3598 - b3599 + b3600 <= 1 e32239: b3598 - b3599 + b3601 <= 1 e32240: b3599 - b3600 + b3601 <= 1 e32241: b3582 - b3583 + b3584 >= 0 e32242: b3582 - b3583 + b3585 >= 0 e32243: b3582 - b3583 + b3586 >= 0 e32244: b3582 - b3583 + b3587 >= 0 e32245: b3582 - b3583 + b3588 >= 0 e32246: b3582 - b3583 + b3589 >= 0 e32247: b3582 - b3583 + b3590 >= 0 e32248: b3583 - b3584 + b3585 >= 0 e32249: b3583 - b3584 + b3586 >= 0 e32250: b3583 - b3584 + b3587 >= 0 e32251: b3583 - b3584 + b3588 >= 0 e32252: b3583 - b3584 + b3589 >= 0 e32253: b3583 - b3584 + b3590 >= 0 e32254: b3583 - b3584 + b3591 >= 0 e32255: b3584 - b3585 + b3586 >= 0 e32256: b3584 - b3585 + b3587 >= 0 e32257: b3584 - b3585 + b3588 >= 0 e32258: b3584 - b3585 + b3589 >= 0 e32259: b3584 - b3585 + b3590 >= 0 e32260: b3584 - b3585 + b3591 >= 0 e32261: b3584 - b3585 + b3592 >= 0 e32262: b3585 - b3586 + b3587 >= 0 e32263: b3585 - b3586 + b3588 >= 0 e32264: b3585 - b3586 + b3589 >= 0 e32265: b3585 - b3586 + b3590 >= 0 e32266: b3585 - b3586 + b3591 >= 0 e32267: b3585 - b3586 + b3592 >= 0 e32268: b3585 - b3586 + b3593 >= 0 e32269: b3586 - b3587 + b3588 >= 0 e32270: b3586 - b3587 + b3589 >= 0 e32271: b3586 - b3587 + b3590 >= 0 e32272: b3586 - b3587 + b3591 >= 0 e32273: b3586 - b3587 + b3592 >= 0 e32274: b3586 - b3587 + b3593 >= 0 e32275: b3586 - b3587 + b3594 >= 0 e32276: b3587 - b3588 + b3589 >= 0 e32277: b3587 - b3588 + b3590 >= 0 e32278: b3587 - b3588 + b3591 >= 0 e32279: b3587 - b3588 + b3592 >= 0 e32280: b3587 - b3588 + b3593 >= 0 e32281: b3587 - b3588 + b3594 >= 0 e32282: b3587 - b3588 + b3595 >= 0 e32283: b3588 - b3589 + b3590 >= 0 e32284: b3588 - b3589 + b3591 >= 0 e32285: b3588 - b3589 + b3592 >= 0 e32286: b3588 - b3589 + b3593 >= 0 e32287: b3588 - b3589 + b3594 >= 0 e32288: b3588 - b3589 + b3595 >= 0 e32289: b3588 - b3589 + b3596 >= 0 e32290: b3589 - b3590 + b3591 >= 0 e32291: b3589 - b3590 + b3592 >= 0 e32292: b3589 - b3590 + b3593 >= 0 e32293: b3589 - b3590 + b3594 >= 0 e32294: b3589 - b3590 + b3595 >= 0 e32295: b3589 - b3590 + b3596 >= 0 e32296: b3589 - b3590 + b3597 >= 0 e32297: b3590 - b3591 + b3592 >= 0 e32298: b3590 - b3591 + b3593 >= 0 e32299: b3590 - b3591 + b3594 >= 0 e32300: b3590 - b3591 + b3595 >= 0 e32301: b3590 - b3591 + b3596 >= 0 e32302: b3590 - b3591 + b3597 >= 0 e32303: b3590 - b3591 + b3598 >= 0 e32304: b3591 - b3592 + b3593 >= 0 e32305: b3591 - b3592 + b3594 >= 0 e32306: b3591 - b3592 + b3595 >= 0 e32307: b3591 - b3592 + b3596 >= 0 e32308: b3591 - b3592 + b3597 >= 0 e32309: b3591 - b3592 + b3598 >= 0 e32310: b3591 - b3592 + b3599 >= 0 e32311: b3592 - b3593 + b3594 >= 0 e32312: b3592 - b3593 + b3595 >= 0 e32313: b3592 - b3593 + b3596 >= 0 e32314: b3592 - b3593 + b3597 >= 0 e32315: b3592 - b3593 + b3598 >= 0 e32316: b3592 - b3593 + b3599 >= 0 e32317: b3592 - b3593 + b3600 >= 0 e32318: b3593 - b3594 + b3595 >= 0 e32319: b3593 - b3594 + b3596 >= 0 e32320: b3593 - b3594 + b3597 >= 0 e32321: b3593 - b3594 + b3598 >= 0 e32322: b3593 - b3594 + b3599 >= 0 e32323: b3593 - b3594 + b3600 >= 0 e32324: b3593 - b3594 + b3601 >= 0 e32325: b3594 - b3595 + b3596 >= 0 e32326: b3594 - b3595 + b3597 >= 0 e32327: b3594 - b3595 + b3598 >= 0 e32328: b3594 - b3595 + b3599 >= 0 e32329: b3594 - b3595 + b3600 >= 0 e32330: b3594 - b3595 + b3601 >= 0 e32331: b3595 - b3596 + b3597 >= 0 e32332: b3595 - b3596 + b3598 >= 0 e32333: b3595 - b3596 + b3599 >= 0 e32334: b3595 - b3596 + b3600 >= 0 e32335: b3595 - b3596 + b3601 >= 0 e32336: b3596 - b3597 + b3598 >= 0 e32337: b3596 - b3597 + b3599 >= 0 e32338: b3596 - b3597 + b3600 >= 0 e32339: b3596 - b3597 + b3601 >= 0 e32340: b3597 - b3598 + b3599 >= 0 e32341: b3597 - b3598 + b3600 >= 0 e32342: b3597 - b3598 + b3601 >= 0 e32343: b3598 - b3599 + b3600 >= 0 e32344: b3598 - b3599 + b3601 >= 0 e32345: b3599 - b3600 + b3601 >= 0 e32346: b3602 = 0 e32347: b3603 = 0 e32348: b3604 = 0 e32349: b3605 = 0 e32350: b3605 - b3606 + b3607 <= 1 e32351: b3605 - b3606 + b3608 <= 1 e32352: b3605 - b3606 + b3609 <= 1 e32353: b3605 - b3606 + b3610 <= 1 e32354: b3605 - b3606 + b3611 <= 1 e32355: b3605 - b3606 + b3612 <= 1 e32356: b3606 - b3607 + b3608 <= 1 e32357: b3606 - b3607 + b3609 <= 1 e32358: b3606 - b3607 + b3610 <= 1 e32359: b3606 - b3607 + b3611 <= 1 e32360: b3606 - b3607 + b3612 <= 1 e32361: b3606 - b3607 + b3613 <= 1 e32362: b3607 - b3608 + b3609 <= 1 e32363: b3607 - b3608 + b3610 <= 1 e32364: b3607 - b3608 + b3611 <= 1 e32365: b3607 - b3608 + b3612 <= 1 e32366: b3607 - b3608 + b3613 <= 1 e32367: b3607 - b3608 + b3614 <= 1 e32368: b3608 - b3609 + b3610 <= 1 e32369: b3608 - b3609 + b3611 <= 1 e32370: b3608 - b3609 + b3612 <= 1 e32371: b3608 - b3609 + b3613 <= 1 e32372: b3608 - b3609 + b3614 <= 1 e32373: b3608 - b3609 + b3615 <= 1 e32374: b3609 - b3610 + b3611 <= 1 e32375: b3609 - b3610 + b3612 <= 1 e32376: b3609 - b3610 + b3613 <= 1 e32377: b3609 - b3610 + b3614 <= 1 e32378: b3609 - b3610 + b3615 <= 1 e32379: b3609 - b3610 + b3616 <= 1 e32380: b3610 - b3611 + b3612 <= 1 e32381: b3610 - b3611 + b3613 <= 1 e32382: b3610 - b3611 + b3614 <= 1 e32383: b3610 - b3611 + b3615 <= 1 e32384: b3610 - b3611 + b3616 <= 1 e32385: b3610 - b3611 + b3617 <= 1 e32386: b3611 - b3612 + b3613 <= 1 e32387: b3611 - b3612 + b3614 <= 1 e32388: b3611 - b3612 + b3615 <= 1 e32389: b3611 - b3612 + b3616 <= 1 e32390: b3611 - b3612 + b3617 <= 1 e32391: b3611 - b3612 + b3618 <= 1 e32392: b3612 - b3613 + b3614 <= 1 e32393: b3612 - b3613 + b3615 <= 1 e32394: b3612 - b3613 + b3616 <= 1 e32395: b3612 - b3613 + b3617 <= 1 e32396: b3612 - b3613 + b3618 <= 1 e32397: b3612 - b3613 + b3619 <= 1 e32398: b3613 - b3614 + b3615 <= 1 e32399: b3613 - b3614 + b3616 <= 1 e32400: b3613 - b3614 + b3617 <= 1 e32401: b3613 - b3614 + b3618 <= 1 e32402: b3613 - b3614 + b3619 <= 1 e32403: b3613 - b3614 + b3620 <= 1 e32404: b3614 - b3615 + b3616 <= 1 e32405: b3614 - b3615 + b3617 <= 1 e32406: b3614 - b3615 + b3618 <= 1 e32407: b3614 - b3615 + b3619 <= 1 e32408: b3614 - b3615 + b3620 <= 1 e32409: b3614 - b3615 + b3621 <= 1 e32410: b3615 - b3616 + b3617 <= 1 e32411: b3615 - b3616 + b3618 <= 1 e32412: b3615 - b3616 + b3619 <= 1 e32413: b3615 - b3616 + b3620 <= 1 e32414: b3615 - b3616 + b3621 <= 1 e32415: b3615 - b3616 + b3622 <= 1 e32416: b3616 - b3617 + b3618 <= 1 e32417: b3616 - b3617 + b3619 <= 1 e32418: b3616 - b3617 + b3620 <= 1 e32419: b3616 - b3617 + b3621 <= 1 e32420: b3616 - b3617 + b3622 <= 1 e32421: b3616 - b3617 + b3623 <= 1 e32422: b3617 - b3618 + b3619 <= 1 e32423: b3617 - b3618 + b3620 <= 1 e32424: b3617 - b3618 + b3621 <= 1 e32425: b3617 - b3618 + b3622 <= 1 e32426: b3617 - b3618 + b3623 <= 1 e32427: b3617 - b3618 + b3624 <= 1 e32428: b3618 - b3619 + b3620 <= 1 e32429: b3618 - b3619 + b3621 <= 1 e32430: b3618 - b3619 + b3622 <= 1 e32431: b3618 - b3619 + b3623 <= 1 e32432: b3618 - b3619 + b3624 <= 1 e32433: b3618 - b3619 + b3625 <= 1 e32434: b3619 - b3620 + b3621 <= 1 e32435: b3619 - b3620 + b3622 <= 1 e32436: b3619 - b3620 + b3623 <= 1 e32437: b3619 - b3620 + b3624 <= 1 e32438: b3619 - b3620 + b3625 <= 1 e32439: b3620 - b3621 + b3622 <= 1 e32440: b3620 - b3621 + b3623 <= 1 e32441: b3620 - b3621 + b3624 <= 1 e32442: b3620 - b3621 + b3625 <= 1 e32443: b3621 - b3622 + b3623 <= 1 e32444: b3621 - b3622 + b3624 <= 1 e32445: b3621 - b3622 + b3625 <= 1 e32446: b3622 - b3623 + b3624 <= 1 e32447: b3622 - b3623 + b3625 <= 1 e32448: b3623 - b3624 + b3625 <= 1 e32449: b3605 - b3606 + b3607 >= 0 e32450: b3605 - b3606 + b3608 >= 0 e32451: b3605 - b3606 + b3609 >= 0 e32452: b3605 - b3606 + b3610 >= 0 e32453: b3605 - b3606 + b3611 >= 0 e32454: b3605 - b3606 + b3612 >= 0 e32455: b3605 - b3606 + b3613 >= 0 e32456: b3606 - b3607 + b3608 >= 0 e32457: b3606 - b3607 + b3609 >= 0 e32458: b3606 - b3607 + b3610 >= 0 e32459: b3606 - b3607 + b3611 >= 0 e32460: b3606 - b3607 + b3612 >= 0 e32461: b3606 - b3607 + b3613 >= 0 e32462: b3606 - b3607 + b3614 >= 0 e32463: b3607 - b3608 + b3609 >= 0 e32464: b3607 - b3608 + b3610 >= 0 e32465: b3607 - b3608 + b3611 >= 0 e32466: b3607 - b3608 + b3612 >= 0 e32467: b3607 - b3608 + b3613 >= 0 e32468: b3607 - b3608 + b3614 >= 0 e32469: b3607 - b3608 + b3615 >= 0 e32470: b3608 - b3609 + b3610 >= 0 e32471: b3608 - b3609 + b3611 >= 0 e32472: b3608 - b3609 + b3612 >= 0 e32473: b3608 - b3609 + b3613 >= 0 e32474: b3608 - b3609 + b3614 >= 0 e32475: b3608 - b3609 + b3615 >= 0 e32476: b3608 - b3609 + b3616 >= 0 e32477: b3609 - b3610 + b3611 >= 0 e32478: b3609 - b3610 + b3612 >= 0 e32479: b3609 - b3610 + b3613 >= 0 e32480: b3609 - b3610 + b3614 >= 0 e32481: b3609 - b3610 + b3615 >= 0 e32482: b3609 - b3610 + b3616 >= 0 e32483: b3609 - b3610 + b3617 >= 0 e32484: b3610 - b3611 + b3612 >= 0 e32485: b3610 - b3611 + b3613 >= 0 e32486: b3610 - b3611 + b3614 >= 0 e32487: b3610 - b3611 + b3615 >= 0 e32488: b3610 - b3611 + b3616 >= 0 e32489: b3610 - b3611 + b3617 >= 0 e32490: b3610 - b3611 + b3618 >= 0 e32491: b3611 - b3612 + b3613 >= 0 e32492: b3611 - b3612 + b3614 >= 0 e32493: b3611 - b3612 + b3615 >= 0 e32494: b3611 - b3612 + b3616 >= 0 e32495: b3611 - b3612 + b3617 >= 0 e32496: b3611 - b3612 + b3618 >= 0 e32497: b3611 - b3612 + b3619 >= 0 e32498: b3612 - b3613 + b3614 >= 0 e32499: b3612 - b3613 + b3615 >= 0 e32500: b3612 - b3613 + b3616 >= 0 e32501: b3612 - b3613 + b3617 >= 0 e32502: b3612 - b3613 + b3618 >= 0 e32503: b3612 - b3613 + b3619 >= 0 e32504: b3612 - b3613 + b3620 >= 0 e32505: b3613 - b3614 + b3615 >= 0 e32506: b3613 - b3614 + b3616 >= 0 e32507: b3613 - b3614 + b3617 >= 0 e32508: b3613 - b3614 + b3618 >= 0 e32509: b3613 - b3614 + b3619 >= 0 e32510: b3613 - b3614 + b3620 >= 0 e32511: b3613 - b3614 + b3621 >= 0 e32512: b3614 - b3615 + b3616 >= 0 e32513: b3614 - b3615 + b3617 >= 0 e32514: b3614 - b3615 + b3618 >= 0 e32515: b3614 - b3615 + b3619 >= 0 e32516: b3614 - b3615 + b3620 >= 0 e32517: b3614 - b3615 + b3621 >= 0 e32518: b3614 - b3615 + b3622 >= 0 e32519: b3615 - b3616 + b3617 >= 0 e32520: b3615 - b3616 + b3618 >= 0 e32521: b3615 - b3616 + b3619 >= 0 e32522: b3615 - b3616 + b3620 >= 0 e32523: b3615 - b3616 + b3621 >= 0 e32524: b3615 - b3616 + b3622 >= 0 e32525: b3615 - b3616 + b3623 >= 0 e32526: b3616 - b3617 + b3618 >= 0 e32527: b3616 - b3617 + b3619 >= 0 e32528: b3616 - b3617 + b3620 >= 0 e32529: b3616 - b3617 + b3621 >= 0 e32530: b3616 - b3617 + b3622 >= 0 e32531: b3616 - b3617 + b3623 >= 0 e32532: b3616 - b3617 + b3624 >= 0 e32533: b3617 - b3618 + b3619 >= 0 e32534: b3617 - b3618 + b3620 >= 0 e32535: b3617 - b3618 + b3621 >= 0 e32536: b3617 - b3618 + b3622 >= 0 e32537: b3617 - b3618 + b3623 >= 0 e32538: b3617 - b3618 + b3624 >= 0 e32539: b3617 - b3618 + b3625 >= 0 e32540: b3618 - b3619 + b3620 >= 0 e32541: b3618 - b3619 + b3621 >= 0 e32542: b3618 - b3619 + b3622 >= 0 e32543: b3618 - b3619 + b3623 >= 0 e32544: b3618 - b3619 + b3624 >= 0 e32545: b3618 - b3619 + b3625 >= 0 e32546: b3619 - b3620 + b3621 >= 0 e32547: b3619 - b3620 + b3622 >= 0 e32548: b3619 - b3620 + b3623 >= 0 e32549: b3619 - b3620 + b3624 >= 0 e32550: b3619 - b3620 + b3625 >= 0 e32551: b3620 - b3621 + b3622 >= 0 e32552: b3620 - b3621 + b3623 >= 0 e32553: b3620 - b3621 + b3624 >= 0 e32554: b3620 - b3621 + b3625 >= 0 e32555: b3621 - b3622 + b3623 >= 0 e32556: b3621 - b3622 + b3624 >= 0 e32557: b3621 - b3622 + b3625 >= 0 e32558: b3622 - b3623 + b3624 >= 0 e32559: b3622 - b3623 + b3625 >= 0 e32560: b3623 - b3624 + b3625 >= 0 e32561: b3626 = 1 e32562: b3627 = 1 e32563: b3628 = 1 e32564: b3628 - b3629 + b3630 <= 1 e32565: b3628 - b3629 + b3631 <= 1 e32566: b3628 - b3629 + b3632 <= 1 e32567: b3628 - b3629 + b3633 <= 1 e32568: b3628 - b3629 + b3634 <= 1 e32569: b3628 - b3629 + b3635 <= 1 e32570: b3629 - b3630 + b3631 <= 1 e32571: b3629 - b3630 + b3632 <= 1 e32572: b3629 - b3630 + b3633 <= 1 e32573: b3629 - b3630 + b3634 <= 1 e32574: b3629 - b3630 + b3635 <= 1 e32575: b3629 - b3630 + b3636 <= 1 e32576: b3630 - b3631 + b3632 <= 1 e32577: b3630 - b3631 + b3633 <= 1 e32578: b3630 - b3631 + b3634 <= 1 e32579: b3630 - b3631 + b3635 <= 1 e32580: b3630 - b3631 + b3636 <= 1 e32581: b3630 - b3631 + b3637 <= 1 e32582: b3631 - b3632 + b3633 <= 1 e32583: b3631 - b3632 + b3634 <= 1 e32584: b3631 - b3632 + b3635 <= 1 e32585: b3631 - b3632 + b3636 <= 1 e32586: b3631 - b3632 + b3637 <= 1 e32587: b3631 - b3632 + b3638 <= 1 e32588: b3632 - b3633 + b3634 <= 1 e32589: b3632 - b3633 + b3635 <= 1 e32590: b3632 - b3633 + b3636 <= 1 e32591: b3632 - b3633 + b3637 <= 1 e32592: b3632 - b3633 + b3638 <= 1 e32593: b3632 - b3633 + b3639 <= 1 e32594: b3633 - b3634 + b3635 <= 1 e32595: b3633 - b3634 + b3636 <= 1 e32596: b3633 - b3634 + b3637 <= 1 e32597: b3633 - b3634 + b3638 <= 1 e32598: b3633 - b3634 + b3639 <= 1 e32599: b3633 - b3634 + b3640 <= 1 e32600: b3634 - b3635 + b3636 <= 1 e32601: b3634 - b3635 + b3637 <= 1 e32602: b3634 - b3635 + b3638 <= 1 e32603: b3634 - b3635 + b3639 <= 1 e32604: b3634 - b3635 + b3640 <= 1 e32605: b3634 - b3635 + b3641 <= 1 e32606: b3635 - b3636 + b3637 <= 1 e32607: b3635 - b3636 + b3638 <= 1 e32608: b3635 - b3636 + b3639 <= 1 e32609: b3635 - b3636 + b3640 <= 1 e32610: b3635 - b3636 + b3641 <= 1 e32611: b3635 - b3636 + b3642 <= 1 e32612: b3636 - b3637 + b3638 <= 1 e32613: b3636 - b3637 + b3639 <= 1 e32614: b3636 - b3637 + b3640 <= 1 e32615: b3636 - b3637 + b3641 <= 1 e32616: b3636 - b3637 + b3642 <= 1 e32617: b3636 - b3637 + b3643 <= 1 e32618: b3637 - b3638 + b3639 <= 1 e32619: b3637 - b3638 + b3640 <= 1 e32620: b3637 - b3638 + b3641 <= 1 e32621: b3637 - b3638 + b3642 <= 1 e32622: b3637 - b3638 + b3643 <= 1 e32623: b3637 - b3638 + b3644 <= 1 e32624: b3638 - b3639 + b3640 <= 1 e32625: b3638 - b3639 + b3641 <= 1 e32626: b3638 - b3639 + b3642 <= 1 e32627: b3638 - b3639 + b3643 <= 1 e32628: b3638 - b3639 + b3644 <= 1 e32629: b3638 - b3639 + b3645 <= 1 e32630: b3639 - b3640 + b3641 <= 1 e32631: b3639 - b3640 + b3642 <= 1 e32632: b3639 - b3640 + b3643 <= 1 e32633: b3639 - b3640 + b3644 <= 1 e32634: b3639 - b3640 + b3645 <= 1 e32635: b3639 - b3640 + b3646 <= 1 e32636: b3640 - b3641 + b3642 <= 1 e32637: b3640 - b3641 + b3643 <= 1 e32638: b3640 - b3641 + b3644 <= 1 e32639: b3640 - b3641 + b3645 <= 1 e32640: b3640 - b3641 + b3646 <= 1 e32641: b3640 - b3641 + b3647 <= 1 e32642: b3641 - b3642 + b3643 <= 1 e32643: b3641 - b3642 + b3644 <= 1 e32644: b3641 - b3642 + b3645 <= 1 e32645: b3641 - b3642 + b3646 <= 1 e32646: b3641 - b3642 + b3647 <= 1 e32647: b3641 - b3642 + b3648 <= 1 e32648: b3642 - b3643 + b3644 <= 1 e32649: b3642 - b3643 + b3645 <= 1 e32650: b3642 - b3643 + b3646 <= 1 e32651: b3642 - b3643 + b3647 <= 1 e32652: b3642 - b3643 + b3648 <= 1 e32653: b3642 - b3643 + b3649 <= 1 e32654: b3643 - b3644 + b3645 <= 1 e32655: b3643 - b3644 + b3646 <= 1 e32656: b3643 - b3644 + b3647 <= 1 e32657: b3643 - b3644 + b3648 <= 1 e32658: b3643 - b3644 + b3649 <= 1 e32659: b3644 - b3645 + b3646 <= 1 e32660: b3644 - b3645 + b3647 <= 1 e32661: b3644 - b3645 + b3648 <= 1 e32662: b3644 - b3645 + b3649 <= 1 e32663: b3645 - b3646 + b3647 <= 1 e32664: b3645 - b3646 + b3648 <= 1 e32665: b3645 - b3646 + b3649 <= 1 e32666: b3646 - b3647 + b3648 <= 1 e32667: b3646 - b3647 + b3649 <= 1 e32668: b3647 - b3648 + b3649 <= 1 e32669: b3628 - b3629 + b3630 >= 0 e32670: b3628 - b3629 + b3631 >= 0 e32671: b3628 - b3629 + b3632 >= 0 e32672: b3628 - b3629 + b3633 >= 0 e32673: b3628 - b3629 + b3634 >= 0 e32674: b3628 - b3629 + b3635 >= 0 e32675: b3628 - b3629 + b3636 >= 0 e32676: b3629 - b3630 + b3631 >= 0 e32677: b3629 - b3630 + b3632 >= 0 e32678: b3629 - b3630 + b3633 >= 0 e32679: b3629 - b3630 + b3634 >= 0 e32680: b3629 - b3630 + b3635 >= 0 e32681: b3629 - b3630 + b3636 >= 0 e32682: b3629 - b3630 + b3637 >= 0 e32683: b3630 - b3631 + b3632 >= 0 e32684: b3630 - b3631 + b3633 >= 0 e32685: b3630 - b3631 + b3634 >= 0 e32686: b3630 - b3631 + b3635 >= 0 e32687: b3630 - b3631 + b3636 >= 0 e32688: b3630 - b3631 + b3637 >= 0 e32689: b3630 - b3631 + b3638 >= 0 e32690: b3631 - b3632 + b3633 >= 0 e32691: b3631 - b3632 + b3634 >= 0 e32692: b3631 - b3632 + b3635 >= 0 e32693: b3631 - b3632 + b3636 >= 0 e32694: b3631 - b3632 + b3637 >= 0 e32695: b3631 - b3632 + b3638 >= 0 e32696: b3631 - b3632 + b3639 >= 0 e32697: b3632 - b3633 + b3634 >= 0 e32698: b3632 - b3633 + b3635 >= 0 e32699: b3632 - b3633 + b3636 >= 0 e32700: b3632 - b3633 + b3637 >= 0 e32701: b3632 - b3633 + b3638 >= 0 e32702: b3632 - b3633 + b3639 >= 0 e32703: b3632 - b3633 + b3640 >= 0 e32704: b3633 - b3634 + b3635 >= 0 e32705: b3633 - b3634 + b3636 >= 0 e32706: b3633 - b3634 + b3637 >= 0 e32707: b3633 - b3634 + b3638 >= 0 e32708: b3633 - b3634 + b3639 >= 0 e32709: b3633 - b3634 + b3640 >= 0 e32710: b3633 - b3634 + b3641 >= 0 e32711: b3634 - b3635 + b3636 >= 0 e32712: b3634 - b3635 + b3637 >= 0 e32713: b3634 - b3635 + b3638 >= 0 e32714: b3634 - b3635 + b3639 >= 0 e32715: b3634 - b3635 + b3640 >= 0 e32716: b3634 - b3635 + b3641 >= 0 e32717: b3634 - b3635 + b3642 >= 0 e32718: b3635 - b3636 + b3637 >= 0 e32719: b3635 - b3636 + b3638 >= 0 e32720: b3635 - b3636 + b3639 >= 0 e32721: b3635 - b3636 + b3640 >= 0 e32722: b3635 - b3636 + b3641 >= 0 e32723: b3635 - b3636 + b3642 >= 0 e32724: b3635 - b3636 + b3643 >= 0 e32725: b3636 - b3637 + b3638 >= 0 e32726: b3636 - b3637 + b3639 >= 0 e32727: b3636 - b3637 + b3640 >= 0 e32728: b3636 - b3637 + b3641 >= 0 e32729: b3636 - b3637 + b3642 >= 0 e32730: b3636 - b3637 + b3643 >= 0 e32731: b3636 - b3637 + b3644 >= 0 e32732: b3637 - b3638 + b3639 >= 0 e32733: b3637 - b3638 + b3640 >= 0 e32734: b3637 - b3638 + b3641 >= 0 e32735: b3637 - b3638 + b3642 >= 0 e32736: b3637 - b3638 + b3643 >= 0 e32737: b3637 - b3638 + b3644 >= 0 e32738: b3637 - b3638 + b3645 >= 0 e32739: b3638 - b3639 + b3640 >= 0 e32740: b3638 - b3639 + b3641 >= 0 e32741: b3638 - b3639 + b3642 >= 0 e32742: b3638 - b3639 + b3643 >= 0 e32743: b3638 - b3639 + b3644 >= 0 e32744: b3638 - b3639 + b3645 >= 0 e32745: b3638 - b3639 + b3646 >= 0 e32746: b3639 - b3640 + b3641 >= 0 e32747: b3639 - b3640 + b3642 >= 0 e32748: b3639 - b3640 + b3643 >= 0 e32749: b3639 - b3640 + b3644 >= 0 e32750: b3639 - b3640 + b3645 >= 0 e32751: b3639 - b3640 + b3646 >= 0 e32752: b3639 - b3640 + b3647 >= 0 e32753: b3640 - b3641 + b3642 >= 0 e32754: b3640 - b3641 + b3643 >= 0 e32755: b3640 - b3641 + b3644 >= 0 e32756: b3640 - b3641 + b3645 >= 0 e32757: b3640 - b3641 + b3646 >= 0 e32758: b3640 - b3641 + b3647 >= 0 e32759: b3640 - b3641 + b3648 >= 0 e32760: b3641 - b3642 + b3643 >= 0 e32761: b3641 - b3642 + b3644 >= 0 e32762: b3641 - b3642 + b3645 >= 0 e32763: b3641 - b3642 + b3646 >= 0 e32764: b3641 - b3642 + b3647 >= 0 e32765: b3641 - b3642 + b3648 >= 0 e32766: b3641 - b3642 + b3649 >= 0 e32767: b3642 - b3643 + b3644 >= 0 e32768: b3642 - b3643 + b3645 >= 0 e32769: b3642 - b3643 + b3646 >= 0 e32770: b3642 - b3643 + b3647 >= 0 e32771: b3642 - b3643 + b3648 >= 0 e32772: b3642 - b3643 + b3649 >= 0 e32773: b3643 - b3644 + b3645 >= 0 e32774: b3643 - b3644 + b3646 >= 0 e32775: b3643 - b3644 + b3647 >= 0 e32776: b3643 - b3644 + b3648 >= 0 e32777: b3643 - b3644 + b3649 >= 0 e32778: b3644 - b3645 + b3646 >= 0 e32779: b3644 - b3645 + b3647 >= 0 e32780: b3644 - b3645 + b3648 >= 0 e32781: b3644 - b3645 + b3649 >= 0 e32782: b3645 - b3646 + b3647 >= 0 e32783: b3645 - b3646 + b3648 >= 0 e32784: b3645 - b3646 + b3649 >= 0 e32785: b3646 - b3647 + b3648 >= 0 e32786: b3646 - b3647 + b3649 >= 0 e32787: b3647 - b3648 + b3649 >= 0 e32788: - b3650 + b3651 + x19280 <= 1 e32789: - b3650 + b3652 + x19280 <= 1 e32790: - b3650 + b3653 + x19280 <= 1 e32791: - b3650 + b3654 + x19280 <= 1 e32792: - b3650 + b3655 + x19280 <= 1 e32793: - b3650 + b3656 + x19280 <= 1 e32794: - b3650 + b3657 + x19280 <= 1 e32795: b3650 - b3651 + b3652 <= 1 e32796: b3650 - b3651 + b3653 <= 1 e32797: b3650 - b3651 + b3654 <= 1 e32798: b3650 - b3651 + b3655 <= 1 e32799: b3650 - b3651 + b3656 <= 1 e32800: b3650 - b3651 + b3657 <= 1 e32801: b3650 - b3651 + b3658 <= 1 e32802: b3651 - b3652 + b3653 <= 1 e32803: b3651 - b3652 + b3654 <= 1 e32804: b3651 - b3652 + b3655 <= 1 e32805: b3651 - b3652 + b3656 <= 1 e32806: b3651 - b3652 + b3657 <= 1 e32807: b3651 - b3652 + b3658 <= 1 e32808: b3651 - b3652 + b3659 <= 1 e32809: b3652 - b3653 + b3654 <= 1 e32810: b3652 - b3653 + b3655 <= 1 e32811: b3652 - b3653 + b3656 <= 1 e32812: b3652 - b3653 + b3657 <= 1 e32813: b3652 - b3653 + b3658 <= 1 e32814: b3652 - b3653 + b3659 <= 1 e32815: b3652 - b3653 + b3660 <= 1 e32816: b3653 - b3654 + b3655 <= 1 e32817: b3653 - b3654 + b3656 <= 1 e32818: b3653 - b3654 + b3657 <= 1 e32819: b3653 - b3654 + b3658 <= 1 e32820: b3653 - b3654 + b3659 <= 1 e32821: b3653 - b3654 + b3660 <= 1 e32822: b3653 - b3654 + b3661 <= 1 e32823: b3654 - b3655 + b3656 <= 1 e32824: b3654 - b3655 + b3657 <= 1 e32825: b3654 - b3655 + b3658 <= 1 e32826: b3654 - b3655 + b3659 <= 1 e32827: b3654 - b3655 + b3660 <= 1 e32828: b3654 - b3655 + b3661 <= 1 e32829: b3654 - b3655 + b3662 <= 1 e32830: b3655 - b3656 + b3657 <= 1 e32831: b3655 - b3656 + b3658 <= 1 e32832: b3655 - b3656 + b3659 <= 1 e32833: b3655 - b3656 + b3660 <= 1 e32834: b3655 - b3656 + b3661 <= 1 e32835: b3655 - b3656 + b3662 <= 1 e32836: b3655 - b3656 + b3663 <= 1 e32837: b3656 - b3657 + b3658 <= 1 e32838: b3656 - b3657 + b3659 <= 1 e32839: b3656 - b3657 + b3660 <= 1 e32840: b3656 - b3657 + b3661 <= 1 e32841: b3656 - b3657 + b3662 <= 1 e32842: b3656 - b3657 + b3663 <= 1 e32843: b3656 - b3657 + b3664 <= 1 e32844: b3657 - b3658 + b3659 <= 1 e32845: b3657 - b3658 + b3660 <= 1 e32846: b3657 - b3658 + b3661 <= 1 e32847: b3657 - b3658 + b3662 <= 1 e32848: b3657 - b3658 + b3663 <= 1 e32849: b3657 - b3658 + b3664 <= 1 e32850: b3657 - b3658 + b3665 <= 1 e32851: b3658 - b3659 + b3660 <= 1 e32852: b3658 - b3659 + b3661 <= 1 e32853: b3658 - b3659 + b3662 <= 1 e32854: b3658 - b3659 + b3663 <= 1 e32855: b3658 - b3659 + b3664 <= 1 e32856: b3658 - b3659 + b3665 <= 1 e32857: b3658 - b3659 + b3666 <= 1 e32858: b3659 - b3660 + b3661 <= 1 e32859: b3659 - b3660 + b3662 <= 1 e32860: b3659 - b3660 + b3663 <= 1 e32861: b3659 - b3660 + b3664 <= 1 e32862: b3659 - b3660 + b3665 <= 1 e32863: b3659 - b3660 + b3666 <= 1 e32864: b3659 - b3660 + b3667 <= 1 e32865: b3660 - b3661 + b3662 <= 1 e32866: b3660 - b3661 + b3663 <= 1 e32867: b3660 - b3661 + b3664 <= 1 e32868: b3660 - b3661 + b3665 <= 1 e32869: b3660 - b3661 + b3666 <= 1 e32870: b3660 - b3661 + b3667 <= 1 e32871: b3660 - b3661 + b3668 <= 1 e32872: b3661 - b3662 + b3663 <= 1 e32873: b3661 - b3662 + b3664 <= 1 e32874: b3661 - b3662 + b3665 <= 1 e32875: b3661 - b3662 + b3666 <= 1 e32876: b3661 - b3662 + b3667 <= 1 e32877: b3661 - b3662 + b3668 <= 1 e32878: b3661 - b3662 + b3669 <= 1 e32879: b3662 - b3663 + b3664 <= 1 e32880: b3662 - b3663 + b3665 <= 1 e32881: b3662 - b3663 + b3666 <= 1 e32882: b3662 - b3663 + b3667 <= 1 e32883: b3662 - b3663 + b3668 <= 1 e32884: b3662 - b3663 + b3669 <= 1 e32885: b3662 - b3663 + b3670 <= 1 e32886: b3663 - b3664 + b3665 <= 1 e32887: b3663 - b3664 + b3666 <= 1 e32888: b3663 - b3664 + b3667 <= 1 e32889: b3663 - b3664 + b3668 <= 1 e32890: b3663 - b3664 + b3669 <= 1 e32891: b3663 - b3664 + b3670 <= 1 e32892: b3663 - b3664 + b3671 <= 1 e32893: b3664 - b3665 + b3666 <= 1 e32894: b3664 - b3665 + b3667 <= 1 e32895: b3664 - b3665 + b3668 <= 1 e32896: b3664 - b3665 + b3669 <= 1 e32897: b3664 - b3665 + b3670 <= 1 e32898: b3664 - b3665 + b3671 <= 1 e32899: b3664 - b3665 + b3672 <= 1 e32900: b3665 - b3666 + b3667 <= 1 e32901: b3665 - b3666 + b3668 <= 1 e32902: b3665 - b3666 + b3669 <= 1 e32903: b3665 - b3666 + b3670 <= 1 e32904: b3665 - b3666 + b3671 <= 1 e32905: b3665 - b3666 + b3672 <= 1 e32906: b3665 - b3666 + b3673 <= 1 e32907: b3666 - b3667 + b3668 <= 1 e32908: b3666 - b3667 + b3669 <= 1 e32909: b3666 - b3667 + b3670 <= 1 e32910: b3666 - b3667 + b3671 <= 1 e32911: b3666 - b3667 + b3672 <= 1 e32912: b3666 - b3667 + b3673 <= 1 e32913: b3667 - b3668 + b3669 <= 1 e32914: b3667 - b3668 + b3670 <= 1 e32915: b3667 - b3668 + b3671 <= 1 e32916: b3667 - b3668 + b3672 <= 1 e32917: b3667 - b3668 + b3673 <= 1 e32918: b3668 - b3669 + b3670 <= 1 e32919: b3668 - b3669 + b3671 <= 1 e32920: b3668 - b3669 + b3672 <= 1 e32921: b3668 - b3669 + b3673 <= 1 e32922: b3669 - b3670 + b3671 <= 1 e32923: b3669 - b3670 + b3672 <= 1 e32924: b3669 - b3670 + b3673 <= 1 e32925: b3670 - b3671 + b3672 <= 1 e32926: b3670 - b3671 + b3673 <= 1 e32927: b3671 - b3672 + b3673 <= 1 e32928: - b3650 + b3651 + x19280 >= 0 e32929: - b3650 + b3652 + x19280 >= 0 e32930: - b3650 + b3653 + x19280 >= 0 e32931: - b3650 + b3654 + x19280 >= 0 e32932: - b3650 + b3655 + x19280 >= 0 e32933: - b3650 + b3656 + x19280 >= 0 e32934: b3650 - b3651 + b3652 >= 0 e32935: b3650 - b3651 + b3653 >= 0 e32936: b3650 - b3651 + b3654 >= 0 e32937: b3650 - b3651 + b3655 >= 0 e32938: b3650 - b3651 + b3656 >= 0 e32939: b3650 - b3651 + b3657 >= 0 e32940: b3651 - b3652 + b3653 >= 0 e32941: b3651 - b3652 + b3654 >= 0 e32942: b3651 - b3652 + b3655 >= 0 e32943: b3651 - b3652 + b3656 >= 0 e32944: b3651 - b3652 + b3657 >= 0 e32945: b3651 - b3652 + b3658 >= 0 e32946: b3652 - b3653 + b3654 >= 0 e32947: b3652 - b3653 + b3655 >= 0 e32948: b3652 - b3653 + b3656 >= 0 e32949: b3652 - b3653 + b3657 >= 0 e32950: b3652 - b3653 + b3658 >= 0 e32951: b3652 - b3653 + b3659 >= 0 e32952: b3653 - b3654 + b3655 >= 0 e32953: b3653 - b3654 + b3656 >= 0 e32954: b3653 - b3654 + b3657 >= 0 e32955: b3653 - b3654 + b3658 >= 0 e32956: b3653 - b3654 + b3659 >= 0 e32957: b3653 - b3654 + b3660 >= 0 e32958: b3654 - b3655 + b3656 >= 0 e32959: b3654 - b3655 + b3657 >= 0 e32960: b3654 - b3655 + b3658 >= 0 e32961: b3654 - b3655 + b3659 >= 0 e32962: b3654 - b3655 + b3660 >= 0 e32963: b3654 - b3655 + b3661 >= 0 e32964: b3655 - b3656 + b3657 >= 0 e32965: b3655 - b3656 + b3658 >= 0 e32966: b3655 - b3656 + b3659 >= 0 e32967: b3655 - b3656 + b3660 >= 0 e32968: b3655 - b3656 + b3661 >= 0 e32969: b3655 - b3656 + b3662 >= 0 e32970: b3656 - b3657 + b3658 >= 0 e32971: b3656 - b3657 + b3659 >= 0 e32972: b3656 - b3657 + b3660 >= 0 e32973: b3656 - b3657 + b3661 >= 0 e32974: b3656 - b3657 + b3662 >= 0 e32975: b3656 - b3657 + b3663 >= 0 e32976: b3657 - b3658 + b3659 >= 0 e32977: b3657 - b3658 + b3660 >= 0 e32978: b3657 - b3658 + b3661 >= 0 e32979: b3657 - b3658 + b3662 >= 0 e32980: b3657 - b3658 + b3663 >= 0 e32981: b3657 - b3658 + b3664 >= 0 e32982: b3658 - b3659 + b3660 >= 0 e32983: b3658 - b3659 + b3661 >= 0 e32984: b3658 - b3659 + b3662 >= 0 e32985: b3658 - b3659 + b3663 >= 0 e32986: b3658 - b3659 + b3664 >= 0 e32987: b3658 - b3659 + b3665 >= 0 e32988: b3659 - b3660 + b3661 >= 0 e32989: b3659 - b3660 + b3662 >= 0 e32990: b3659 - b3660 + b3663 >= 0 e32991: b3659 - b3660 + b3664 >= 0 e32992: b3659 - b3660 + b3665 >= 0 e32993: b3659 - b3660 + b3666 >= 0 e32994: b3660 - b3661 + b3662 >= 0 e32995: b3660 - b3661 + b3663 >= 0 e32996: b3660 - b3661 + b3664 >= 0 e32997: b3660 - b3661 + b3665 >= 0 e32998: b3660 - b3661 + b3666 >= 0 e32999: b3660 - b3661 + b3667 >= 0 e33000: b3661 - b3662 + b3663 >= 0 e33001: b3661 - b3662 + b3664 >= 0 e33002: b3661 - b3662 + b3665 >= 0 e33003: b3661 - b3662 + b3666 >= 0 e33004: b3661 - b3662 + b3667 >= 0 e33005: b3661 - b3662 + b3668 >= 0 e33006: b3662 - b3663 + b3664 >= 0 e33007: b3662 - b3663 + b3665 >= 0 e33008: b3662 - b3663 + b3666 >= 0 e33009: b3662 - b3663 + b3667 >= 0 e33010: b3662 - b3663 + b3668 >= 0 e33011: b3662 - b3663 + b3669 >= 0 e33012: b3663 - b3664 + b3665 >= 0 e33013: b3663 - b3664 + b3666 >= 0 e33014: b3663 - b3664 + b3667 >= 0 e33015: b3663 - b3664 + b3668 >= 0 e33016: b3663 - b3664 + b3669 >= 0 e33017: b3663 - b3664 + b3670 >= 0 e33018: b3664 - b3665 + b3666 >= 0 e33019: b3664 - b3665 + b3667 >= 0 e33020: b3664 - b3665 + b3668 >= 0 e33021: b3664 - b3665 + b3669 >= 0 e33022: b3664 - b3665 + b3670 >= 0 e33023: b3664 - b3665 + b3671 >= 0 e33024: b3665 - b3666 + b3667 >= 0 e33025: b3665 - b3666 + b3668 >= 0 e33026: b3665 - b3666 + b3669 >= 0 e33027: b3665 - b3666 + b3670 >= 0 e33028: b3665 - b3666 + b3671 >= 0 e33029: b3665 - b3666 + b3672 >= 0 e33030: b3666 - b3667 + b3668 >= 0 e33031: b3666 - b3667 + b3669 >= 0 e33032: b3666 - b3667 + b3670 >= 0 e33033: b3666 - b3667 + b3671 >= 0 e33034: b3666 - b3667 + b3672 >= 0 e33035: b3666 - b3667 + b3673 >= 0 e33036: b3667 - b3668 + b3669 >= 0 e33037: b3667 - b3668 + b3670 >= 0 e33038: b3667 - b3668 + b3671 >= 0 e33039: b3667 - b3668 + b3672 >= 0 e33040: b3667 - b3668 + b3673 >= 0 e33041: b3668 - b3669 + b3670 >= 0 e33042: b3668 - b3669 + b3671 >= 0 e33043: b3668 - b3669 + b3672 >= 0 e33044: b3668 - b3669 + b3673 >= 0 e33045: b3669 - b3670 + b3671 >= 0 e33046: b3669 - b3670 + b3672 >= 0 e33047: b3669 - b3670 + b3673 >= 0 e33048: b3670 - b3671 + b3672 >= 0 e33049: b3670 - b3671 + b3673 >= 0 e33050: b3671 - b3672 + b3673 >= 0 e33051: - b3674 + b3675 + x19281 <= 1 e33052: - b3674 + b3676 + x19281 <= 1 e33053: - b3674 + b3677 + x19281 <= 1 e33054: - b3674 + b3678 + x19281 <= 1 e33055: - b3674 + b3679 + x19281 <= 1 e33056: - b3674 + b3680 + x19281 <= 1 e33057: - b3674 + b3681 + x19281 <= 1 e33058: b3674 - b3675 + b3676 <= 1 e33059: b3674 - b3675 + b3677 <= 1 e33060: b3674 - b3675 + b3678 <= 1 e33061: b3674 - b3675 + b3679 <= 1 e33062: b3674 - b3675 + b3680 <= 1 e33063: b3674 - b3675 + b3681 <= 1 e33064: b3674 - b3675 + b3682 <= 1 e33065: b3675 - b3676 + b3677 <= 1 e33066: b3675 - b3676 + b3678 <= 1 e33067: b3675 - b3676 + b3679 <= 1 e33068: b3675 - b3676 + b3680 <= 1 e33069: b3675 - b3676 + b3681 <= 1 e33070: b3675 - b3676 + b3682 <= 1 e33071: b3675 - b3676 + b3683 <= 1 e33072: b3676 - b3677 + b3678 <= 1 e33073: b3676 - b3677 + b3679 <= 1 e33074: b3676 - b3677 + b3680 <= 1 e33075: b3676 - b3677 + b3681 <= 1 e33076: b3676 - b3677 + b3682 <= 1 e33077: b3676 - b3677 + b3683 <= 1 e33078: b3676 - b3677 + b3684 <= 1 e33079: b3677 - b3678 + b3679 <= 1 e33080: b3677 - b3678 + b3680 <= 1 e33081: b3677 - b3678 + b3681 <= 1 e33082: b3677 - b3678 + b3682 <= 1 e33083: b3677 - b3678 + b3683 <= 1 e33084: b3677 - b3678 + b3684 <= 1 e33085: b3677 - b3678 + b3685 <= 1 e33086: b3678 - b3679 + b3680 <= 1 e33087: b3678 - b3679 + b3681 <= 1 e33088: b3678 - b3679 + b3682 <= 1 e33089: b3678 - b3679 + b3683 <= 1 e33090: b3678 - b3679 + b3684 <= 1 e33091: b3678 - b3679 + b3685 <= 1 e33092: b3678 - b3679 + b3686 <= 1 e33093: b3679 - b3680 + b3681 <= 1 e33094: b3679 - b3680 + b3682 <= 1 e33095: b3679 - b3680 + b3683 <= 1 e33096: b3679 - b3680 + b3684 <= 1 e33097: b3679 - b3680 + b3685 <= 1 e33098: b3679 - b3680 + b3686 <= 1 e33099: b3679 - b3680 + b3687 <= 1 e33100: b3680 - b3681 + b3682 <= 1 e33101: b3680 - b3681 + b3683 <= 1 e33102: b3680 - b3681 + b3684 <= 1 e33103: b3680 - b3681 + b3685 <= 1 e33104: b3680 - b3681 + b3686 <= 1 e33105: b3680 - b3681 + b3687 <= 1 e33106: b3680 - b3681 + b3688 <= 1 e33107: b3681 - b3682 + b3683 <= 1 e33108: b3681 - b3682 + b3684 <= 1 e33109: b3681 - b3682 + b3685 <= 1 e33110: b3681 - b3682 + b3686 <= 1 e33111: b3681 - b3682 + b3687 <= 1 e33112: b3681 - b3682 + b3688 <= 1 e33113: b3681 - b3682 + b3689 <= 1 e33114: b3682 - b3683 + b3684 <= 1 e33115: b3682 - b3683 + b3685 <= 1 e33116: b3682 - b3683 + b3686 <= 1 e33117: b3682 - b3683 + b3687 <= 1 e33118: b3682 - b3683 + b3688 <= 1 e33119: b3682 - b3683 + b3689 <= 1 e33120: b3682 - b3683 + b3690 <= 1 e33121: b3683 - b3684 + b3685 <= 1 e33122: b3683 - b3684 + b3686 <= 1 e33123: b3683 - b3684 + b3687 <= 1 e33124: b3683 - b3684 + b3688 <= 1 e33125: b3683 - b3684 + b3689 <= 1 e33126: b3683 - b3684 + b3690 <= 1 e33127: b3683 - b3684 + b3691 <= 1 e33128: b3684 - b3685 + b3686 <= 1 e33129: b3684 - b3685 + b3687 <= 1 e33130: b3684 - b3685 + b3688 <= 1 e33131: b3684 - b3685 + b3689 <= 1 e33132: b3684 - b3685 + b3690 <= 1 e33133: b3684 - b3685 + b3691 <= 1 e33134: b3684 - b3685 + b3692 <= 1 e33135: b3685 - b3686 + b3687 <= 1 e33136: b3685 - b3686 + b3688 <= 1 e33137: b3685 - b3686 + b3689 <= 1 e33138: b3685 - b3686 + b3690 <= 1 e33139: b3685 - b3686 + b3691 <= 1 e33140: b3685 - b3686 + b3692 <= 1 e33141: b3685 - b3686 + b3693 <= 1 e33142: b3686 - b3687 + b3688 <= 1 e33143: b3686 - b3687 + b3689 <= 1 e33144: b3686 - b3687 + b3690 <= 1 e33145: b3686 - b3687 + b3691 <= 1 e33146: b3686 - b3687 + b3692 <= 1 e33147: b3686 - b3687 + b3693 <= 1 e33148: b3686 - b3687 + b3694 <= 1 e33149: b3687 - b3688 + b3689 <= 1 e33150: b3687 - b3688 + b3690 <= 1 e33151: b3687 - b3688 + b3691 <= 1 e33152: b3687 - b3688 + b3692 <= 1 e33153: b3687 - b3688 + b3693 <= 1 e33154: b3687 - b3688 + b3694 <= 1 e33155: b3687 - b3688 + b3695 <= 1 e33156: b3688 - b3689 + b3690 <= 1 e33157: b3688 - b3689 + b3691 <= 1 e33158: b3688 - b3689 + b3692 <= 1 e33159: b3688 - b3689 + b3693 <= 1 e33160: b3688 - b3689 + b3694 <= 1 e33161: b3688 - b3689 + b3695 <= 1 e33162: b3688 - b3689 + b3696 <= 1 e33163: b3689 - b3690 + b3691 <= 1 e33164: b3689 - b3690 + b3692 <= 1 e33165: b3689 - b3690 + b3693 <= 1 e33166: b3689 - b3690 + b3694 <= 1 e33167: b3689 - b3690 + b3695 <= 1 e33168: b3689 - b3690 + b3696 <= 1 e33169: b3689 - b3690 + b3697 <= 1 e33170: b3690 - b3691 + b3692 <= 1 e33171: b3690 - b3691 + b3693 <= 1 e33172: b3690 - b3691 + b3694 <= 1 e33173: b3690 - b3691 + b3695 <= 1 e33174: b3690 - b3691 + b3696 <= 1 e33175: b3690 - b3691 + b3697 <= 1 e33176: b3691 - b3692 + b3693 <= 1 e33177: b3691 - b3692 + b3694 <= 1 e33178: b3691 - b3692 + b3695 <= 1 e33179: b3691 - b3692 + b3696 <= 1 e33180: b3691 - b3692 + b3697 <= 1 e33181: b3692 - b3693 + b3694 <= 1 e33182: b3692 - b3693 + b3695 <= 1 e33183: b3692 - b3693 + b3696 <= 1 e33184: b3692 - b3693 + b3697 <= 1 e33185: b3693 - b3694 + b3695 <= 1 e33186: b3693 - b3694 + b3696 <= 1 e33187: b3693 - b3694 + b3697 <= 1 e33188: b3694 - b3695 + b3696 <= 1 e33189: b3694 - b3695 + b3697 <= 1 e33190: b3695 - b3696 + b3697 <= 1 e33191: - b3674 + b3675 + x19281 >= 0 e33192: - b3674 + b3676 + x19281 >= 0 e33193: - b3674 + b3677 + x19281 >= 0 e33194: - b3674 + b3678 + x19281 >= 0 e33195: - b3674 + b3679 + x19281 >= 0 e33196: - b3674 + b3680 + x19281 >= 0 e33197: b3674 - b3675 + b3676 >= 0 e33198: b3674 - b3675 + b3677 >= 0 e33199: b3674 - b3675 + b3678 >= 0 e33200: b3674 - b3675 + b3679 >= 0 e33201: b3674 - b3675 + b3680 >= 0 e33202: b3674 - b3675 + b3681 >= 0 e33203: b3675 - b3676 + b3677 >= 0 e33204: b3675 - b3676 + b3678 >= 0 e33205: b3675 - b3676 + b3679 >= 0 e33206: b3675 - b3676 + b3680 >= 0 e33207: b3675 - b3676 + b3681 >= 0 e33208: b3675 - b3676 + b3682 >= 0 e33209: b3676 - b3677 + b3678 >= 0 e33210: b3676 - b3677 + b3679 >= 0 e33211: b3676 - b3677 + b3680 >= 0 e33212: b3676 - b3677 + b3681 >= 0 e33213: b3676 - b3677 + b3682 >= 0 e33214: b3676 - b3677 + b3683 >= 0 e33215: b3677 - b3678 + b3679 >= 0 e33216: b3677 - b3678 + b3680 >= 0 e33217: b3677 - b3678 + b3681 >= 0 e33218: b3677 - b3678 + b3682 >= 0 e33219: b3677 - b3678 + b3683 >= 0 e33220: b3677 - b3678 + b3684 >= 0 e33221: b3678 - b3679 + b3680 >= 0 e33222: b3678 - b3679 + b3681 >= 0 e33223: b3678 - b3679 + b3682 >= 0 e33224: b3678 - b3679 + b3683 >= 0 e33225: b3678 - b3679 + b3684 >= 0 e33226: b3678 - b3679 + b3685 >= 0 e33227: b3679 - b3680 + b3681 >= 0 e33228: b3679 - b3680 + b3682 >= 0 e33229: b3679 - b3680 + b3683 >= 0 e33230: b3679 - b3680 + b3684 >= 0 e33231: b3679 - b3680 + b3685 >= 0 e33232: b3679 - b3680 + b3686 >= 0 e33233: b3680 - b3681 + b3682 >= 0 e33234: b3680 - b3681 + b3683 >= 0 e33235: b3680 - b3681 + b3684 >= 0 e33236: b3680 - b3681 + b3685 >= 0 e33237: b3680 - b3681 + b3686 >= 0 e33238: b3680 - b3681 + b3687 >= 0 e33239: b3681 - b3682 + b3683 >= 0 e33240: b3681 - b3682 + b3684 >= 0 e33241: b3681 - b3682 + b3685 >= 0 e33242: b3681 - b3682 + b3686 >= 0 e33243: b3681 - b3682 + b3687 >= 0 e33244: b3681 - b3682 + b3688 >= 0 e33245: b3682 - b3683 + b3684 >= 0 e33246: b3682 - b3683 + b3685 >= 0 e33247: b3682 - b3683 + b3686 >= 0 e33248: b3682 - b3683 + b3687 >= 0 e33249: b3682 - b3683 + b3688 >= 0 e33250: b3682 - b3683 + b3689 >= 0 e33251: b3683 - b3684 + b3685 >= 0 e33252: b3683 - b3684 + b3686 >= 0 e33253: b3683 - b3684 + b3687 >= 0 e33254: b3683 - b3684 + b3688 >= 0 e33255: b3683 - b3684 + b3689 >= 0 e33256: b3683 - b3684 + b3690 >= 0 e33257: b3684 - b3685 + b3686 >= 0 e33258: b3684 - b3685 + b3687 >= 0 e33259: b3684 - b3685 + b3688 >= 0 e33260: b3684 - b3685 + b3689 >= 0 e33261: b3684 - b3685 + b3690 >= 0 e33262: b3684 - b3685 + b3691 >= 0 e33263: b3685 - b3686 + b3687 >= 0 e33264: b3685 - b3686 + b3688 >= 0 e33265: b3685 - b3686 + b3689 >= 0 e33266: b3685 - b3686 + b3690 >= 0 e33267: b3685 - b3686 + b3691 >= 0 e33268: b3685 - b3686 + b3692 >= 0 e33269: b3686 - b3687 + b3688 >= 0 e33270: b3686 - b3687 + b3689 >= 0 e33271: b3686 - b3687 + b3690 >= 0 e33272: b3686 - b3687 + b3691 >= 0 e33273: b3686 - b3687 + b3692 >= 0 e33274: b3686 - b3687 + b3693 >= 0 e33275: b3687 - b3688 + b3689 >= 0 e33276: b3687 - b3688 + b3690 >= 0 e33277: b3687 - b3688 + b3691 >= 0 e33278: b3687 - b3688 + b3692 >= 0 e33279: b3687 - b3688 + b3693 >= 0 e33280: b3687 - b3688 + b3694 >= 0 e33281: b3688 - b3689 + b3690 >= 0 e33282: b3688 - b3689 + b3691 >= 0 e33283: b3688 - b3689 + b3692 >= 0 e33284: b3688 - b3689 + b3693 >= 0 e33285: b3688 - b3689 + b3694 >= 0 e33286: b3688 - b3689 + b3695 >= 0 e33287: b3689 - b3690 + b3691 >= 0 e33288: b3689 - b3690 + b3692 >= 0 e33289: b3689 - b3690 + b3693 >= 0 e33290: b3689 - b3690 + b3694 >= 0 e33291: b3689 - b3690 + b3695 >= 0 e33292: b3689 - b3690 + b3696 >= 0 e33293: b3690 - b3691 + b3692 >= 0 e33294: b3690 - b3691 + b3693 >= 0 e33295: b3690 - b3691 + b3694 >= 0 e33296: b3690 - b3691 + b3695 >= 0 e33297: b3690 - b3691 + b3696 >= 0 e33298: b3690 - b3691 + b3697 >= 0 e33299: b3691 - b3692 + b3693 >= 0 e33300: b3691 - b3692 + b3694 >= 0 e33301: b3691 - b3692 + b3695 >= 0 e33302: b3691 - b3692 + b3696 >= 0 e33303: b3691 - b3692 + b3697 >= 0 e33304: b3692 - b3693 + b3694 >= 0 e33305: b3692 - b3693 + b3695 >= 0 e33306: b3692 - b3693 + b3696 >= 0 e33307: b3692 - b3693 + b3697 >= 0 e33308: b3693 - b3694 + b3695 >= 0 e33309: b3693 - b3694 + b3696 >= 0 e33310: b3693 - b3694 + b3697 >= 0 e33311: b3694 - b3695 + b3696 >= 0 e33312: b3694 - b3695 + b3697 >= 0 e33313: b3695 - b3696 + b3697 >= 0 e33314: b3698 = 0 e33315: b3699 = 0 e33316: b3700 = 0 e33317: b3701 = 0 e33318: b3702 = 0 e33319: b3703 = 0 e33320: b3703 - b3704 + b3705 <= 1 e33321: b3703 - b3704 + b3706 <= 1 e33322: b3703 - b3704 + b3707 <= 1 e33323: b3703 - b3704 + b3708 <= 1 e33324: b3703 - b3704 + b3709 <= 1 e33325: b3703 - b3704 + b3710 <= 1 e33326: b3703 - b3704 + b3711 <= 1 e33327: b3704 - b3705 + b3706 <= 1 e33328: b3704 - b3705 + b3707 <= 1 e33329: b3704 - b3705 + b3708 <= 1 e33330: b3704 - b3705 + b3709 <= 1 e33331: b3704 - b3705 + b3710 <= 1 e33332: b3704 - b3705 + b3711 <= 1 e33333: b3704 - b3705 + b3712 <= 1 e33334: b3705 - b3706 + b3707 <= 1 e33335: b3705 - b3706 + b3708 <= 1 e33336: b3705 - b3706 + b3709 <= 1 e33337: b3705 - b3706 + b3710 <= 1 e33338: b3705 - b3706 + b3711 <= 1 e33339: b3705 - b3706 + b3712 <= 1 e33340: b3705 - b3706 + b3713 <= 1 e33341: b3706 - b3707 + b3708 <= 1 e33342: b3706 - b3707 + b3709 <= 1 e33343: b3706 - b3707 + b3710 <= 1 e33344: b3706 - b3707 + b3711 <= 1 e33345: b3706 - b3707 + b3712 <= 1 e33346: b3706 - b3707 + b3713 <= 1 e33347: b3706 - b3707 + b3714 <= 1 e33348: b3707 - b3708 + b3709 <= 1 e33349: b3707 - b3708 + b3710 <= 1 e33350: b3707 - b3708 + b3711 <= 1 e33351: b3707 - b3708 + b3712 <= 1 e33352: b3707 - b3708 + b3713 <= 1 e33353: b3707 - b3708 + b3714 <= 1 e33354: b3707 - b3708 + b3715 <= 1 e33355: b3708 - b3709 + b3710 <= 1 e33356: b3708 - b3709 + b3711 <= 1 e33357: b3708 - b3709 + b3712 <= 1 e33358: b3708 - b3709 + b3713 <= 1 e33359: b3708 - b3709 + b3714 <= 1 e33360: b3708 - b3709 + b3715 <= 1 e33361: b3708 - b3709 + b3716 <= 1 e33362: b3709 - b3710 + b3711 <= 1 e33363: b3709 - b3710 + b3712 <= 1 e33364: b3709 - b3710 + b3713 <= 1 e33365: b3709 - b3710 + b3714 <= 1 e33366: b3709 - b3710 + b3715 <= 1 e33367: b3709 - b3710 + b3716 <= 1 e33368: b3709 - b3710 + b3717 <= 1 e33369: b3710 - b3711 + b3712 <= 1 e33370: b3710 - b3711 + b3713 <= 1 e33371: b3710 - b3711 + b3714 <= 1 e33372: b3710 - b3711 + b3715 <= 1 e33373: b3710 - b3711 + b3716 <= 1 e33374: b3710 - b3711 + b3717 <= 1 e33375: b3710 - b3711 + b3718 <= 1 e33376: b3711 - b3712 + b3713 <= 1 e33377: b3711 - b3712 + b3714 <= 1 e33378: b3711 - b3712 + b3715 <= 1 e33379: b3711 - b3712 + b3716 <= 1 e33380: b3711 - b3712 + b3717 <= 1 e33381: b3711 - b3712 + b3718 <= 1 e33382: b3711 - b3712 + b3719 <= 1 e33383: b3712 - b3713 + b3714 <= 1 e33384: b3712 - b3713 + b3715 <= 1 e33385: b3712 - b3713 + b3716 <= 1 e33386: b3712 - b3713 + b3717 <= 1 e33387: b3712 - b3713 + b3718 <= 1 e33388: b3712 - b3713 + b3719 <= 1 e33389: b3712 - b3713 + b3720 <= 1 e33390: b3713 - b3714 + b3715 <= 1 e33391: b3713 - b3714 + b3716 <= 1 e33392: b3713 - b3714 + b3717 <= 1 e33393: b3713 - b3714 + b3718 <= 1 e33394: b3713 - b3714 + b3719 <= 1 e33395: b3713 - b3714 + b3720 <= 1 e33396: b3713 - b3714 + b3721 <= 1 e33397: b3714 - b3715 + b3716 <= 1 e33398: b3714 - b3715 + b3717 <= 1 e33399: b3714 - b3715 + b3718 <= 1 e33400: b3714 - b3715 + b3719 <= 1 e33401: b3714 - b3715 + b3720 <= 1 e33402: b3714 - b3715 + b3721 <= 1 e33403: b3715 - b3716 + b3717 <= 1 e33404: b3715 - b3716 + b3718 <= 1 e33405: b3715 - b3716 + b3719 <= 1 e33406: b3715 - b3716 + b3720 <= 1 e33407: b3715 - b3716 + b3721 <= 1 e33408: b3716 - b3717 + b3718 <= 1 e33409: b3716 - b3717 + b3719 <= 1 e33410: b3716 - b3717 + b3720 <= 1 e33411: b3716 - b3717 + b3721 <= 1 e33412: b3717 - b3718 + b3719 <= 1 e33413: b3717 - b3718 + b3720 <= 1 e33414: b3717 - b3718 + b3721 <= 1 e33415: b3718 - b3719 + b3720 <= 1 e33416: b3718 - b3719 + b3721 <= 1 e33417: b3719 - b3720 + b3721 <= 1 e33418: b3703 - b3704 + b3705 >= 0 e33419: b3703 - b3704 + b3706 >= 0 e33420: b3703 - b3704 + b3707 >= 0 e33421: b3703 - b3704 + b3708 >= 0 e33422: b3703 - b3704 + b3709 >= 0 e33423: b3703 - b3704 + b3710 >= 0 e33424: b3703 - b3704 + b3711 >= 0 e33425: b3704 - b3705 + b3706 >= 0 e33426: b3704 - b3705 + b3707 >= 0 e33427: b3704 - b3705 + b3708 >= 0 e33428: b3704 - b3705 + b3709 >= 0 e33429: b3704 - b3705 + b3710 >= 0 e33430: b3704 - b3705 + b3711 >= 0 e33431: b3704 - b3705 + b3712 >= 0 e33432: b3705 - b3706 + b3707 >= 0 e33433: b3705 - b3706 + b3708 >= 0 e33434: b3705 - b3706 + b3709 >= 0 e33435: b3705 - b3706 + b3710 >= 0 e33436: b3705 - b3706 + b3711 >= 0 e33437: b3705 - b3706 + b3712 >= 0 e33438: b3705 - b3706 + b3713 >= 0 e33439: b3706 - b3707 + b3708 >= 0 e33440: b3706 - b3707 + b3709 >= 0 e33441: b3706 - b3707 + b3710 >= 0 e33442: b3706 - b3707 + b3711 >= 0 e33443: b3706 - b3707 + b3712 >= 0 e33444: b3706 - b3707 + b3713 >= 0 e33445: b3706 - b3707 + b3714 >= 0 e33446: b3707 - b3708 + b3709 >= 0 e33447: b3707 - b3708 + b3710 >= 0 e33448: b3707 - b3708 + b3711 >= 0 e33449: b3707 - b3708 + b3712 >= 0 e33450: b3707 - b3708 + b3713 >= 0 e33451: b3707 - b3708 + b3714 >= 0 e33452: b3707 - b3708 + b3715 >= 0 e33453: b3708 - b3709 + b3710 >= 0 e33454: b3708 - b3709 + b3711 >= 0 e33455: b3708 - b3709 + b3712 >= 0 e33456: b3708 - b3709 + b3713 >= 0 e33457: b3708 - b3709 + b3714 >= 0 e33458: b3708 - b3709 + b3715 >= 0 e33459: b3708 - b3709 + b3716 >= 0 e33460: b3709 - b3710 + b3711 >= 0 e33461: b3709 - b3710 + b3712 >= 0 e33462: b3709 - b3710 + b3713 >= 0 e33463: b3709 - b3710 + b3714 >= 0 e33464: b3709 - b3710 + b3715 >= 0 e33465: b3709 - b3710 + b3716 >= 0 e33466: b3709 - b3710 + b3717 >= 0 e33467: b3710 - b3711 + b3712 >= 0 e33468: b3710 - b3711 + b3713 >= 0 e33469: b3710 - b3711 + b3714 >= 0 e33470: b3710 - b3711 + b3715 >= 0 e33471: b3710 - b3711 + b3716 >= 0 e33472: b3710 - b3711 + b3717 >= 0 e33473: b3710 - b3711 + b3718 >= 0 e33474: b3711 - b3712 + b3713 >= 0 e33475: b3711 - b3712 + b3714 >= 0 e33476: b3711 - b3712 + b3715 >= 0 e33477: b3711 - b3712 + b3716 >= 0 e33478: b3711 - b3712 + b3717 >= 0 e33479: b3711 - b3712 + b3718 >= 0 e33480: b3711 - b3712 + b3719 >= 0 e33481: b3712 - b3713 + b3714 >= 0 e33482: b3712 - b3713 + b3715 >= 0 e33483: b3712 - b3713 + b3716 >= 0 e33484: b3712 - b3713 + b3717 >= 0 e33485: b3712 - b3713 + b3718 >= 0 e33486: b3712 - b3713 + b3719 >= 0 e33487: b3712 - b3713 + b3720 >= 0 e33488: b3713 - b3714 + b3715 >= 0 e33489: b3713 - b3714 + b3716 >= 0 e33490: b3713 - b3714 + b3717 >= 0 e33491: b3713 - b3714 + b3718 >= 0 e33492: b3713 - b3714 + b3719 >= 0 e33493: b3713 - b3714 + b3720 >= 0 e33494: b3713 - b3714 + b3721 >= 0 e33495: b3714 - b3715 + b3716 >= 0 e33496: b3714 - b3715 + b3717 >= 0 e33497: b3714 - b3715 + b3718 >= 0 e33498: b3714 - b3715 + b3719 >= 0 e33499: b3714 - b3715 + b3720 >= 0 e33500: b3714 - b3715 + b3721 >= 0 e33501: b3715 - b3716 + b3717 >= 0 e33502: b3715 - b3716 + b3718 >= 0 e33503: b3715 - b3716 + b3719 >= 0 e33504: b3715 - b3716 + b3720 >= 0 e33505: b3715 - b3716 + b3721 >= 0 e33506: b3716 - b3717 + b3718 >= 0 e33507: b3716 - b3717 + b3719 >= 0 e33508: b3716 - b3717 + b3720 >= 0 e33509: b3716 - b3717 + b3721 >= 0 e33510: b3717 - b3718 + b3719 >= 0 e33511: b3717 - b3718 + b3720 >= 0 e33512: b3717 - b3718 + b3721 >= 0 e33513: b3718 - b3719 + b3720 >= 0 e33514: b3718 - b3719 + b3721 >= 0 e33515: b3719 - b3720 + b3721 >= 0 e33516: b3722 = 0 e33517: b3723 = 0 e33518: b3723 - b3724 + b3725 <= 1 e33519: b3723 - b3724 + b3726 <= 1 e33520: b3723 - b3724 + b3727 <= 1 e33521: b3723 - b3724 + b3728 <= 1 e33522: b3723 - b3724 + b3729 <= 1 e33523: b3723 - b3724 + b3730 <= 1 e33524: b3723 - b3724 + b3731 <= 1 e33525: b3724 - b3725 + b3726 <= 1 e33526: b3724 - b3725 + b3727 <= 1 e33527: b3724 - b3725 + b3728 <= 1 e33528: b3724 - b3725 + b3729 <= 1 e33529: b3724 - b3725 + b3730 <= 1 e33530: b3724 - b3725 + b3731 <= 1 e33531: b3724 - b3725 + b3732 <= 1 e33532: b3725 - b3726 + b3727 <= 1 e33533: b3725 - b3726 + b3728 <= 1 e33534: b3725 - b3726 + b3729 <= 1 e33535: b3725 - b3726 + b3730 <= 1 e33536: b3725 - b3726 + b3731 <= 1 e33537: b3725 - b3726 + b3732 <= 1 e33538: b3725 - b3726 + b3733 <= 1 e33539: b3726 - b3727 + b3728 <= 1 e33540: b3726 - b3727 + b3729 <= 1 e33541: b3726 - b3727 + b3730 <= 1 e33542: b3726 - b3727 + b3731 <= 1 e33543: b3726 - b3727 + b3732 <= 1 e33544: b3726 - b3727 + b3733 <= 1 e33545: b3726 - b3727 + b3734 <= 1 e33546: b3727 - b3728 + b3729 <= 1 e33547: b3727 - b3728 + b3730 <= 1 e33548: b3727 - b3728 + b3731 <= 1 e33549: b3727 - b3728 + b3732 <= 1 e33550: b3727 - b3728 + b3733 <= 1 e33551: b3727 - b3728 + b3734 <= 1 e33552: b3727 - b3728 + b3735 <= 1 e33553: b3728 - b3729 + b3730 <= 1 e33554: b3728 - b3729 + b3731 <= 1 e33555: b3728 - b3729 + b3732 <= 1 e33556: b3728 - b3729 + b3733 <= 1 e33557: b3728 - b3729 + b3734 <= 1 e33558: b3728 - b3729 + b3735 <= 1 e33559: b3728 - b3729 + b3736 <= 1 e33560: b3729 - b3730 + b3731 <= 1 e33561: b3729 - b3730 + b3732 <= 1 e33562: b3729 - b3730 + b3733 <= 1 e33563: b3729 - b3730 + b3734 <= 1 e33564: b3729 - b3730 + b3735 <= 1 e33565: b3729 - b3730 + b3736 <= 1 e33566: b3729 - b3730 + b3737 <= 1 e33567: b3730 - b3731 + b3732 <= 1 e33568: b3730 - b3731 + b3733 <= 1 e33569: b3730 - b3731 + b3734 <= 1 e33570: b3730 - b3731 + b3735 <= 1 e33571: b3730 - b3731 + b3736 <= 1 e33572: b3730 - b3731 + b3737 <= 1 e33573: b3730 - b3731 + b3738 <= 1 e33574: b3731 - b3732 + b3733 <= 1 e33575: b3731 - b3732 + b3734 <= 1 e33576: b3731 - b3732 + b3735 <= 1 e33577: b3731 - b3732 + b3736 <= 1 e33578: b3731 - b3732 + b3737 <= 1 e33579: b3731 - b3732 + b3738 <= 1 e33580: b3731 - b3732 + b3739 <= 1 e33581: b3732 - b3733 + b3734 <= 1 e33582: b3732 - b3733 + b3735 <= 1 e33583: b3732 - b3733 + b3736 <= 1 e33584: b3732 - b3733 + b3737 <= 1 e33585: b3732 - b3733 + b3738 <= 1 e33586: b3732 - b3733 + b3739 <= 1 e33587: b3732 - b3733 + b3740 <= 1 e33588: b3733 - b3734 + b3735 <= 1 e33589: b3733 - b3734 + b3736 <= 1 e33590: b3733 - b3734 + b3737 <= 1 e33591: b3733 - b3734 + b3738 <= 1 e33592: b3733 - b3734 + b3739 <= 1 e33593: b3733 - b3734 + b3740 <= 1 e33594: b3733 - b3734 + b3741 <= 1 e33595: b3734 - b3735 + b3736 <= 1 e33596: b3734 - b3735 + b3737 <= 1 e33597: b3734 - b3735 + b3738 <= 1 e33598: b3734 - b3735 + b3739 <= 1 e33599: b3734 - b3735 + b3740 <= 1 e33600: b3734 - b3735 + b3741 <= 1 e33601: b3734 - b3735 + b3742 <= 1 e33602: b3735 - b3736 + b3737 <= 1 e33603: b3735 - b3736 + b3738 <= 1 e33604: b3735 - b3736 + b3739 <= 1 e33605: b3735 - b3736 + b3740 <= 1 e33606: b3735 - b3736 + b3741 <= 1 e33607: b3735 - b3736 + b3742 <= 1 e33608: b3735 - b3736 + b3743 <= 1 e33609: b3736 - b3737 + b3738 <= 1 e33610: b3736 - b3737 + b3739 <= 1 e33611: b3736 - b3737 + b3740 <= 1 e33612: b3736 - b3737 + b3741 <= 1 e33613: b3736 - b3737 + b3742 <= 1 e33614: b3736 - b3737 + b3743 <= 1 e33615: b3736 - b3737 + b3744 <= 1 e33616: b3737 - b3738 + b3739 <= 1 e33617: b3737 - b3738 + b3740 <= 1 e33618: b3737 - b3738 + b3741 <= 1 e33619: b3737 - b3738 + b3742 <= 1 e33620: b3737 - b3738 + b3743 <= 1 e33621: b3737 - b3738 + b3744 <= 1 e33622: b3737 - b3738 + b3745 <= 1 e33623: b3738 - b3739 + b3740 <= 1 e33624: b3738 - b3739 + b3741 <= 1 e33625: b3738 - b3739 + b3742 <= 1 e33626: b3738 - b3739 + b3743 <= 1 e33627: b3738 - b3739 + b3744 <= 1 e33628: b3738 - b3739 + b3745 <= 1 e33629: b3739 - b3740 + b3741 <= 1 e33630: b3739 - b3740 + b3742 <= 1 e33631: b3739 - b3740 + b3743 <= 1 e33632: b3739 - b3740 + b3744 <= 1 e33633: b3739 - b3740 + b3745 <= 1 e33634: b3740 - b3741 + b3742 <= 1 e33635: b3740 - b3741 + b3743 <= 1 e33636: b3740 - b3741 + b3744 <= 1 e33637: b3740 - b3741 + b3745 <= 1 e33638: b3741 - b3742 + b3743 <= 1 e33639: b3741 - b3742 + b3744 <= 1 e33640: b3741 - b3742 + b3745 <= 1 e33641: b3742 - b3743 + b3744 <= 1 e33642: b3742 - b3743 + b3745 <= 1 e33643: b3743 - b3744 + b3745 <= 1 e33644: b3723 - b3724 + b3725 >= 0 e33645: b3723 - b3724 + b3726 >= 0 e33646: b3723 - b3724 + b3727 >= 0 e33647: b3723 - b3724 + b3728 >= 0 e33648: b3723 - b3724 + b3729 >= 0 e33649: b3723 - b3724 + b3730 >= 0 e33650: b3724 - b3725 + b3726 >= 0 e33651: b3724 - b3725 + b3727 >= 0 e33652: b3724 - b3725 + b3728 >= 0 e33653: b3724 - b3725 + b3729 >= 0 e33654: b3724 - b3725 + b3730 >= 0 e33655: b3724 - b3725 + b3731 >= 0 e33656: b3725 - b3726 + b3727 >= 0 e33657: b3725 - b3726 + b3728 >= 0 e33658: b3725 - b3726 + b3729 >= 0 e33659: b3725 - b3726 + b3730 >= 0 e33660: b3725 - b3726 + b3731 >= 0 e33661: b3725 - b3726 + b3732 >= 0 e33662: b3726 - b3727 + b3728 >= 0 e33663: b3726 - b3727 + b3729 >= 0 e33664: b3726 - b3727 + b3730 >= 0 e33665: b3726 - b3727 + b3731 >= 0 e33666: b3726 - b3727 + b3732 >= 0 e33667: b3726 - b3727 + b3733 >= 0 e33668: b3727 - b3728 + b3729 >= 0 e33669: b3727 - b3728 + b3730 >= 0 e33670: b3727 - b3728 + b3731 >= 0 e33671: b3727 - b3728 + b3732 >= 0 e33672: b3727 - b3728 + b3733 >= 0 e33673: b3727 - b3728 + b3734 >= 0 e33674: b3728 - b3729 + b3730 >= 0 e33675: b3728 - b3729 + b3731 >= 0 e33676: b3728 - b3729 + b3732 >= 0 e33677: b3728 - b3729 + b3733 >= 0 e33678: b3728 - b3729 + b3734 >= 0 e33679: b3728 - b3729 + b3735 >= 0 e33680: b3729 - b3730 + b3731 >= 0 e33681: b3729 - b3730 + b3732 >= 0 e33682: b3729 - b3730 + b3733 >= 0 e33683: b3729 - b3730 + b3734 >= 0 e33684: b3729 - b3730 + b3735 >= 0 e33685: b3729 - b3730 + b3736 >= 0 e33686: b3730 - b3731 + b3732 >= 0 e33687: b3730 - b3731 + b3733 >= 0 e33688: b3730 - b3731 + b3734 >= 0 e33689: b3730 - b3731 + b3735 >= 0 e33690: b3730 - b3731 + b3736 >= 0 e33691: b3730 - b3731 + b3737 >= 0 e33692: b3731 - b3732 + b3733 >= 0 e33693: b3731 - b3732 + b3734 >= 0 e33694: b3731 - b3732 + b3735 >= 0 e33695: b3731 - b3732 + b3736 >= 0 e33696: b3731 - b3732 + b3737 >= 0 e33697: b3731 - b3732 + b3738 >= 0 e33698: b3732 - b3733 + b3734 >= 0 e33699: b3732 - b3733 + b3735 >= 0 e33700: b3732 - b3733 + b3736 >= 0 e33701: b3732 - b3733 + b3737 >= 0 e33702: b3732 - b3733 + b3738 >= 0 e33703: b3732 - b3733 + b3739 >= 0 e33704: b3733 - b3734 + b3735 >= 0 e33705: b3733 - b3734 + b3736 >= 0 e33706: b3733 - b3734 + b3737 >= 0 e33707: b3733 - b3734 + b3738 >= 0 e33708: b3733 - b3734 + b3739 >= 0 e33709: b3733 - b3734 + b3740 >= 0 e33710: b3734 - b3735 + b3736 >= 0 e33711: b3734 - b3735 + b3737 >= 0 e33712: b3734 - b3735 + b3738 >= 0 e33713: b3734 - b3735 + b3739 >= 0 e33714: b3734 - b3735 + b3740 >= 0 e33715: b3734 - b3735 + b3741 >= 0 e33716: b3735 - b3736 + b3737 >= 0 e33717: b3735 - b3736 + b3738 >= 0 e33718: b3735 - b3736 + b3739 >= 0 e33719: b3735 - b3736 + b3740 >= 0 e33720: b3735 - b3736 + b3741 >= 0 e33721: b3735 - b3736 + b3742 >= 0 e33722: b3736 - b3737 + b3738 >= 0 e33723: b3736 - b3737 + b3739 >= 0 e33724: b3736 - b3737 + b3740 >= 0 e33725: b3736 - b3737 + b3741 >= 0 e33726: b3736 - b3737 + b3742 >= 0 e33727: b3736 - b3737 + b3743 >= 0 e33728: b3737 - b3738 + b3739 >= 0 e33729: b3737 - b3738 + b3740 >= 0 e33730: b3737 - b3738 + b3741 >= 0 e33731: b3737 - b3738 + b3742 >= 0 e33732: b3737 - b3738 + b3743 >= 0 e33733: b3737 - b3738 + b3744 >= 0 e33734: b3738 - b3739 + b3740 >= 0 e33735: b3738 - b3739 + b3741 >= 0 e33736: b3738 - b3739 + b3742 >= 0 e33737: b3738 - b3739 + b3743 >= 0 e33738: b3738 - b3739 + b3744 >= 0 e33739: b3738 - b3739 + b3745 >= 0 e33740: b3739 - b3740 + b3741 >= 0 e33741: b3739 - b3740 + b3742 >= 0 e33742: b3739 - b3740 + b3743 >= 0 e33743: b3739 - b3740 + b3744 >= 0 e33744: b3739 - b3740 + b3745 >= 0 e33745: b3740 - b3741 + b3742 >= 0 e33746: b3740 - b3741 + b3743 >= 0 e33747: b3740 - b3741 + b3744 >= 0 e33748: b3740 - b3741 + b3745 >= 0 e33749: b3741 - b3742 + b3743 >= 0 e33750: b3741 - b3742 + b3744 >= 0 e33751: b3741 - b3742 + b3745 >= 0 e33752: b3742 - b3743 + b3744 >= 0 e33753: b3742 - b3743 + b3745 >= 0 e33754: b3743 - b3744 + b3745 >= 0 e33755: b3746 = 1 e33756: b3747 = 1 e33757: b3747 - b3748 + b3749 <= 1 e33758: b3747 - b3748 + b3750 <= 1 e33759: b3747 - b3748 + b3751 <= 1 e33760: b3747 - b3748 + b3752 <= 1 e33761: b3747 - b3748 + b3753 <= 1 e33762: b3747 - b3748 + b3754 <= 1 e33763: b3747 - b3748 + b3755 <= 1 e33764: b3748 - b3749 + b3750 <= 1 e33765: b3748 - b3749 + b3751 <= 1 e33766: b3748 - b3749 + b3752 <= 1 e33767: b3748 - b3749 + b3753 <= 1 e33768: b3748 - b3749 + b3754 <= 1 e33769: b3748 - b3749 + b3755 <= 1 e33770: b3748 - b3749 + b3756 <= 1 e33771: b3749 - b3750 + b3751 <= 1 e33772: b3749 - b3750 + b3752 <= 1 e33773: b3749 - b3750 + b3753 <= 1 e33774: b3749 - b3750 + b3754 <= 1 e33775: b3749 - b3750 + b3755 <= 1 e33776: b3749 - b3750 + b3756 <= 1 e33777: b3749 - b3750 + b3757 <= 1 e33778: b3750 - b3751 + b3752 <= 1 e33779: b3750 - b3751 + b3753 <= 1 e33780: b3750 - b3751 + b3754 <= 1 e33781: b3750 - b3751 + b3755 <= 1 e33782: b3750 - b3751 + b3756 <= 1 e33783: b3750 - b3751 + b3757 <= 1 e33784: b3750 - b3751 + b3758 <= 1 e33785: b3751 - b3752 + b3753 <= 1 e33786: b3751 - b3752 + b3754 <= 1 e33787: b3751 - b3752 + b3755 <= 1 e33788: b3751 - b3752 + b3756 <= 1 e33789: b3751 - b3752 + b3757 <= 1 e33790: b3751 - b3752 + b3758 <= 1 e33791: b3751 - b3752 + b3759 <= 1 e33792: b3752 - b3753 + b3754 <= 1 e33793: b3752 - b3753 + b3755 <= 1 e33794: b3752 - b3753 + b3756 <= 1 e33795: b3752 - b3753 + b3757 <= 1 e33796: b3752 - b3753 + b3758 <= 1 e33797: b3752 - b3753 + b3759 <= 1 e33798: b3752 - b3753 + b3760 <= 1 e33799: b3753 - b3754 + b3755 <= 1 e33800: b3753 - b3754 + b3756 <= 1 e33801: b3753 - b3754 + b3757 <= 1 e33802: b3753 - b3754 + b3758 <= 1 e33803: b3753 - b3754 + b3759 <= 1 e33804: b3753 - b3754 + b3760 <= 1 e33805: b3753 - b3754 + b3761 <= 1 e33806: b3754 - b3755 + b3756 <= 1 e33807: b3754 - b3755 + b3757 <= 1 e33808: b3754 - b3755 + b3758 <= 1 e33809: b3754 - b3755 + b3759 <= 1 e33810: b3754 - b3755 + b3760 <= 1 e33811: b3754 - b3755 + b3761 <= 1 e33812: b3754 - b3755 + b3762 <= 1 e33813: b3755 - b3756 + b3757 <= 1 e33814: b3755 - b3756 + b3758 <= 1 e33815: b3755 - b3756 + b3759 <= 1 e33816: b3755 - b3756 + b3760 <= 1 e33817: b3755 - b3756 + b3761 <= 1 e33818: b3755 - b3756 + b3762 <= 1 e33819: b3755 - b3756 + b3763 <= 1 e33820: b3756 - b3757 + b3758 <= 1 e33821: b3756 - b3757 + b3759 <= 1 e33822: b3756 - b3757 + b3760 <= 1 e33823: b3756 - b3757 + b3761 <= 1 e33824: b3756 - b3757 + b3762 <= 1 e33825: b3756 - b3757 + b3763 <= 1 e33826: b3756 - b3757 + b3764 <= 1 e33827: b3757 - b3758 + b3759 <= 1 e33828: b3757 - b3758 + b3760 <= 1 e33829: b3757 - b3758 + b3761 <= 1 e33830: b3757 - b3758 + b3762 <= 1 e33831: b3757 - b3758 + b3763 <= 1 e33832: b3757 - b3758 + b3764 <= 1 e33833: b3757 - b3758 + b3765 <= 1 e33834: b3758 - b3759 + b3760 <= 1 e33835: b3758 - b3759 + b3761 <= 1 e33836: b3758 - b3759 + b3762 <= 1 e33837: b3758 - b3759 + b3763 <= 1 e33838: b3758 - b3759 + b3764 <= 1 e33839: b3758 - b3759 + b3765 <= 1 e33840: b3758 - b3759 + b3766 <= 1 e33841: b3759 - b3760 + b3761 <= 1 e33842: b3759 - b3760 + b3762 <= 1 e33843: b3759 - b3760 + b3763 <= 1 e33844: b3759 - b3760 + b3764 <= 1 e33845: b3759 - b3760 + b3765 <= 1 e33846: b3759 - b3760 + b3766 <= 1 e33847: b3759 - b3760 + b3767 <= 1 e33848: b3760 - b3761 + b3762 <= 1 e33849: b3760 - b3761 + b3763 <= 1 e33850: b3760 - b3761 + b3764 <= 1 e33851: b3760 - b3761 + b3765 <= 1 e33852: b3760 - b3761 + b3766 <= 1 e33853: b3760 - b3761 + b3767 <= 1 e33854: b3760 - b3761 + b3768 <= 1 e33855: b3761 - b3762 + b3763 <= 1 e33856: b3761 - b3762 + b3764 <= 1 e33857: b3761 - b3762 + b3765 <= 1 e33858: b3761 - b3762 + b3766 <= 1 e33859: b3761 - b3762 + b3767 <= 1 e33860: b3761 - b3762 + b3768 <= 1 e33861: b3761 - b3762 + b3769 <= 1 e33862: b3762 - b3763 + b3764 <= 1 e33863: b3762 - b3763 + b3765 <= 1 e33864: b3762 - b3763 + b3766 <= 1 e33865: b3762 - b3763 + b3767 <= 1 e33866: b3762 - b3763 + b3768 <= 1 e33867: b3762 - b3763 + b3769 <= 1 e33868: b3763 - b3764 + b3765 <= 1 e33869: b3763 - b3764 + b3766 <= 1 e33870: b3763 - b3764 + b3767 <= 1 e33871: b3763 - b3764 + b3768 <= 1 e33872: b3763 - b3764 + b3769 <= 1 e33873: b3764 - b3765 + b3766 <= 1 e33874: b3764 - b3765 + b3767 <= 1 e33875: b3764 - b3765 + b3768 <= 1 e33876: b3764 - b3765 + b3769 <= 1 e33877: b3765 - b3766 + b3767 <= 1 e33878: b3765 - b3766 + b3768 <= 1 e33879: b3765 - b3766 + b3769 <= 1 e33880: b3766 - b3767 + b3768 <= 1 e33881: b3766 - b3767 + b3769 <= 1 e33882: b3767 - b3768 + b3769 <= 1 e33883: b3747 - b3748 + b3749 >= 0 e33884: b3747 - b3748 + b3750 >= 0 e33885: b3747 - b3748 + b3751 >= 0 e33886: b3747 - b3748 + b3752 >= 0 e33887: b3747 - b3748 + b3753 >= 0 e33888: b3747 - b3748 + b3754 >= 0 e33889: b3748 - b3749 + b3750 >= 0 e33890: b3748 - b3749 + b3751 >= 0 e33891: b3748 - b3749 + b3752 >= 0 e33892: b3748 - b3749 + b3753 >= 0 e33893: b3748 - b3749 + b3754 >= 0 e33894: b3748 - b3749 + b3755 >= 0 e33895: b3749 - b3750 + b3751 >= 0 e33896: b3749 - b3750 + b3752 >= 0 e33897: b3749 - b3750 + b3753 >= 0 e33898: b3749 - b3750 + b3754 >= 0 e33899: b3749 - b3750 + b3755 >= 0 e33900: b3749 - b3750 + b3756 >= 0 e33901: b3750 - b3751 + b3752 >= 0 e33902: b3750 - b3751 + b3753 >= 0 e33903: b3750 - b3751 + b3754 >= 0 e33904: b3750 - b3751 + b3755 >= 0 e33905: b3750 - b3751 + b3756 >= 0 e33906: b3750 - b3751 + b3757 >= 0 e33907: b3751 - b3752 + b3753 >= 0 e33908: b3751 - b3752 + b3754 >= 0 e33909: b3751 - b3752 + b3755 >= 0 e33910: b3751 - b3752 + b3756 >= 0 e33911: b3751 - b3752 + b3757 >= 0 e33912: b3751 - b3752 + b3758 >= 0 e33913: b3752 - b3753 + b3754 >= 0 e33914: b3752 - b3753 + b3755 >= 0 e33915: b3752 - b3753 + b3756 >= 0 e33916: b3752 - b3753 + b3757 >= 0 e33917: b3752 - b3753 + b3758 >= 0 e33918: b3752 - b3753 + b3759 >= 0 e33919: b3753 - b3754 + b3755 >= 0 e33920: b3753 - b3754 + b3756 >= 0 e33921: b3753 - b3754 + b3757 >= 0 e33922: b3753 - b3754 + b3758 >= 0 e33923: b3753 - b3754 + b3759 >= 0 e33924: b3753 - b3754 + b3760 >= 0 e33925: b3754 - b3755 + b3756 >= 0 e33926: b3754 - b3755 + b3757 >= 0 e33927: b3754 - b3755 + b3758 >= 0 e33928: b3754 - b3755 + b3759 >= 0 e33929: b3754 - b3755 + b3760 >= 0 e33930: b3754 - b3755 + b3761 >= 0 e33931: b3755 - b3756 + b3757 >= 0 e33932: b3755 - b3756 + b3758 >= 0 e33933: b3755 - b3756 + b3759 >= 0 e33934: b3755 - b3756 + b3760 >= 0 e33935: b3755 - b3756 + b3761 >= 0 e33936: b3755 - b3756 + b3762 >= 0 e33937: b3756 - b3757 + b3758 >= 0 e33938: b3756 - b3757 + b3759 >= 0 e33939: b3756 - b3757 + b3760 >= 0 e33940: b3756 - b3757 + b3761 >= 0 e33941: b3756 - b3757 + b3762 >= 0 e33942: b3756 - b3757 + b3763 >= 0 e33943: b3757 - b3758 + b3759 >= 0 e33944: b3757 - b3758 + b3760 >= 0 e33945: b3757 - b3758 + b3761 >= 0 e33946: b3757 - b3758 + b3762 >= 0 e33947: b3757 - b3758 + b3763 >= 0 e33948: b3757 - b3758 + b3764 >= 0 e33949: b3758 - b3759 + b3760 >= 0 e33950: b3758 - b3759 + b3761 >= 0 e33951: b3758 - b3759 + b3762 >= 0 e33952: b3758 - b3759 + b3763 >= 0 e33953: b3758 - b3759 + b3764 >= 0 e33954: b3758 - b3759 + b3765 >= 0 e33955: b3759 - b3760 + b3761 >= 0 e33956: b3759 - b3760 + b3762 >= 0 e33957: b3759 - b3760 + b3763 >= 0 e33958: b3759 - b3760 + b3764 >= 0 e33959: b3759 - b3760 + b3765 >= 0 e33960: b3759 - b3760 + b3766 >= 0 e33961: b3760 - b3761 + b3762 >= 0 e33962: b3760 - b3761 + b3763 >= 0 e33963: b3760 - b3761 + b3764 >= 0 e33964: b3760 - b3761 + b3765 >= 0 e33965: b3760 - b3761 + b3766 >= 0 e33966: b3760 - b3761 + b3767 >= 0 e33967: b3761 - b3762 + b3763 >= 0 e33968: b3761 - b3762 + b3764 >= 0 e33969: b3761 - b3762 + b3765 >= 0 e33970: b3761 - b3762 + b3766 >= 0 e33971: b3761 - b3762 + b3767 >= 0 e33972: b3761 - b3762 + b3768 >= 0 e33973: b3762 - b3763 + b3764 >= 0 e33974: b3762 - b3763 + b3765 >= 0 e33975: b3762 - b3763 + b3766 >= 0 e33976: b3762 - b3763 + b3767 >= 0 e33977: b3762 - b3763 + b3768 >= 0 e33978: b3762 - b3763 + b3769 >= 0 e33979: b3763 - b3764 + b3765 >= 0 e33980: b3763 - b3764 + b3766 >= 0 e33981: b3763 - b3764 + b3767 >= 0 e33982: b3763 - b3764 + b3768 >= 0 e33983: b3763 - b3764 + b3769 >= 0 e33984: b3764 - b3765 + b3766 >= 0 e33985: b3764 - b3765 + b3767 >= 0 e33986: b3764 - b3765 + b3768 >= 0 e33987: b3764 - b3765 + b3769 >= 0 e33988: b3765 - b3766 + b3767 >= 0 e33989: b3765 - b3766 + b3768 >= 0 e33990: b3765 - b3766 + b3769 >= 0 e33991: b3766 - b3767 + b3768 >= 0 e33992: b3766 - b3767 + b3769 >= 0 e33993: b3767 - b3768 + b3769 >= 0 e33994: b3770 = 0 e33995: b3771 = 0 e33996: b3772 = 0 e33997: b3772 - b3773 + b3774 <= 1 e33998: b3772 - b3773 + b3775 <= 1 e33999: b3772 - b3773 + b3776 <= 1 e34000: b3772 - b3773 + b3777 <= 1 e34001: b3772 - b3773 + b3778 <= 1 e34002: b3772 - b3773 + b3779 <= 1 e34003: b3772 - b3773 + b3780 <= 1 e34004: b3773 - b3774 + b3775 <= 1 e34005: b3773 - b3774 + b3776 <= 1 e34006: b3773 - b3774 + b3777 <= 1 e34007: b3773 - b3774 + b3778 <= 1 e34008: b3773 - b3774 + b3779 <= 1 e34009: b3773 - b3774 + b3780 <= 1 e34010: b3773 - b3774 + b3781 <= 1 e34011: b3774 - b3775 + b3776 <= 1 e34012: b3774 - b3775 + b3777 <= 1 e34013: b3774 - b3775 + b3778 <= 1 e34014: b3774 - b3775 + b3779 <= 1 e34015: b3774 - b3775 + b3780 <= 1 e34016: b3774 - b3775 + b3781 <= 1 e34017: b3774 - b3775 + b3782 <= 1 e34018: b3775 - b3776 + b3777 <= 1 e34019: b3775 - b3776 + b3778 <= 1 e34020: b3775 - b3776 + b3779 <= 1 e34021: b3775 - b3776 + b3780 <= 1 e34022: b3775 - b3776 + b3781 <= 1 e34023: b3775 - b3776 + b3782 <= 1 e34024: b3775 - b3776 + b3783 <= 1 e34025: b3776 - b3777 + b3778 <= 1 e34026: b3776 - b3777 + b3779 <= 1 e34027: b3776 - b3777 + b3780 <= 1 e34028: b3776 - b3777 + b3781 <= 1 e34029: b3776 - b3777 + b3782 <= 1 e34030: b3776 - b3777 + b3783 <= 1 e34031: b3776 - b3777 + b3784 <= 1 e34032: b3777 - b3778 + b3779 <= 1 e34033: b3777 - b3778 + b3780 <= 1 e34034: b3777 - b3778 + b3781 <= 1 e34035: b3777 - b3778 + b3782 <= 1 e34036: b3777 - b3778 + b3783 <= 1 e34037: b3777 - b3778 + b3784 <= 1 e34038: b3777 - b3778 + b3785 <= 1 e34039: b3778 - b3779 + b3780 <= 1 e34040: b3778 - b3779 + b3781 <= 1 e34041: b3778 - b3779 + b3782 <= 1 e34042: b3778 - b3779 + b3783 <= 1 e34043: b3778 - b3779 + b3784 <= 1 e34044: b3778 - b3779 + b3785 <= 1 e34045: b3778 - b3779 + b3786 <= 1 e34046: b3779 - b3780 + b3781 <= 1 e34047: b3779 - b3780 + b3782 <= 1 e34048: b3779 - b3780 + b3783 <= 1 e34049: b3779 - b3780 + b3784 <= 1 e34050: b3779 - b3780 + b3785 <= 1 e34051: b3779 - b3780 + b3786 <= 1 e34052: b3779 - b3780 + b3787 <= 1 e34053: b3780 - b3781 + b3782 <= 1 e34054: b3780 - b3781 + b3783 <= 1 e34055: b3780 - b3781 + b3784 <= 1 e34056: b3780 - b3781 + b3785 <= 1 e34057: b3780 - b3781 + b3786 <= 1 e34058: b3780 - b3781 + b3787 <= 1 e34059: b3780 - b3781 + b3788 <= 1 e34060: b3781 - b3782 + b3783 <= 1 e34061: b3781 - b3782 + b3784 <= 1 e34062: b3781 - b3782 + b3785 <= 1 e34063: b3781 - b3782 + b3786 <= 1 e34064: b3781 - b3782 + b3787 <= 1 e34065: b3781 - b3782 + b3788 <= 1 e34066: b3781 - b3782 + b3789 <= 1 e34067: b3782 - b3783 + b3784 <= 1 e34068: b3782 - b3783 + b3785 <= 1 e34069: b3782 - b3783 + b3786 <= 1 e34070: b3782 - b3783 + b3787 <= 1 e34071: b3782 - b3783 + b3788 <= 1 e34072: b3782 - b3783 + b3789 <= 1 e34073: b3782 - b3783 + b3790 <= 1 e34074: b3783 - b3784 + b3785 <= 1 e34075: b3783 - b3784 + b3786 <= 1 e34076: b3783 - b3784 + b3787 <= 1 e34077: b3783 - b3784 + b3788 <= 1 e34078: b3783 - b3784 + b3789 <= 1 e34079: b3783 - b3784 + b3790 <= 1 e34080: b3783 - b3784 + b3791 <= 1 e34081: b3784 - b3785 + b3786 <= 1 e34082: b3784 - b3785 + b3787 <= 1 e34083: b3784 - b3785 + b3788 <= 1 e34084: b3784 - b3785 + b3789 <= 1 e34085: b3784 - b3785 + b3790 <= 1 e34086: b3784 - b3785 + b3791 <= 1 e34087: b3784 - b3785 + b3792 <= 1 e34088: b3785 - b3786 + b3787 <= 1 e34089: b3785 - b3786 + b3788 <= 1 e34090: b3785 - b3786 + b3789 <= 1 e34091: b3785 - b3786 + b3790 <= 1 e34092: b3785 - b3786 + b3791 <= 1 e34093: b3785 - b3786 + b3792 <= 1 e34094: b3785 - b3786 + b3793 <= 1 e34095: b3786 - b3787 + b3788 <= 1 e34096: b3786 - b3787 + b3789 <= 1 e34097: b3786 - b3787 + b3790 <= 1 e34098: b3786 - b3787 + b3791 <= 1 e34099: b3786 - b3787 + b3792 <= 1 e34100: b3786 - b3787 + b3793 <= 1 e34101: b3787 - b3788 + b3789 <= 1 e34102: b3787 - b3788 + b3790 <= 1 e34103: b3787 - b3788 + b3791 <= 1 e34104: b3787 - b3788 + b3792 <= 1 e34105: b3787 - b3788 + b3793 <= 1 e34106: b3788 - b3789 + b3790 <= 1 e34107: b3788 - b3789 + b3791 <= 1 e34108: b3788 - b3789 + b3792 <= 1 e34109: b3788 - b3789 + b3793 <= 1 e34110: b3789 - b3790 + b3791 <= 1 e34111: b3789 - b3790 + b3792 <= 1 e34112: b3789 - b3790 + b3793 <= 1 e34113: b3790 - b3791 + b3792 <= 1 e34114: b3790 - b3791 + b3793 <= 1 e34115: b3791 - b3792 + b3793 <= 1 e34116: b3772 - b3773 + b3774 >= 0 e34117: b3772 - b3773 + b3775 >= 0 e34118: b3772 - b3773 + b3776 >= 0 e34119: b3772 - b3773 + b3777 >= 0 e34120: b3772 - b3773 + b3778 >= 0 e34121: b3772 - b3773 + b3779 >= 0 e34122: b3773 - b3774 + b3775 >= 0 e34123: b3773 - b3774 + b3776 >= 0 e34124: b3773 - b3774 + b3777 >= 0 e34125: b3773 - b3774 + b3778 >= 0 e34126: b3773 - b3774 + b3779 >= 0 e34127: b3773 - b3774 + b3780 >= 0 e34128: b3774 - b3775 + b3776 >= 0 e34129: b3774 - b3775 + b3777 >= 0 e34130: b3774 - b3775 + b3778 >= 0 e34131: b3774 - b3775 + b3779 >= 0 e34132: b3774 - b3775 + b3780 >= 0 e34133: b3774 - b3775 + b3781 >= 0 e34134: b3775 - b3776 + b3777 >= 0 e34135: b3775 - b3776 + b3778 >= 0 e34136: b3775 - b3776 + b3779 >= 0 e34137: b3775 - b3776 + b3780 >= 0 e34138: b3775 - b3776 + b3781 >= 0 e34139: b3775 - b3776 + b3782 >= 0 e34140: b3776 - b3777 + b3778 >= 0 e34141: b3776 - b3777 + b3779 >= 0 e34142: b3776 - b3777 + b3780 >= 0 e34143: b3776 - b3777 + b3781 >= 0 e34144: b3776 - b3777 + b3782 >= 0 e34145: b3776 - b3777 + b3783 >= 0 e34146: b3777 - b3778 + b3779 >= 0 e34147: b3777 - b3778 + b3780 >= 0 e34148: b3777 - b3778 + b3781 >= 0 e34149: b3777 - b3778 + b3782 >= 0 e34150: b3777 - b3778 + b3783 >= 0 e34151: b3777 - b3778 + b3784 >= 0 e34152: b3778 - b3779 + b3780 >= 0 e34153: b3778 - b3779 + b3781 >= 0 e34154: b3778 - b3779 + b3782 >= 0 e34155: b3778 - b3779 + b3783 >= 0 e34156: b3778 - b3779 + b3784 >= 0 e34157: b3778 - b3779 + b3785 >= 0 e34158: b3779 - b3780 + b3781 >= 0 e34159: b3779 - b3780 + b3782 >= 0 e34160: b3779 - b3780 + b3783 >= 0 e34161: b3779 - b3780 + b3784 >= 0 e34162: b3779 - b3780 + b3785 >= 0 e34163: b3779 - b3780 + b3786 >= 0 e34164: b3780 - b3781 + b3782 >= 0 e34165: b3780 - b3781 + b3783 >= 0 e34166: b3780 - b3781 + b3784 >= 0 e34167: b3780 - b3781 + b3785 >= 0 e34168: b3780 - b3781 + b3786 >= 0 e34169: b3780 - b3781 + b3787 >= 0 e34170: b3781 - b3782 + b3783 >= 0 e34171: b3781 - b3782 + b3784 >= 0 e34172: b3781 - b3782 + b3785 >= 0 e34173: b3781 - b3782 + b3786 >= 0 e34174: b3781 - b3782 + b3787 >= 0 e34175: b3781 - b3782 + b3788 >= 0 e34176: b3782 - b3783 + b3784 >= 0 e34177: b3782 - b3783 + b3785 >= 0 e34178: b3782 - b3783 + b3786 >= 0 e34179: b3782 - b3783 + b3787 >= 0 e34180: b3782 - b3783 + b3788 >= 0 e34181: b3782 - b3783 + b3789 >= 0 e34182: b3783 - b3784 + b3785 >= 0 e34183: b3783 - b3784 + b3786 >= 0 e34184: b3783 - b3784 + b3787 >= 0 e34185: b3783 - b3784 + b3788 >= 0 e34186: b3783 - b3784 + b3789 >= 0 e34187: b3783 - b3784 + b3790 >= 0 e34188: b3784 - b3785 + b3786 >= 0 e34189: b3784 - b3785 + b3787 >= 0 e34190: b3784 - b3785 + b3788 >= 0 e34191: b3784 - b3785 + b3789 >= 0 e34192: b3784 - b3785 + b3790 >= 0 e34193: b3784 - b3785 + b3791 >= 0 e34194: b3785 - b3786 + b3787 >= 0 e34195: b3785 - b3786 + b3788 >= 0 e34196: b3785 - b3786 + b3789 >= 0 e34197: b3785 - b3786 + b3790 >= 0 e34198: b3785 - b3786 + b3791 >= 0 e34199: b3785 - b3786 + b3792 >= 0 e34200: b3786 - b3787 + b3788 >= 0 e34201: b3786 - b3787 + b3789 >= 0 e34202: b3786 - b3787 + b3790 >= 0 e34203: b3786 - b3787 + b3791 >= 0 e34204: b3786 - b3787 + b3792 >= 0 e34205: b3786 - b3787 + b3793 >= 0 e34206: b3787 - b3788 + b3789 >= 0 e34207: b3787 - b3788 + b3790 >= 0 e34208: b3787 - b3788 + b3791 >= 0 e34209: b3787 - b3788 + b3792 >= 0 e34210: b3787 - b3788 + b3793 >= 0 e34211: b3788 - b3789 + b3790 >= 0 e34212: b3788 - b3789 + b3791 >= 0 e34213: b3788 - b3789 + b3792 >= 0 e34214: b3788 - b3789 + b3793 >= 0 e34215: b3789 - b3790 + b3791 >= 0 e34216: b3789 - b3790 + b3792 >= 0 e34217: b3789 - b3790 + b3793 >= 0 e34218: b3790 - b3791 + b3792 >= 0 e34219: b3790 - b3791 + b3793 >= 0 e34220: b3791 - b3792 + b3793 >= 0 e34221: b3794 = 0 e34222: b3794 - b3795 + b3796 <= 1 e34223: b3794 - b3795 + b3797 <= 1 e34224: b3794 - b3795 + b3798 <= 1 e34225: b3794 - b3795 + b3799 <= 1 e34226: b3794 - b3795 + b3800 <= 1 e34227: b3794 - b3795 + b3801 <= 1 e34228: b3794 - b3795 + b3802 <= 1 e34229: b3795 - b3796 + b3797 <= 1 e34230: b3795 - b3796 + b3798 <= 1 e34231: b3795 - b3796 + b3799 <= 1 e34232: b3795 - b3796 + b3800 <= 1 e34233: b3795 - b3796 + b3801 <= 1 e34234: b3795 - b3796 + b3802 <= 1 e34235: b3795 - b3796 + b3803 <= 1 e34236: b3796 - b3797 + b3798 <= 1 e34237: b3796 - b3797 + b3799 <= 1 e34238: b3796 - b3797 + b3800 <= 1 e34239: b3796 - b3797 + b3801 <= 1 e34240: b3796 - b3797 + b3802 <= 1 e34241: b3796 - b3797 + b3803 <= 1 e34242: b3796 - b3797 + b3804 <= 1 e34243: b3797 - b3798 + b3799 <= 1 e34244: b3797 - b3798 + b3800 <= 1 e34245: b3797 - b3798 + b3801 <= 1 e34246: b3797 - b3798 + b3802 <= 1 e34247: b3797 - b3798 + b3803 <= 1 e34248: b3797 - b3798 + b3804 <= 1 e34249: b3797 - b3798 + b3805 <= 1 e34250: b3798 - b3799 + b3800 <= 1 e34251: b3798 - b3799 + b3801 <= 1 e34252: b3798 - b3799 + b3802 <= 1 e34253: b3798 - b3799 + b3803 <= 1 e34254: b3798 - b3799 + b3804 <= 1 e34255: b3798 - b3799 + b3805 <= 1 e34256: b3798 - b3799 + b3806 <= 1 e34257: b3799 - b3800 + b3801 <= 1 e34258: b3799 - b3800 + b3802 <= 1 e34259: b3799 - b3800 + b3803 <= 1 e34260: b3799 - b3800 + b3804 <= 1 e34261: b3799 - b3800 + b3805 <= 1 e34262: b3799 - b3800 + b3806 <= 1 e34263: b3799 - b3800 + b3807 <= 1 e34264: b3800 - b3801 + b3802 <= 1 e34265: b3800 - b3801 + b3803 <= 1 e34266: b3800 - b3801 + b3804 <= 1 e34267: b3800 - b3801 + b3805 <= 1 e34268: b3800 - b3801 + b3806 <= 1 e34269: b3800 - b3801 + b3807 <= 1 e34270: b3800 - b3801 + b3808 <= 1 e34271: b3801 - b3802 + b3803 <= 1 e34272: b3801 - b3802 + b3804 <= 1 e34273: b3801 - b3802 + b3805 <= 1 e34274: b3801 - b3802 + b3806 <= 1 e34275: b3801 - b3802 + b3807 <= 1 e34276: b3801 - b3802 + b3808 <= 1 e34277: b3801 - b3802 + b3809 <= 1 e34278: b3802 - b3803 + b3804 <= 1 e34279: b3802 - b3803 + b3805 <= 1 e34280: b3802 - b3803 + b3806 <= 1 e34281: b3802 - b3803 + b3807 <= 1 e34282: b3802 - b3803 + b3808 <= 1 e34283: b3802 - b3803 + b3809 <= 1 e34284: b3802 - b3803 + b3810 <= 1 e34285: b3803 - b3804 + b3805 <= 1 e34286: b3803 - b3804 + b3806 <= 1 e34287: b3803 - b3804 + b3807 <= 1 e34288: b3803 - b3804 + b3808 <= 1 e34289: b3803 - b3804 + b3809 <= 1 e34290: b3803 - b3804 + b3810 <= 1 e34291: b3803 - b3804 + b3811 <= 1 e34292: b3804 - b3805 + b3806 <= 1 e34293: b3804 - b3805 + b3807 <= 1 e34294: b3804 - b3805 + b3808 <= 1 e34295: b3804 - b3805 + b3809 <= 1 e34296: b3804 - b3805 + b3810 <= 1 e34297: b3804 - b3805 + b3811 <= 1 e34298: b3804 - b3805 + b3812 <= 1 e34299: b3805 - b3806 + b3807 <= 1 e34300: b3805 - b3806 + b3808 <= 1 e34301: b3805 - b3806 + b3809 <= 1 e34302: b3805 - b3806 + b3810 <= 1 e34303: b3805 - b3806 + b3811 <= 1 e34304: b3805 - b3806 + b3812 <= 1 e34305: b3805 - b3806 + b3813 <= 1 e34306: b3806 - b3807 + b3808 <= 1 e34307: b3806 - b3807 + b3809 <= 1 e34308: b3806 - b3807 + b3810 <= 1 e34309: b3806 - b3807 + b3811 <= 1 e34310: b3806 - b3807 + b3812 <= 1 e34311: b3806 - b3807 + b3813 <= 1 e34312: b3806 - b3807 + b3814 <= 1 e34313: b3807 - b3808 + b3809 <= 1 e34314: b3807 - b3808 + b3810 <= 1 e34315: b3807 - b3808 + b3811 <= 1 e34316: b3807 - b3808 + b3812 <= 1 e34317: b3807 - b3808 + b3813 <= 1 e34318: b3807 - b3808 + b3814 <= 1 e34319: b3807 - b3808 + b3815 <= 1 e34320: b3808 - b3809 + b3810 <= 1 e34321: b3808 - b3809 + b3811 <= 1 e34322: b3808 - b3809 + b3812 <= 1 e34323: b3808 - b3809 + b3813 <= 1 e34324: b3808 - b3809 + b3814 <= 1 e34325: b3808 - b3809 + b3815 <= 1 e34326: b3808 - b3809 + b3816 <= 1 e34327: b3809 - b3810 + b3811 <= 1 e34328: b3809 - b3810 + b3812 <= 1 e34329: b3809 - b3810 + b3813 <= 1 e34330: b3809 - b3810 + b3814 <= 1 e34331: b3809 - b3810 + b3815 <= 1 e34332: b3809 - b3810 + b3816 <= 1 e34333: b3809 - b3810 + b3817 <= 1 e34334: b3810 - b3811 + b3812 <= 1 e34335: b3810 - b3811 + b3813 <= 1 e34336: b3810 - b3811 + b3814 <= 1 e34337: b3810 - b3811 + b3815 <= 1 e34338: b3810 - b3811 + b3816 <= 1 e34339: b3810 - b3811 + b3817 <= 1 e34340: b3811 - b3812 + b3813 <= 1 e34341: b3811 - b3812 + b3814 <= 1 e34342: b3811 - b3812 + b3815 <= 1 e34343: b3811 - b3812 + b3816 <= 1 e34344: b3811 - b3812 + b3817 <= 1 e34345: b3812 - b3813 + b3814 <= 1 e34346: b3812 - b3813 + b3815 <= 1 e34347: b3812 - b3813 + b3816 <= 1 e34348: b3812 - b3813 + b3817 <= 1 e34349: b3813 - b3814 + b3815 <= 1 e34350: b3813 - b3814 + b3816 <= 1 e34351: b3813 - b3814 + b3817 <= 1 e34352: b3814 - b3815 + b3816 <= 1 e34353: b3814 - b3815 + b3817 <= 1 e34354: b3815 - b3816 + b3817 <= 1 e34355: b3794 - b3795 + b3796 >= 0 e34356: b3794 - b3795 + b3797 >= 0 e34357: b3794 - b3795 + b3798 >= 0 e34358: b3794 - b3795 + b3799 >= 0 e34359: b3794 - b3795 + b3800 >= 0 e34360: b3794 - b3795 + b3801 >= 0 e34361: b3795 - b3796 + b3797 >= 0 e34362: b3795 - b3796 + b3798 >= 0 e34363: b3795 - b3796 + b3799 >= 0 e34364: b3795 - b3796 + b3800 >= 0 e34365: b3795 - b3796 + b3801 >= 0 e34366: b3795 - b3796 + b3802 >= 0 e34367: b3796 - b3797 + b3798 >= 0 e34368: b3796 - b3797 + b3799 >= 0 e34369: b3796 - b3797 + b3800 >= 0 e34370: b3796 - b3797 + b3801 >= 0 e34371: b3796 - b3797 + b3802 >= 0 e34372: b3796 - b3797 + b3803 >= 0 e34373: b3797 - b3798 + b3799 >= 0 e34374: b3797 - b3798 + b3800 >= 0 e34375: b3797 - b3798 + b3801 >= 0 e34376: b3797 - b3798 + b3802 >= 0 e34377: b3797 - b3798 + b3803 >= 0 e34378: b3797 - b3798 + b3804 >= 0 e34379: b3798 - b3799 + b3800 >= 0 e34380: b3798 - b3799 + b3801 >= 0 e34381: b3798 - b3799 + b3802 >= 0 e34382: b3798 - b3799 + b3803 >= 0 e34383: b3798 - b3799 + b3804 >= 0 e34384: b3798 - b3799 + b3805 >= 0 e34385: b3799 - b3800 + b3801 >= 0 e34386: b3799 - b3800 + b3802 >= 0 e34387: b3799 - b3800 + b3803 >= 0 e34388: b3799 - b3800 + b3804 >= 0 e34389: b3799 - b3800 + b3805 >= 0 e34390: b3799 - b3800 + b3806 >= 0 e34391: b3800 - b3801 + b3802 >= 0 e34392: b3800 - b3801 + b3803 >= 0 e34393: b3800 - b3801 + b3804 >= 0 e34394: b3800 - b3801 + b3805 >= 0 e34395: b3800 - b3801 + b3806 >= 0 e34396: b3800 - b3801 + b3807 >= 0 e34397: b3801 - b3802 + b3803 >= 0 e34398: b3801 - b3802 + b3804 >= 0 e34399: b3801 - b3802 + b3805 >= 0 e34400: b3801 - b3802 + b3806 >= 0 e34401: b3801 - b3802 + b3807 >= 0 e34402: b3801 - b3802 + b3808 >= 0 e34403: b3802 - b3803 + b3804 >= 0 e34404: b3802 - b3803 + b3805 >= 0 e34405: b3802 - b3803 + b3806 >= 0 e34406: b3802 - b3803 + b3807 >= 0 e34407: b3802 - b3803 + b3808 >= 0 e34408: b3802 - b3803 + b3809 >= 0 e34409: b3803 - b3804 + b3805 >= 0 e34410: b3803 - b3804 + b3806 >= 0 e34411: b3803 - b3804 + b3807 >= 0 e34412: b3803 - b3804 + b3808 >= 0 e34413: b3803 - b3804 + b3809 >= 0 e34414: b3803 - b3804 + b3810 >= 0 e34415: b3804 - b3805 + b3806 >= 0 e34416: b3804 - b3805 + b3807 >= 0 e34417: b3804 - b3805 + b3808 >= 0 e34418: b3804 - b3805 + b3809 >= 0 e34419: b3804 - b3805 + b3810 >= 0 e34420: b3804 - b3805 + b3811 >= 0 e34421: b3805 - b3806 + b3807 >= 0 e34422: b3805 - b3806 + b3808 >= 0 e34423: b3805 - b3806 + b3809 >= 0 e34424: b3805 - b3806 + b3810 >= 0 e34425: b3805 - b3806 + b3811 >= 0 e34426: b3805 - b3806 + b3812 >= 0 e34427: b3806 - b3807 + b3808 >= 0 e34428: b3806 - b3807 + b3809 >= 0 e34429: b3806 - b3807 + b3810 >= 0 e34430: b3806 - b3807 + b3811 >= 0 e34431: b3806 - b3807 + b3812 >= 0 e34432: b3806 - b3807 + b3813 >= 0 e34433: b3807 - b3808 + b3809 >= 0 e34434: b3807 - b3808 + b3810 >= 0 e34435: b3807 - b3808 + b3811 >= 0 e34436: b3807 - b3808 + b3812 >= 0 e34437: b3807 - b3808 + b3813 >= 0 e34438: b3807 - b3808 + b3814 >= 0 e34439: b3808 - b3809 + b3810 >= 0 e34440: b3808 - b3809 + b3811 >= 0 e34441: b3808 - b3809 + b3812 >= 0 e34442: b3808 - b3809 + b3813 >= 0 e34443: b3808 - b3809 + b3814 >= 0 e34444: b3808 - b3809 + b3815 >= 0 e34445: b3809 - b3810 + b3811 >= 0 e34446: b3809 - b3810 + b3812 >= 0 e34447: b3809 - b3810 + b3813 >= 0 e34448: b3809 - b3810 + b3814 >= 0 e34449: b3809 - b3810 + b3815 >= 0 e34450: b3809 - b3810 + b3816 >= 0 e34451: b3810 - b3811 + b3812 >= 0 e34452: b3810 - b3811 + b3813 >= 0 e34453: b3810 - b3811 + b3814 >= 0 e34454: b3810 - b3811 + b3815 >= 0 e34455: b3810 - b3811 + b3816 >= 0 e34456: b3810 - b3811 + b3817 >= 0 e34457: b3811 - b3812 + b3813 >= 0 e34458: b3811 - b3812 + b3814 >= 0 e34459: b3811 - b3812 + b3815 >= 0 e34460: b3811 - b3812 + b3816 >= 0 e34461: b3811 - b3812 + b3817 >= 0 e34462: b3812 - b3813 + b3814 >= 0 e34463: b3812 - b3813 + b3815 >= 0 e34464: b3812 - b3813 + b3816 >= 0 e34465: b3812 - b3813 + b3817 >= 0 e34466: b3813 - b3814 + b3815 >= 0 e34467: b3813 - b3814 + b3816 >= 0 e34468: b3813 - b3814 + b3817 >= 0 e34469: b3814 - b3815 + b3816 >= 0 e34470: b3814 - b3815 + b3817 >= 0 e34471: b3815 - b3816 + b3817 >= 0 e34472: - b3818 + b3819 + x19282 <= 1 e34473: - b3818 + b3820 + x19282 <= 1 e34474: - b3818 + b3821 + x19282 <= 1 e34475: - b3818 + b3822 + x19282 <= 1 e34476: - b3818 + b3823 + x19282 <= 1 e34477: - b3818 + b3824 + x19282 <= 1 e34478: b3818 - b3819 + b3820 <= 1 e34479: b3818 - b3819 + b3821 <= 1 e34480: b3818 - b3819 + b3822 <= 1 e34481: b3818 - b3819 + b3823 <= 1 e34482: b3818 - b3819 + b3824 <= 1 e34483: b3818 - b3819 + b3825 <= 1 e34484: b3819 - b3820 + b3821 <= 1 e34485: b3819 - b3820 + b3822 <= 1 e34486: b3819 - b3820 + b3823 <= 1 e34487: b3819 - b3820 + b3824 <= 1 e34488: b3819 - b3820 + b3825 <= 1 e34489: b3819 - b3820 + b3826 <= 1 e34490: b3820 - b3821 + b3822 <= 1 e34491: b3820 - b3821 + b3823 <= 1 e34492: b3820 - b3821 + b3824 <= 1 e34493: b3820 - b3821 + b3825 <= 1 e34494: b3820 - b3821 + b3826 <= 1 e34495: b3820 - b3821 + b3827 <= 1 e34496: b3821 - b3822 + b3823 <= 1 e34497: b3821 - b3822 + b3824 <= 1 e34498: b3821 - b3822 + b3825 <= 1 e34499: b3821 - b3822 + b3826 <= 1 e34500: b3821 - b3822 + b3827 <= 1 e34501: b3821 - b3822 + b3828 <= 1 e34502: b3822 - b3823 + b3824 <= 1 e34503: b3822 - b3823 + b3825 <= 1 e34504: b3822 - b3823 + b3826 <= 1 e34505: b3822 - b3823 + b3827 <= 1 e34506: b3822 - b3823 + b3828 <= 1 e34507: b3822 - b3823 + b3829 <= 1 e34508: b3823 - b3824 + b3825 <= 1 e34509: b3823 - b3824 + b3826 <= 1 e34510: b3823 - b3824 + b3827 <= 1 e34511: b3823 - b3824 + b3828 <= 1 e34512: b3823 - b3824 + b3829 <= 1 e34513: b3823 - b3824 + b3830 <= 1 e34514: b3824 - b3825 + b3826 <= 1 e34515: b3824 - b3825 + b3827 <= 1 e34516: b3824 - b3825 + b3828 <= 1 e34517: b3824 - b3825 + b3829 <= 1 e34518: b3824 - b3825 + b3830 <= 1 e34519: b3824 - b3825 + b3831 <= 1 e34520: b3825 - b3826 + b3827 <= 1 e34521: b3825 - b3826 + b3828 <= 1 e34522: b3825 - b3826 + b3829 <= 1 e34523: b3825 - b3826 + b3830 <= 1 e34524: b3825 - b3826 + b3831 <= 1 e34525: b3825 - b3826 + b3832 <= 1 e34526: b3826 - b3827 + b3828 <= 1 e34527: b3826 - b3827 + b3829 <= 1 e34528: b3826 - b3827 + b3830 <= 1 e34529: b3826 - b3827 + b3831 <= 1 e34530: b3826 - b3827 + b3832 <= 1 e34531: b3826 - b3827 + b3833 <= 1 e34532: b3827 - b3828 + b3829 <= 1 e34533: b3827 - b3828 + b3830 <= 1 e34534: b3827 - b3828 + b3831 <= 1 e34535: b3827 - b3828 + b3832 <= 1 e34536: b3827 - b3828 + b3833 <= 1 e34537: b3827 - b3828 + b3834 <= 1 e34538: b3828 - b3829 + b3830 <= 1 e34539: b3828 - b3829 + b3831 <= 1 e34540: b3828 - b3829 + b3832 <= 1 e34541: b3828 - b3829 + b3833 <= 1 e34542: b3828 - b3829 + b3834 <= 1 e34543: b3828 - b3829 + b3835 <= 1 e34544: b3829 - b3830 + b3831 <= 1 e34545: b3829 - b3830 + b3832 <= 1 e34546: b3829 - b3830 + b3833 <= 1 e34547: b3829 - b3830 + b3834 <= 1 e34548: b3829 - b3830 + b3835 <= 1 e34549: b3829 - b3830 + b3836 <= 1 e34550: b3830 - b3831 + b3832 <= 1 e34551: b3830 - b3831 + b3833 <= 1 e34552: b3830 - b3831 + b3834 <= 1 e34553: b3830 - b3831 + b3835 <= 1 e34554: b3830 - b3831 + b3836 <= 1 e34555: b3830 - b3831 + b3837 <= 1 e34556: b3831 - b3832 + b3833 <= 1 e34557: b3831 - b3832 + b3834 <= 1 e34558: b3831 - b3832 + b3835 <= 1 e34559: b3831 - b3832 + b3836 <= 1 e34560: b3831 - b3832 + b3837 <= 1 e34561: b3831 - b3832 + b3838 <= 1 e34562: b3832 - b3833 + b3834 <= 1 e34563: b3832 - b3833 + b3835 <= 1 e34564: b3832 - b3833 + b3836 <= 1 e34565: b3832 - b3833 + b3837 <= 1 e34566: b3832 - b3833 + b3838 <= 1 e34567: b3832 - b3833 + b3839 <= 1 e34568: b3833 - b3834 + b3835 <= 1 e34569: b3833 - b3834 + b3836 <= 1 e34570: b3833 - b3834 + b3837 <= 1 e34571: b3833 - b3834 + b3838 <= 1 e34572: b3833 - b3834 + b3839 <= 1 e34573: b3833 - b3834 + b3840 <= 1 e34574: b3834 - b3835 + b3836 <= 1 e34575: b3834 - b3835 + b3837 <= 1 e34576: b3834 - b3835 + b3838 <= 1 e34577: b3834 - b3835 + b3839 <= 1 e34578: b3834 - b3835 + b3840 <= 1 e34579: b3834 - b3835 + b3841 <= 1 e34580: b3835 - b3836 + b3837 <= 1 e34581: b3835 - b3836 + b3838 <= 1 e34582: b3835 - b3836 + b3839 <= 1 e34583: b3835 - b3836 + b3840 <= 1 e34584: b3835 - b3836 + b3841 <= 1 e34585: b3836 - b3837 + b3838 <= 1 e34586: b3836 - b3837 + b3839 <= 1 e34587: b3836 - b3837 + b3840 <= 1 e34588: b3836 - b3837 + b3841 <= 1 e34589: b3837 - b3838 + b3839 <= 1 e34590: b3837 - b3838 + b3840 <= 1 e34591: b3837 - b3838 + b3841 <= 1 e34592: b3838 - b3839 + b3840 <= 1 e34593: b3838 - b3839 + b3841 <= 1 e34594: b3839 - b3840 + b3841 <= 1 e34595: - b3818 + b3819 + x19282 >= 0 e34596: - b3818 + b3820 + x19282 >= 0 e34597: - b3818 + b3821 + x19282 >= 0 e34598: - b3818 + b3822 + x19282 >= 0 e34599: - b3818 + b3823 + x19282 >= 0 e34600: - b3818 + b3824 + x19282 >= 0 e34601: b3818 - b3819 + b3820 >= 0 e34602: b3818 - b3819 + b3821 >= 0 e34603: b3818 - b3819 + b3822 >= 0 e34604: b3818 - b3819 + b3823 >= 0 e34605: b3818 - b3819 + b3824 >= 0 e34606: b3818 - b3819 + b3825 >= 0 e34607: b3819 - b3820 + b3821 >= 0 e34608: b3819 - b3820 + b3822 >= 0 e34609: b3819 - b3820 + b3823 >= 0 e34610: b3819 - b3820 + b3824 >= 0 e34611: b3819 - b3820 + b3825 >= 0 e34612: b3819 - b3820 + b3826 >= 0 e34613: b3820 - b3821 + b3822 >= 0 e34614: b3820 - b3821 + b3823 >= 0 e34615: b3820 - b3821 + b3824 >= 0 e34616: b3820 - b3821 + b3825 >= 0 e34617: b3820 - b3821 + b3826 >= 0 e34618: b3820 - b3821 + b3827 >= 0 e34619: b3821 - b3822 + b3823 >= 0 e34620: b3821 - b3822 + b3824 >= 0 e34621: b3821 - b3822 + b3825 >= 0 e34622: b3821 - b3822 + b3826 >= 0 e34623: b3821 - b3822 + b3827 >= 0 e34624: b3821 - b3822 + b3828 >= 0 e34625: b3822 - b3823 + b3824 >= 0 e34626: b3822 - b3823 + b3825 >= 0 e34627: b3822 - b3823 + b3826 >= 0 e34628: b3822 - b3823 + b3827 >= 0 e34629: b3822 - b3823 + b3828 >= 0 e34630: b3822 - b3823 + b3829 >= 0 e34631: b3823 - b3824 + b3825 >= 0 e34632: b3823 - b3824 + b3826 >= 0 e34633: b3823 - b3824 + b3827 >= 0 e34634: b3823 - b3824 + b3828 >= 0 e34635: b3823 - b3824 + b3829 >= 0 e34636: b3823 - b3824 + b3830 >= 0 e34637: b3824 - b3825 + b3826 >= 0 e34638: b3824 - b3825 + b3827 >= 0 e34639: b3824 - b3825 + b3828 >= 0 e34640: b3824 - b3825 + b3829 >= 0 e34641: b3824 - b3825 + b3830 >= 0 e34642: b3824 - b3825 + b3831 >= 0 e34643: b3825 - b3826 + b3827 >= 0 e34644: b3825 - b3826 + b3828 >= 0 e34645: b3825 - b3826 + b3829 >= 0 e34646: b3825 - b3826 + b3830 >= 0 e34647: b3825 - b3826 + b3831 >= 0 e34648: b3825 - b3826 + b3832 >= 0 e34649: b3826 - b3827 + b3828 >= 0 e34650: b3826 - b3827 + b3829 >= 0 e34651: b3826 - b3827 + b3830 >= 0 e34652: b3826 - b3827 + b3831 >= 0 e34653: b3826 - b3827 + b3832 >= 0 e34654: b3826 - b3827 + b3833 >= 0 e34655: b3827 - b3828 + b3829 >= 0 e34656: b3827 - b3828 + b3830 >= 0 e34657: b3827 - b3828 + b3831 >= 0 e34658: b3827 - b3828 + b3832 >= 0 e34659: b3827 - b3828 + b3833 >= 0 e34660: b3827 - b3828 + b3834 >= 0 e34661: b3828 - b3829 + b3830 >= 0 e34662: b3828 - b3829 + b3831 >= 0 e34663: b3828 - b3829 + b3832 >= 0 e34664: b3828 - b3829 + b3833 >= 0 e34665: b3828 - b3829 + b3834 >= 0 e34666: b3828 - b3829 + b3835 >= 0 e34667: b3829 - b3830 + b3831 >= 0 e34668: b3829 - b3830 + b3832 >= 0 e34669: b3829 - b3830 + b3833 >= 0 e34670: b3829 - b3830 + b3834 >= 0 e34671: b3829 - b3830 + b3835 >= 0 e34672: b3829 - b3830 + b3836 >= 0 e34673: b3830 - b3831 + b3832 >= 0 e34674: b3830 - b3831 + b3833 >= 0 e34675: b3830 - b3831 + b3834 >= 0 e34676: b3830 - b3831 + b3835 >= 0 e34677: b3830 - b3831 + b3836 >= 0 e34678: b3830 - b3831 + b3837 >= 0 e34679: b3831 - b3832 + b3833 >= 0 e34680: b3831 - b3832 + b3834 >= 0 e34681: b3831 - b3832 + b3835 >= 0 e34682: b3831 - b3832 + b3836 >= 0 e34683: b3831 - b3832 + b3837 >= 0 e34684: b3831 - b3832 + b3838 >= 0 e34685: b3832 - b3833 + b3834 >= 0 e34686: b3832 - b3833 + b3835 >= 0 e34687: b3832 - b3833 + b3836 >= 0 e34688: b3832 - b3833 + b3837 >= 0 e34689: b3832 - b3833 + b3838 >= 0 e34690: b3832 - b3833 + b3839 >= 0 e34691: b3833 - b3834 + b3835 >= 0 e34692: b3833 - b3834 + b3836 >= 0 e34693: b3833 - b3834 + b3837 >= 0 e34694: b3833 - b3834 + b3838 >= 0 e34695: b3833 - b3834 + b3839 >= 0 e34696: b3833 - b3834 + b3840 >= 0 e34697: b3834 - b3835 + b3836 >= 0 e34698: b3834 - b3835 + b3837 >= 0 e34699: b3834 - b3835 + b3838 >= 0 e34700: b3834 - b3835 + b3839 >= 0 e34701: b3834 - b3835 + b3840 >= 0 e34702: b3834 - b3835 + b3841 >= 0 e34703: b3835 - b3836 + b3837 >= 0 e34704: b3835 - b3836 + b3838 >= 0 e34705: b3835 - b3836 + b3839 >= 0 e34706: b3835 - b3836 + b3840 >= 0 e34707: b3835 - b3836 + b3841 >= 0 e34708: b3836 - b3837 + b3838 >= 0 e34709: b3836 - b3837 + b3839 >= 0 e34710: b3836 - b3837 + b3840 >= 0 e34711: b3836 - b3837 + b3841 >= 0 e34712: b3837 - b3838 + b3839 >= 0 e34713: b3837 - b3838 + b3840 >= 0 e34714: b3837 - b3838 + b3841 >= 0 e34715: b3838 - b3839 + b3840 >= 0 e34716: b3838 - b3839 + b3841 >= 0 e34717: b3839 - b3840 + b3841 >= 0 e34718: - b3842 + b3843 + x19283 <= 1 e34719: - b3842 + b3844 + x19283 <= 1 e34720: - b3842 + b3845 + x19283 <= 1 e34721: - b3842 + b3846 + x19283 <= 1 e34722: - b3842 + b3847 + x19283 <= 1 e34723: - b3842 + b3848 + x19283 <= 1 e34724: b3842 - b3843 + b3844 <= 1 e34725: b3842 - b3843 + b3845 <= 1 e34726: b3842 - b3843 + b3846 <= 1 e34727: b3842 - b3843 + b3847 <= 1 e34728: b3842 - b3843 + b3848 <= 1 e34729: b3842 - b3843 + b3849 <= 1 e34730: b3843 - b3844 + b3845 <= 1 e34731: b3843 - b3844 + b3846 <= 1 e34732: b3843 - b3844 + b3847 <= 1 e34733: b3843 - b3844 + b3848 <= 1 e34734: b3843 - b3844 + b3849 <= 1 e34735: b3843 - b3844 + b3850 <= 1 e34736: b3844 - b3845 + b3846 <= 1 e34737: b3844 - b3845 + b3847 <= 1 e34738: b3844 - b3845 + b3848 <= 1 e34739: b3844 - b3845 + b3849 <= 1 e34740: b3844 - b3845 + b3850 <= 1 e34741: b3844 - b3845 + b3851 <= 1 e34742: b3845 - b3846 + b3847 <= 1 e34743: b3845 - b3846 + b3848 <= 1 e34744: b3845 - b3846 + b3849 <= 1 e34745: b3845 - b3846 + b3850 <= 1 e34746: b3845 - b3846 + b3851 <= 1 e34747: b3845 - b3846 + b3852 <= 1 e34748: b3846 - b3847 + b3848 <= 1 e34749: b3846 - b3847 + b3849 <= 1 e34750: b3846 - b3847 + b3850 <= 1 e34751: b3846 - b3847 + b3851 <= 1 e34752: b3846 - b3847 + b3852 <= 1 e34753: b3846 - b3847 + b3853 <= 1 e34754: b3847 - b3848 + b3849 <= 1 e34755: b3847 - b3848 + b3850 <= 1 e34756: b3847 - b3848 + b3851 <= 1 e34757: b3847 - b3848 + b3852 <= 1 e34758: b3847 - b3848 + b3853 <= 1 e34759: b3847 - b3848 + b3854 <= 1 e34760: b3848 - b3849 + b3850 <= 1 e34761: b3848 - b3849 + b3851 <= 1 e34762: b3848 - b3849 + b3852 <= 1 e34763: b3848 - b3849 + b3853 <= 1 e34764: b3848 - b3849 + b3854 <= 1 e34765: b3848 - b3849 + b3855 <= 1 e34766: b3849 - b3850 + b3851 <= 1 e34767: b3849 - b3850 + b3852 <= 1 e34768: b3849 - b3850 + b3853 <= 1 e34769: b3849 - b3850 + b3854 <= 1 e34770: b3849 - b3850 + b3855 <= 1 e34771: b3849 - b3850 + b3856 <= 1 e34772: b3850 - b3851 + b3852 <= 1 e34773: b3850 - b3851 + b3853 <= 1 e34774: b3850 - b3851 + b3854 <= 1 e34775: b3850 - b3851 + b3855 <= 1 e34776: b3850 - b3851 + b3856 <= 1 e34777: b3850 - b3851 + b3857 <= 1 e34778: b3851 - b3852 + b3853 <= 1 e34779: b3851 - b3852 + b3854 <= 1 e34780: b3851 - b3852 + b3855 <= 1 e34781: b3851 - b3852 + b3856 <= 1 e34782: b3851 - b3852 + b3857 <= 1 e34783: b3851 - b3852 + b3858 <= 1 e34784: b3852 - b3853 + b3854 <= 1 e34785: b3852 - b3853 + b3855 <= 1 e34786: b3852 - b3853 + b3856 <= 1 e34787: b3852 - b3853 + b3857 <= 1 e34788: b3852 - b3853 + b3858 <= 1 e34789: b3852 - b3853 + b3859 <= 1 e34790: b3853 - b3854 + b3855 <= 1 e34791: b3853 - b3854 + b3856 <= 1 e34792: b3853 - b3854 + b3857 <= 1 e34793: b3853 - b3854 + b3858 <= 1 e34794: b3853 - b3854 + b3859 <= 1 e34795: b3853 - b3854 + b3860 <= 1 e34796: b3854 - b3855 + b3856 <= 1 e34797: b3854 - b3855 + b3857 <= 1 e34798: b3854 - b3855 + b3858 <= 1 e34799: b3854 - b3855 + b3859 <= 1 e34800: b3854 - b3855 + b3860 <= 1 e34801: b3854 - b3855 + b3861 <= 1 e34802: b3855 - b3856 + b3857 <= 1 e34803: b3855 - b3856 + b3858 <= 1 e34804: b3855 - b3856 + b3859 <= 1 e34805: b3855 - b3856 + b3860 <= 1 e34806: b3855 - b3856 + b3861 <= 1 e34807: b3855 - b3856 + b3862 <= 1 e34808: b3856 - b3857 + b3858 <= 1 e34809: b3856 - b3857 + b3859 <= 1 e34810: b3856 - b3857 + b3860 <= 1 e34811: b3856 - b3857 + b3861 <= 1 e34812: b3856 - b3857 + b3862 <= 1 e34813: b3856 - b3857 + b3863 <= 1 e34814: b3857 - b3858 + b3859 <= 1 e34815: b3857 - b3858 + b3860 <= 1 e34816: b3857 - b3858 + b3861 <= 1 e34817: b3857 - b3858 + b3862 <= 1 e34818: b3857 - b3858 + b3863 <= 1 e34819: b3857 - b3858 + b3864 <= 1 e34820: b3858 - b3859 + b3860 <= 1 e34821: b3858 - b3859 + b3861 <= 1 e34822: b3858 - b3859 + b3862 <= 1 e34823: b3858 - b3859 + b3863 <= 1 e34824: b3858 - b3859 + b3864 <= 1 e34825: b3858 - b3859 + b3865 <= 1 e34826: b3859 - b3860 + b3861 <= 1 e34827: b3859 - b3860 + b3862 <= 1 e34828: b3859 - b3860 + b3863 <= 1 e34829: b3859 - b3860 + b3864 <= 1 e34830: b3859 - b3860 + b3865 <= 1 e34831: b3860 - b3861 + b3862 <= 1 e34832: b3860 - b3861 + b3863 <= 1 e34833: b3860 - b3861 + b3864 <= 1 e34834: b3860 - b3861 + b3865 <= 1 e34835: b3861 - b3862 + b3863 <= 1 e34836: b3861 - b3862 + b3864 <= 1 e34837: b3861 - b3862 + b3865 <= 1 e34838: b3862 - b3863 + b3864 <= 1 e34839: b3862 - b3863 + b3865 <= 1 e34840: b3863 - b3864 + b3865 <= 1 e34841: - b3842 + b3843 + x19283 >= 0 e34842: - b3842 + b3844 + x19283 >= 0 e34843: - b3842 + b3845 + x19283 >= 0 e34844: - b3842 + b3846 + x19283 >= 0 e34845: - b3842 + b3847 + x19283 >= 0 e34846: - b3842 + b3848 + x19283 >= 0 e34847: b3842 - b3843 + b3844 >= 0 e34848: b3842 - b3843 + b3845 >= 0 e34849: b3842 - b3843 + b3846 >= 0 e34850: b3842 - b3843 + b3847 >= 0 e34851: b3842 - b3843 + b3848 >= 0 e34852: b3842 - b3843 + b3849 >= 0 e34853: b3843 - b3844 + b3845 >= 0 e34854: b3843 - b3844 + b3846 >= 0 e34855: b3843 - b3844 + b3847 >= 0 e34856: b3843 - b3844 + b3848 >= 0 e34857: b3843 - b3844 + b3849 >= 0 e34858: b3843 - b3844 + b3850 >= 0 e34859: b3844 - b3845 + b3846 >= 0 e34860: b3844 - b3845 + b3847 >= 0 e34861: b3844 - b3845 + b3848 >= 0 e34862: b3844 - b3845 + b3849 >= 0 e34863: b3844 - b3845 + b3850 >= 0 e34864: b3844 - b3845 + b3851 >= 0 e34865: b3845 - b3846 + b3847 >= 0 e34866: b3845 - b3846 + b3848 >= 0 e34867: b3845 - b3846 + b3849 >= 0 e34868: b3845 - b3846 + b3850 >= 0 e34869: b3845 - b3846 + b3851 >= 0 e34870: b3845 - b3846 + b3852 >= 0 e34871: b3846 - b3847 + b3848 >= 0 e34872: b3846 - b3847 + b3849 >= 0 e34873: b3846 - b3847 + b3850 >= 0 e34874: b3846 - b3847 + b3851 >= 0 e34875: b3846 - b3847 + b3852 >= 0 e34876: b3846 - b3847 + b3853 >= 0 e34877: b3847 - b3848 + b3849 >= 0 e34878: b3847 - b3848 + b3850 >= 0 e34879: b3847 - b3848 + b3851 >= 0 e34880: b3847 - b3848 + b3852 >= 0 e34881: b3847 - b3848 + b3853 >= 0 e34882: b3847 - b3848 + b3854 >= 0 e34883: b3848 - b3849 + b3850 >= 0 e34884: b3848 - b3849 + b3851 >= 0 e34885: b3848 - b3849 + b3852 >= 0 e34886: b3848 - b3849 + b3853 >= 0 e34887: b3848 - b3849 + b3854 >= 0 e34888: b3848 - b3849 + b3855 >= 0 e34889: b3849 - b3850 + b3851 >= 0 e34890: b3849 - b3850 + b3852 >= 0 e34891: b3849 - b3850 + b3853 >= 0 e34892: b3849 - b3850 + b3854 >= 0 e34893: b3849 - b3850 + b3855 >= 0 e34894: b3849 - b3850 + b3856 >= 0 e34895: b3850 - b3851 + b3852 >= 0 e34896: b3850 - b3851 + b3853 >= 0 e34897: b3850 - b3851 + b3854 >= 0 e34898: b3850 - b3851 + b3855 >= 0 e34899: b3850 - b3851 + b3856 >= 0 e34900: b3850 - b3851 + b3857 >= 0 e34901: b3851 - b3852 + b3853 >= 0 e34902: b3851 - b3852 + b3854 >= 0 e34903: b3851 - b3852 + b3855 >= 0 e34904: b3851 - b3852 + b3856 >= 0 e34905: b3851 - b3852 + b3857 >= 0 e34906: b3851 - b3852 + b3858 >= 0 e34907: b3852 - b3853 + b3854 >= 0 e34908: b3852 - b3853 + b3855 >= 0 e34909: b3852 - b3853 + b3856 >= 0 e34910: b3852 - b3853 + b3857 >= 0 e34911: b3852 - b3853 + b3858 >= 0 e34912: b3852 - b3853 + b3859 >= 0 e34913: b3853 - b3854 + b3855 >= 0 e34914: b3853 - b3854 + b3856 >= 0 e34915: b3853 - b3854 + b3857 >= 0 e34916: b3853 - b3854 + b3858 >= 0 e34917: b3853 - b3854 + b3859 >= 0 e34918: b3853 - b3854 + b3860 >= 0 e34919: b3854 - b3855 + b3856 >= 0 e34920: b3854 - b3855 + b3857 >= 0 e34921: b3854 - b3855 + b3858 >= 0 e34922: b3854 - b3855 + b3859 >= 0 e34923: b3854 - b3855 + b3860 >= 0 e34924: b3854 - b3855 + b3861 >= 0 e34925: b3855 - b3856 + b3857 >= 0 e34926: b3855 - b3856 + b3858 >= 0 e34927: b3855 - b3856 + b3859 >= 0 e34928: b3855 - b3856 + b3860 >= 0 e34929: b3855 - b3856 + b3861 >= 0 e34930: b3855 - b3856 + b3862 >= 0 e34931: b3856 - b3857 + b3858 >= 0 e34932: b3856 - b3857 + b3859 >= 0 e34933: b3856 - b3857 + b3860 >= 0 e34934: b3856 - b3857 + b3861 >= 0 e34935: b3856 - b3857 + b3862 >= 0 e34936: b3856 - b3857 + b3863 >= 0 e34937: b3857 - b3858 + b3859 >= 0 e34938: b3857 - b3858 + b3860 >= 0 e34939: b3857 - b3858 + b3861 >= 0 e34940: b3857 - b3858 + b3862 >= 0 e34941: b3857 - b3858 + b3863 >= 0 e34942: b3857 - b3858 + b3864 >= 0 e34943: b3858 - b3859 + b3860 >= 0 e34944: b3858 - b3859 + b3861 >= 0 e34945: b3858 - b3859 + b3862 >= 0 e34946: b3858 - b3859 + b3863 >= 0 e34947: b3858 - b3859 + b3864 >= 0 e34948: b3858 - b3859 + b3865 >= 0 e34949: b3859 - b3860 + b3861 >= 0 e34950: b3859 - b3860 + b3862 >= 0 e34951: b3859 - b3860 + b3863 >= 0 e34952: b3859 - b3860 + b3864 >= 0 e34953: b3859 - b3860 + b3865 >= 0 e34954: b3860 - b3861 + b3862 >= 0 e34955: b3860 - b3861 + b3863 >= 0 e34956: b3860 - b3861 + b3864 >= 0 e34957: b3860 - b3861 + b3865 >= 0 e34958: b3861 - b3862 + b3863 >= 0 e34959: b3861 - b3862 + b3864 >= 0 e34960: b3861 - b3862 + b3865 >= 0 e34961: b3862 - b3863 + b3864 >= 0 e34962: b3862 - b3863 + b3865 >= 0 e34963: b3863 - b3864 + b3865 >= 0 e34964: b3866 = 0 e34965: b3867 = 0 e34966: b3868 = 0 e34967: b3868 - b3869 + b3870 <= 1 e34968: b3868 - b3869 + b3871 <= 1 e34969: b3868 - b3869 + b3872 <= 1 e34970: b3868 - b3869 + b3873 <= 1 e34971: b3868 - b3869 + b3874 <= 1 e34972: b3868 - b3869 + b3875 <= 1 e34973: b3868 - b3869 + b3876 <= 1 e34974: b3869 - b3870 + b3871 <= 1 e34975: b3869 - b3870 + b3872 <= 1 e34976: b3869 - b3870 + b3873 <= 1 e34977: b3869 - b3870 + b3874 <= 1 e34978: b3869 - b3870 + b3875 <= 1 e34979: b3869 - b3870 + b3876 <= 1 e34980: b3869 - b3870 + b3877 <= 1 e34981: b3870 - b3871 + b3872 <= 1 e34982: b3870 - b3871 + b3873 <= 1 e34983: b3870 - b3871 + b3874 <= 1 e34984: b3870 - b3871 + b3875 <= 1 e34985: b3870 - b3871 + b3876 <= 1 e34986: b3870 - b3871 + b3877 <= 1 e34987: b3870 - b3871 + b3878 <= 1 e34988: b3871 - b3872 + b3873 <= 1 e34989: b3871 - b3872 + b3874 <= 1 e34990: b3871 - b3872 + b3875 <= 1 e34991: b3871 - b3872 + b3876 <= 1 e34992: b3871 - b3872 + b3877 <= 1 e34993: b3871 - b3872 + b3878 <= 1 e34994: b3871 - b3872 + b3879 <= 1 e34995: b3872 - b3873 + b3874 <= 1 e34996: b3872 - b3873 + b3875 <= 1 e34997: b3872 - b3873 + b3876 <= 1 e34998: b3872 - b3873 + b3877 <= 1 e34999: b3872 - b3873 + b3878 <= 1 e35000: b3872 - b3873 + b3879 <= 1 e35001: b3872 - b3873 + b3880 <= 1 e35002: b3873 - b3874 + b3875 <= 1 e35003: b3873 - b3874 + b3876 <= 1 e35004: b3873 - b3874 + b3877 <= 1 e35005: b3873 - b3874 + b3878 <= 1 e35006: b3873 - b3874 + b3879 <= 1 e35007: b3873 - b3874 + b3880 <= 1 e35008: b3873 - b3874 + b3881 <= 1 e35009: b3874 - b3875 + b3876 <= 1 e35010: b3874 - b3875 + b3877 <= 1 e35011: b3874 - b3875 + b3878 <= 1 e35012: b3874 - b3875 + b3879 <= 1 e35013: b3874 - b3875 + b3880 <= 1 e35014: b3874 - b3875 + b3881 <= 1 e35015: b3874 - b3875 + b3882 <= 1 e35016: b3875 - b3876 + b3877 <= 1 e35017: b3875 - b3876 + b3878 <= 1 e35018: b3875 - b3876 + b3879 <= 1 e35019: b3875 - b3876 + b3880 <= 1 e35020: b3875 - b3876 + b3881 <= 1 e35021: b3875 - b3876 + b3882 <= 1 e35022: b3875 - b3876 + b3883 <= 1 e35023: b3876 - b3877 + b3878 <= 1 e35024: b3876 - b3877 + b3879 <= 1 e35025: b3876 - b3877 + b3880 <= 1 e35026: b3876 - b3877 + b3881 <= 1 e35027: b3876 - b3877 + b3882 <= 1 e35028: b3876 - b3877 + b3883 <= 1 e35029: b3876 - b3877 + b3884 <= 1 e35030: b3877 - b3878 + b3879 <= 1 e35031: b3877 - b3878 + b3880 <= 1 e35032: b3877 - b3878 + b3881 <= 1 e35033: b3877 - b3878 + b3882 <= 1 e35034: b3877 - b3878 + b3883 <= 1 e35035: b3877 - b3878 + b3884 <= 1 e35036: b3877 - b3878 + b3885 <= 1 e35037: b3878 - b3879 + b3880 <= 1 e35038: b3878 - b3879 + b3881 <= 1 e35039: b3878 - b3879 + b3882 <= 1 e35040: b3878 - b3879 + b3883 <= 1 e35041: b3878 - b3879 + b3884 <= 1 e35042: b3878 - b3879 + b3885 <= 1 e35043: b3878 - b3879 + b3886 <= 1 e35044: b3879 - b3880 + b3881 <= 1 e35045: b3879 - b3880 + b3882 <= 1 e35046: b3879 - b3880 + b3883 <= 1 e35047: b3879 - b3880 + b3884 <= 1 e35048: b3879 - b3880 + b3885 <= 1 e35049: b3879 - b3880 + b3886 <= 1 e35050: b3879 - b3880 + b3887 <= 1 e35051: b3880 - b3881 + b3882 <= 1 e35052: b3880 - b3881 + b3883 <= 1 e35053: b3880 - b3881 + b3884 <= 1 e35054: b3880 - b3881 + b3885 <= 1 e35055: b3880 - b3881 + b3886 <= 1 e35056: b3880 - b3881 + b3887 <= 1 e35057: b3880 - b3881 + b3888 <= 1 e35058: b3881 - b3882 + b3883 <= 1 e35059: b3881 - b3882 + b3884 <= 1 e35060: b3881 - b3882 + b3885 <= 1 e35061: b3881 - b3882 + b3886 <= 1 e35062: b3881 - b3882 + b3887 <= 1 e35063: b3881 - b3882 + b3888 <= 1 e35064: b3881 - b3882 + b3889 <= 1 e35065: b3882 - b3883 + b3884 <= 1 e35066: b3882 - b3883 + b3885 <= 1 e35067: b3882 - b3883 + b3886 <= 1 e35068: b3882 - b3883 + b3887 <= 1 e35069: b3882 - b3883 + b3888 <= 1 e35070: b3882 - b3883 + b3889 <= 1 e35071: b3883 - b3884 + b3885 <= 1 e35072: b3883 - b3884 + b3886 <= 1 e35073: b3883 - b3884 + b3887 <= 1 e35074: b3883 - b3884 + b3888 <= 1 e35075: b3883 - b3884 + b3889 <= 1 e35076: b3884 - b3885 + b3886 <= 1 e35077: b3884 - b3885 + b3887 <= 1 e35078: b3884 - b3885 + b3888 <= 1 e35079: b3884 - b3885 + b3889 <= 1 e35080: b3885 - b3886 + b3887 <= 1 e35081: b3885 - b3886 + b3888 <= 1 e35082: b3885 - b3886 + b3889 <= 1 e35083: b3886 - b3887 + b3888 <= 1 e35084: b3886 - b3887 + b3889 <= 1 e35085: b3887 - b3888 + b3889 <= 1 e35086: b3868 - b3869 + b3870 >= 0 e35087: b3868 - b3869 + b3871 >= 0 e35088: b3868 - b3869 + b3872 >= 0 e35089: b3868 - b3869 + b3873 >= 0 e35090: b3868 - b3869 + b3874 >= 0 e35091: b3868 - b3869 + b3875 >= 0 e35092: b3869 - b3870 + b3871 >= 0 e35093: b3869 - b3870 + b3872 >= 0 e35094: b3869 - b3870 + b3873 >= 0 e35095: b3869 - b3870 + b3874 >= 0 e35096: b3869 - b3870 + b3875 >= 0 e35097: b3869 - b3870 + b3876 >= 0 e35098: b3870 - b3871 + b3872 >= 0 e35099: b3870 - b3871 + b3873 >= 0 e35100: b3870 - b3871 + b3874 >= 0 e35101: b3870 - b3871 + b3875 >= 0 e35102: b3870 - b3871 + b3876 >= 0 e35103: b3870 - b3871 + b3877 >= 0 e35104: b3871 - b3872 + b3873 >= 0 e35105: b3871 - b3872 + b3874 >= 0 e35106: b3871 - b3872 + b3875 >= 0 e35107: b3871 - b3872 + b3876 >= 0 e35108: b3871 - b3872 + b3877 >= 0 e35109: b3871 - b3872 + b3878 >= 0 e35110: b3872 - b3873 + b3874 >= 0 e35111: b3872 - b3873 + b3875 >= 0 e35112: b3872 - b3873 + b3876 >= 0 e35113: b3872 - b3873 + b3877 >= 0 e35114: b3872 - b3873 + b3878 >= 0 e35115: b3872 - b3873 + b3879 >= 0 e35116: b3873 - b3874 + b3875 >= 0 e35117: b3873 - b3874 + b3876 >= 0 e35118: b3873 - b3874 + b3877 >= 0 e35119: b3873 - b3874 + b3878 >= 0 e35120: b3873 - b3874 + b3879 >= 0 e35121: b3873 - b3874 + b3880 >= 0 e35122: b3874 - b3875 + b3876 >= 0 e35123: b3874 - b3875 + b3877 >= 0 e35124: b3874 - b3875 + b3878 >= 0 e35125: b3874 - b3875 + b3879 >= 0 e35126: b3874 - b3875 + b3880 >= 0 e35127: b3874 - b3875 + b3881 >= 0 e35128: b3875 - b3876 + b3877 >= 0 e35129: b3875 - b3876 + b3878 >= 0 e35130: b3875 - b3876 + b3879 >= 0 e35131: b3875 - b3876 + b3880 >= 0 e35132: b3875 - b3876 + b3881 >= 0 e35133: b3875 - b3876 + b3882 >= 0 e35134: b3876 - b3877 + b3878 >= 0 e35135: b3876 - b3877 + b3879 >= 0 e35136: b3876 - b3877 + b3880 >= 0 e35137: b3876 - b3877 + b3881 >= 0 e35138: b3876 - b3877 + b3882 >= 0 e35139: b3876 - b3877 + b3883 >= 0 e35140: b3877 - b3878 + b3879 >= 0 e35141: b3877 - b3878 + b3880 >= 0 e35142: b3877 - b3878 + b3881 >= 0 e35143: b3877 - b3878 + b3882 >= 0 e35144: b3877 - b3878 + b3883 >= 0 e35145: b3877 - b3878 + b3884 >= 0 e35146: b3878 - b3879 + b3880 >= 0 e35147: b3878 - b3879 + b3881 >= 0 e35148: b3878 - b3879 + b3882 >= 0 e35149: b3878 - b3879 + b3883 >= 0 e35150: b3878 - b3879 + b3884 >= 0 e35151: b3878 - b3879 + b3885 >= 0 e35152: b3879 - b3880 + b3881 >= 0 e35153: b3879 - b3880 + b3882 >= 0 e35154: b3879 - b3880 + b3883 >= 0 e35155: b3879 - b3880 + b3884 >= 0 e35156: b3879 - b3880 + b3885 >= 0 e35157: b3879 - b3880 + b3886 >= 0 e35158: b3880 - b3881 + b3882 >= 0 e35159: b3880 - b3881 + b3883 >= 0 e35160: b3880 - b3881 + b3884 >= 0 e35161: b3880 - b3881 + b3885 >= 0 e35162: b3880 - b3881 + b3886 >= 0 e35163: b3880 - b3881 + b3887 >= 0 e35164: b3881 - b3882 + b3883 >= 0 e35165: b3881 - b3882 + b3884 >= 0 e35166: b3881 - b3882 + b3885 >= 0 e35167: b3881 - b3882 + b3886 >= 0 e35168: b3881 - b3882 + b3887 >= 0 e35169: b3881 - b3882 + b3888 >= 0 e35170: b3882 - b3883 + b3884 >= 0 e35171: b3882 - b3883 + b3885 >= 0 e35172: b3882 - b3883 + b3886 >= 0 e35173: b3882 - b3883 + b3887 >= 0 e35174: b3882 - b3883 + b3888 >= 0 e35175: b3882 - b3883 + b3889 >= 0 e35176: b3883 - b3884 + b3885 >= 0 e35177: b3883 - b3884 + b3886 >= 0 e35178: b3883 - b3884 + b3887 >= 0 e35179: b3883 - b3884 + b3888 >= 0 e35180: b3883 - b3884 + b3889 >= 0 e35181: b3884 - b3885 + b3886 >= 0 e35182: b3884 - b3885 + b3887 >= 0 e35183: b3884 - b3885 + b3888 >= 0 e35184: b3884 - b3885 + b3889 >= 0 e35185: b3885 - b3886 + b3887 >= 0 e35186: b3885 - b3886 + b3888 >= 0 e35187: b3885 - b3886 + b3889 >= 0 e35188: b3886 - b3887 + b3888 >= 0 e35189: b3886 - b3887 + b3889 >= 0 e35190: b3887 - b3888 + b3889 >= 0 e35191: b3890 = 0 e35192: b3891 = 0 e35193: b3892 = 0 e35194: b3893 = 0 e35195: b3893 - b3894 + b3895 <= 1 e35196: b3893 - b3894 + b3896 <= 1 e35197: b3893 - b3894 + b3897 <= 1 e35198: b3893 - b3894 + b3898 <= 1 e35199: b3893 - b3894 + b3899 <= 1 e35200: b3893 - b3894 + b3900 <= 1 e35201: b3893 - b3894 + b3901 <= 1 e35202: b3894 - b3895 + b3896 <= 1 e35203: b3894 - b3895 + b3897 <= 1 e35204: b3894 - b3895 + b3898 <= 1 e35205: b3894 - b3895 + b3899 <= 1 e35206: b3894 - b3895 + b3900 <= 1 e35207: b3894 - b3895 + b3901 <= 1 e35208: b3894 - b3895 + b3902 <= 1 e35209: b3895 - b3896 + b3897 <= 1 e35210: b3895 - b3896 + b3898 <= 1 e35211: b3895 - b3896 + b3899 <= 1 e35212: b3895 - b3896 + b3900 <= 1 e35213: b3895 - b3896 + b3901 <= 1 e35214: b3895 - b3896 + b3902 <= 1 e35215: b3895 - b3896 + b3903 <= 1 e35216: b3896 - b3897 + b3898 <= 1 e35217: b3896 - b3897 + b3899 <= 1 e35218: b3896 - b3897 + b3900 <= 1 e35219: b3896 - b3897 + b3901 <= 1 e35220: b3896 - b3897 + b3902 <= 1 e35221: b3896 - b3897 + b3903 <= 1 e35222: b3896 - b3897 + b3904 <= 1 e35223: b3897 - b3898 + b3899 <= 1 e35224: b3897 - b3898 + b3900 <= 1 e35225: b3897 - b3898 + b3901 <= 1 e35226: b3897 - b3898 + b3902 <= 1 e35227: b3897 - b3898 + b3903 <= 1 e35228: b3897 - b3898 + b3904 <= 1 e35229: b3897 - b3898 + b3905 <= 1 e35230: b3898 - b3899 + b3900 <= 1 e35231: b3898 - b3899 + b3901 <= 1 e35232: b3898 - b3899 + b3902 <= 1 e35233: b3898 - b3899 + b3903 <= 1 e35234: b3898 - b3899 + b3904 <= 1 e35235: b3898 - b3899 + b3905 <= 1 e35236: b3898 - b3899 + b3906 <= 1 e35237: b3899 - b3900 + b3901 <= 1 e35238: b3899 - b3900 + b3902 <= 1 e35239: b3899 - b3900 + b3903 <= 1 e35240: b3899 - b3900 + b3904 <= 1 e35241: b3899 - b3900 + b3905 <= 1 e35242: b3899 - b3900 + b3906 <= 1 e35243: b3899 - b3900 + b3907 <= 1 e35244: b3900 - b3901 + b3902 <= 1 e35245: b3900 - b3901 + b3903 <= 1 e35246: b3900 - b3901 + b3904 <= 1 e35247: b3900 - b3901 + b3905 <= 1 e35248: b3900 - b3901 + b3906 <= 1 e35249: b3900 - b3901 + b3907 <= 1 e35250: b3900 - b3901 + b3908 <= 1 e35251: b3901 - b3902 + b3903 <= 1 e35252: b3901 - b3902 + b3904 <= 1 e35253: b3901 - b3902 + b3905 <= 1 e35254: b3901 - b3902 + b3906 <= 1 e35255: b3901 - b3902 + b3907 <= 1 e35256: b3901 - b3902 + b3908 <= 1 e35257: b3901 - b3902 + b3909 <= 1 e35258: b3902 - b3903 + b3904 <= 1 e35259: b3902 - b3903 + b3905 <= 1 e35260: b3902 - b3903 + b3906 <= 1 e35261: b3902 - b3903 + b3907 <= 1 e35262: b3902 - b3903 + b3908 <= 1 e35263: b3902 - b3903 + b3909 <= 1 e35264: b3902 - b3903 + b3910 <= 1 e35265: b3903 - b3904 + b3905 <= 1 e35266: b3903 - b3904 + b3906 <= 1 e35267: b3903 - b3904 + b3907 <= 1 e35268: b3903 - b3904 + b3908 <= 1 e35269: b3903 - b3904 + b3909 <= 1 e35270: b3903 - b3904 + b3910 <= 1 e35271: b3903 - b3904 + b3911 <= 1 e35272: b3904 - b3905 + b3906 <= 1 e35273: b3904 - b3905 + b3907 <= 1 e35274: b3904 - b3905 + b3908 <= 1 e35275: b3904 - b3905 + b3909 <= 1 e35276: b3904 - b3905 + b3910 <= 1 e35277: b3904 - b3905 + b3911 <= 1 e35278: b3904 - b3905 + b3912 <= 1 e35279: b3905 - b3906 + b3907 <= 1 e35280: b3905 - b3906 + b3908 <= 1 e35281: b3905 - b3906 + b3909 <= 1 e35282: b3905 - b3906 + b3910 <= 1 e35283: b3905 - b3906 + b3911 <= 1 e35284: b3905 - b3906 + b3912 <= 1 e35285: b3905 - b3906 + b3913 <= 1 e35286: b3906 - b3907 + b3908 <= 1 e35287: b3906 - b3907 + b3909 <= 1 e35288: b3906 - b3907 + b3910 <= 1 e35289: b3906 - b3907 + b3911 <= 1 e35290: b3906 - b3907 + b3912 <= 1 e35291: b3906 - b3907 + b3913 <= 1 e35292: b3907 - b3908 + b3909 <= 1 e35293: b3907 - b3908 + b3910 <= 1 e35294: b3907 - b3908 + b3911 <= 1 e35295: b3907 - b3908 + b3912 <= 1 e35296: b3907 - b3908 + b3913 <= 1 e35297: b3908 - b3909 + b3910 <= 1 e35298: b3908 - b3909 + b3911 <= 1 e35299: b3908 - b3909 + b3912 <= 1 e35300: b3908 - b3909 + b3913 <= 1 e35301: b3909 - b3910 + b3911 <= 1 e35302: b3909 - b3910 + b3912 <= 1 e35303: b3909 - b3910 + b3913 <= 1 e35304: b3910 - b3911 + b3912 <= 1 e35305: b3910 - b3911 + b3913 <= 1 e35306: b3911 - b3912 + b3913 <= 1 e35307: b3893 - b3894 + b3895 >= 0 e35308: b3893 - b3894 + b3896 >= 0 e35309: b3893 - b3894 + b3897 >= 0 e35310: b3893 - b3894 + b3898 >= 0 e35311: b3893 - b3894 + b3899 >= 0 e35312: b3893 - b3894 + b3900 >= 0 e35313: b3894 - b3895 + b3896 >= 0 e35314: b3894 - b3895 + b3897 >= 0 e35315: b3894 - b3895 + b3898 >= 0 e35316: b3894 - b3895 + b3899 >= 0 e35317: b3894 - b3895 + b3900 >= 0 e35318: b3894 - b3895 + b3901 >= 0 e35319: b3895 - b3896 + b3897 >= 0 e35320: b3895 - b3896 + b3898 >= 0 e35321: b3895 - b3896 + b3899 >= 0 e35322: b3895 - b3896 + b3900 >= 0 e35323: b3895 - b3896 + b3901 >= 0 e35324: b3895 - b3896 + b3902 >= 0 e35325: b3896 - b3897 + b3898 >= 0 e35326: b3896 - b3897 + b3899 >= 0 e35327: b3896 - b3897 + b3900 >= 0 e35328: b3896 - b3897 + b3901 >= 0 e35329: b3896 - b3897 + b3902 >= 0 e35330: b3896 - b3897 + b3903 >= 0 e35331: b3897 - b3898 + b3899 >= 0 e35332: b3897 - b3898 + b3900 >= 0 e35333: b3897 - b3898 + b3901 >= 0 e35334: b3897 - b3898 + b3902 >= 0 e35335: b3897 - b3898 + b3903 >= 0 e35336: b3897 - b3898 + b3904 >= 0 e35337: b3898 - b3899 + b3900 >= 0 e35338: b3898 - b3899 + b3901 >= 0 e35339: b3898 - b3899 + b3902 >= 0 e35340: b3898 - b3899 + b3903 >= 0 e35341: b3898 - b3899 + b3904 >= 0 e35342: b3898 - b3899 + b3905 >= 0 e35343: b3899 - b3900 + b3901 >= 0 e35344: b3899 - b3900 + b3902 >= 0 e35345: b3899 - b3900 + b3903 >= 0 e35346: b3899 - b3900 + b3904 >= 0 e35347: b3899 - b3900 + b3905 >= 0 e35348: b3899 - b3900 + b3906 >= 0 e35349: b3900 - b3901 + b3902 >= 0 e35350: b3900 - b3901 + b3903 >= 0 e35351: b3900 - b3901 + b3904 >= 0 e35352: b3900 - b3901 + b3905 >= 0 e35353: b3900 - b3901 + b3906 >= 0 e35354: b3900 - b3901 + b3907 >= 0 e35355: b3901 - b3902 + b3903 >= 0 e35356: b3901 - b3902 + b3904 >= 0 e35357: b3901 - b3902 + b3905 >= 0 e35358: b3901 - b3902 + b3906 >= 0 e35359: b3901 - b3902 + b3907 >= 0 e35360: b3901 - b3902 + b3908 >= 0 e35361: b3902 - b3903 + b3904 >= 0 e35362: b3902 - b3903 + b3905 >= 0 e35363: b3902 - b3903 + b3906 >= 0 e35364: b3902 - b3903 + b3907 >= 0 e35365: b3902 - b3903 + b3908 >= 0 e35366: b3902 - b3903 + b3909 >= 0 e35367: b3903 - b3904 + b3905 >= 0 e35368: b3903 - b3904 + b3906 >= 0 e35369: b3903 - b3904 + b3907 >= 0 e35370: b3903 - b3904 + b3908 >= 0 e35371: b3903 - b3904 + b3909 >= 0 e35372: b3903 - b3904 + b3910 >= 0 e35373: b3904 - b3905 + b3906 >= 0 e35374: b3904 - b3905 + b3907 >= 0 e35375: b3904 - b3905 + b3908 >= 0 e35376: b3904 - b3905 + b3909 >= 0 e35377: b3904 - b3905 + b3910 >= 0 e35378: b3904 - b3905 + b3911 >= 0 e35379: b3905 - b3906 + b3907 >= 0 e35380: b3905 - b3906 + b3908 >= 0 e35381: b3905 - b3906 + b3909 >= 0 e35382: b3905 - b3906 + b3910 >= 0 e35383: b3905 - b3906 + b3911 >= 0 e35384: b3905 - b3906 + b3912 >= 0 e35385: b3906 - b3907 + b3908 >= 0 e35386: b3906 - b3907 + b3909 >= 0 e35387: b3906 - b3907 + b3910 >= 0 e35388: b3906 - b3907 + b3911 >= 0 e35389: b3906 - b3907 + b3912 >= 0 e35390: b3906 - b3907 + b3913 >= 0 e35391: b3907 - b3908 + b3909 >= 0 e35392: b3907 - b3908 + b3910 >= 0 e35393: b3907 - b3908 + b3911 >= 0 e35394: b3907 - b3908 + b3912 >= 0 e35395: b3907 - b3908 + b3913 >= 0 e35396: b3908 - b3909 + b3910 >= 0 e35397: b3908 - b3909 + b3911 >= 0 e35398: b3908 - b3909 + b3912 >= 0 e35399: b3908 - b3909 + b3913 >= 0 e35400: b3909 - b3910 + b3911 >= 0 e35401: b3909 - b3910 + b3912 >= 0 e35402: b3909 - b3910 + b3913 >= 0 e35403: b3910 - b3911 + b3912 >= 0 e35404: b3910 - b3911 + b3913 >= 0 e35405: b3911 - b3912 + b3913 >= 0 e35406: - b3914 + b3915 + x19284 <= 1 e35407: - b3914 + b3916 + x19284 <= 1 e35408: - b3914 + b3917 + x19284 <= 1 e35409: - b3914 + b3918 + x19284 <= 1 e35410: - b3914 + b3919 + x19284 <= 1 e35411: - b3914 + b3920 + x19284 <= 1 e35412: b3914 - b3915 + b3916 <= 1 e35413: b3914 - b3915 + b3917 <= 1 e35414: b3914 - b3915 + b3918 <= 1 e35415: b3914 - b3915 + b3919 <= 1 e35416: b3914 - b3915 + b3920 <= 1 e35417: b3914 - b3915 + b3921 <= 1 e35418: b3915 - b3916 + b3917 <= 1 e35419: b3915 - b3916 + b3918 <= 1 e35420: b3915 - b3916 + b3919 <= 1 e35421: b3915 - b3916 + b3920 <= 1 e35422: b3915 - b3916 + b3921 <= 1 e35423: b3915 - b3916 + b3922 <= 1 e35424: b3916 - b3917 + b3918 <= 1 e35425: b3916 - b3917 + b3919 <= 1 e35426: b3916 - b3917 + b3920 <= 1 e35427: b3916 - b3917 + b3921 <= 1 e35428: b3916 - b3917 + b3922 <= 1 e35429: b3916 - b3917 + b3923 <= 1 e35430: b3917 - b3918 + b3919 <= 1 e35431: b3917 - b3918 + b3920 <= 1 e35432: b3917 - b3918 + b3921 <= 1 e35433: b3917 - b3918 + b3922 <= 1 e35434: b3917 - b3918 + b3923 <= 1 e35435: b3917 - b3918 + b3924 <= 1 e35436: b3918 - b3919 + b3920 <= 1 e35437: b3918 - b3919 + b3921 <= 1 e35438: b3918 - b3919 + b3922 <= 1 e35439: b3918 - b3919 + b3923 <= 1 e35440: b3918 - b3919 + b3924 <= 1 e35441: b3918 - b3919 + b3925 <= 1 e35442: b3919 - b3920 + b3921 <= 1 e35443: b3919 - b3920 + b3922 <= 1 e35444: b3919 - b3920 + b3923 <= 1 e35445: b3919 - b3920 + b3924 <= 1 e35446: b3919 - b3920 + b3925 <= 1 e35447: b3919 - b3920 + b3926 <= 1 e35448: b3920 - b3921 + b3922 <= 1 e35449: b3920 - b3921 + b3923 <= 1 e35450: b3920 - b3921 + b3924 <= 1 e35451: b3920 - b3921 + b3925 <= 1 e35452: b3920 - b3921 + b3926 <= 1 e35453: b3920 - b3921 + b3927 <= 1 e35454: b3921 - b3922 + b3923 <= 1 e35455: b3921 - b3922 + b3924 <= 1 e35456: b3921 - b3922 + b3925 <= 1 e35457: b3921 - b3922 + b3926 <= 1 e35458: b3921 - b3922 + b3927 <= 1 e35459: b3921 - b3922 + b3928 <= 1 e35460: b3922 - b3923 + b3924 <= 1 e35461: b3922 - b3923 + b3925 <= 1 e35462: b3922 - b3923 + b3926 <= 1 e35463: b3922 - b3923 + b3927 <= 1 e35464: b3922 - b3923 + b3928 <= 1 e35465: b3922 - b3923 + b3929 <= 1 e35466: b3923 - b3924 + b3925 <= 1 e35467: b3923 - b3924 + b3926 <= 1 e35468: b3923 - b3924 + b3927 <= 1 e35469: b3923 - b3924 + b3928 <= 1 e35470: b3923 - b3924 + b3929 <= 1 e35471: b3923 - b3924 + b3930 <= 1 e35472: b3924 - b3925 + b3926 <= 1 e35473: b3924 - b3925 + b3927 <= 1 e35474: b3924 - b3925 + b3928 <= 1 e35475: b3924 - b3925 + b3929 <= 1 e35476: b3924 - b3925 + b3930 <= 1 e35477: b3924 - b3925 + b3931 <= 1 e35478: b3925 - b3926 + b3927 <= 1 e35479: b3925 - b3926 + b3928 <= 1 e35480: b3925 - b3926 + b3929 <= 1 e35481: b3925 - b3926 + b3930 <= 1 e35482: b3925 - b3926 + b3931 <= 1 e35483: b3925 - b3926 + b3932 <= 1 e35484: b3926 - b3927 + b3928 <= 1 e35485: b3926 - b3927 + b3929 <= 1 e35486: b3926 - b3927 + b3930 <= 1 e35487: b3926 - b3927 + b3931 <= 1 e35488: b3926 - b3927 + b3932 <= 1 e35489: b3926 - b3927 + b3933 <= 1 e35490: b3927 - b3928 + b3929 <= 1 e35491: b3927 - b3928 + b3930 <= 1 e35492: b3927 - b3928 + b3931 <= 1 e35493: b3927 - b3928 + b3932 <= 1 e35494: b3927 - b3928 + b3933 <= 1 e35495: b3927 - b3928 + b3934 <= 1 e35496: b3928 - b3929 + b3930 <= 1 e35497: b3928 - b3929 + b3931 <= 1 e35498: b3928 - b3929 + b3932 <= 1 e35499: b3928 - b3929 + b3933 <= 1 e35500: b3928 - b3929 + b3934 <= 1 e35501: b3928 - b3929 + b3935 <= 1 e35502: b3929 - b3930 + b3931 <= 1 e35503: b3929 - b3930 + b3932 <= 1 e35504: b3929 - b3930 + b3933 <= 1 e35505: b3929 - b3930 + b3934 <= 1 e35506: b3929 - b3930 + b3935 <= 1 e35507: b3929 - b3930 + b3936 <= 1 e35508: b3930 - b3931 + b3932 <= 1 e35509: b3930 - b3931 + b3933 <= 1 e35510: b3930 - b3931 + b3934 <= 1 e35511: b3930 - b3931 + b3935 <= 1 e35512: b3930 - b3931 + b3936 <= 1 e35513: b3930 - b3931 + b3937 <= 1 e35514: b3931 - b3932 + b3933 <= 1 e35515: b3931 - b3932 + b3934 <= 1 e35516: b3931 - b3932 + b3935 <= 1 e35517: b3931 - b3932 + b3936 <= 1 e35518: b3931 - b3932 + b3937 <= 1 e35519: b3932 - b3933 + b3934 <= 1 e35520: b3932 - b3933 + b3935 <= 1 e35521: b3932 - b3933 + b3936 <= 1 e35522: b3932 - b3933 + b3937 <= 1 e35523: b3933 - b3934 + b3935 <= 1 e35524: b3933 - b3934 + b3936 <= 1 e35525: b3933 - b3934 + b3937 <= 1 e35526: b3934 - b3935 + b3936 <= 1 e35527: b3934 - b3935 + b3937 <= 1 e35528: b3935 - b3936 + b3937 <= 1 e35529: - b3914 + b3915 + x19284 >= 0 e35530: - b3914 + b3916 + x19284 >= 0 e35531: - b3914 + b3917 + x19284 >= 0 e35532: - b3914 + b3918 + x19284 >= 0 e35533: - b3914 + b3919 + x19284 >= 0 e35534: - b3914 + b3920 + x19284 >= 0 e35535: b3914 - b3915 + b3916 >= 0 e35536: b3914 - b3915 + b3917 >= 0 e35537: b3914 - b3915 + b3918 >= 0 e35538: b3914 - b3915 + b3919 >= 0 e35539: b3914 - b3915 + b3920 >= 0 e35540: b3914 - b3915 + b3921 >= 0 e35541: b3915 - b3916 + b3917 >= 0 e35542: b3915 - b3916 + b3918 >= 0 e35543: b3915 - b3916 + b3919 >= 0 e35544: b3915 - b3916 + b3920 >= 0 e35545: b3915 - b3916 + b3921 >= 0 e35546: b3915 - b3916 + b3922 >= 0 e35547: b3916 - b3917 + b3918 >= 0 e35548: b3916 - b3917 + b3919 >= 0 e35549: b3916 - b3917 + b3920 >= 0 e35550: b3916 - b3917 + b3921 >= 0 e35551: b3916 - b3917 + b3922 >= 0 e35552: b3916 - b3917 + b3923 >= 0 e35553: b3917 - b3918 + b3919 >= 0 e35554: b3917 - b3918 + b3920 >= 0 e35555: b3917 - b3918 + b3921 >= 0 e35556: b3917 - b3918 + b3922 >= 0 e35557: b3917 - b3918 + b3923 >= 0 e35558: b3917 - b3918 + b3924 >= 0 e35559: b3918 - b3919 + b3920 >= 0 e35560: b3918 - b3919 + b3921 >= 0 e35561: b3918 - b3919 + b3922 >= 0 e35562: b3918 - b3919 + b3923 >= 0 e35563: b3918 - b3919 + b3924 >= 0 e35564: b3918 - b3919 + b3925 >= 0 e35565: b3919 - b3920 + b3921 >= 0 e35566: b3919 - b3920 + b3922 >= 0 e35567: b3919 - b3920 + b3923 >= 0 e35568: b3919 - b3920 + b3924 >= 0 e35569: b3919 - b3920 + b3925 >= 0 e35570: b3919 - b3920 + b3926 >= 0 e35571: b3920 - b3921 + b3922 >= 0 e35572: b3920 - b3921 + b3923 >= 0 e35573: b3920 - b3921 + b3924 >= 0 e35574: b3920 - b3921 + b3925 >= 0 e35575: b3920 - b3921 + b3926 >= 0 e35576: b3920 - b3921 + b3927 >= 0 e35577: b3921 - b3922 + b3923 >= 0 e35578: b3921 - b3922 + b3924 >= 0 e35579: b3921 - b3922 + b3925 >= 0 e35580: b3921 - b3922 + b3926 >= 0 e35581: b3921 - b3922 + b3927 >= 0 e35582: b3921 - b3922 + b3928 >= 0 e35583: b3922 - b3923 + b3924 >= 0 e35584: b3922 - b3923 + b3925 >= 0 e35585: b3922 - b3923 + b3926 >= 0 e35586: b3922 - b3923 + b3927 >= 0 e35587: b3922 - b3923 + b3928 >= 0 e35588: b3922 - b3923 + b3929 >= 0 e35589: b3923 - b3924 + b3925 >= 0 e35590: b3923 - b3924 + b3926 >= 0 e35591: b3923 - b3924 + b3927 >= 0 e35592: b3923 - b3924 + b3928 >= 0 e35593: b3923 - b3924 + b3929 >= 0 e35594: b3923 - b3924 + b3930 >= 0 e35595: b3924 - b3925 + b3926 >= 0 e35596: b3924 - b3925 + b3927 >= 0 e35597: b3924 - b3925 + b3928 >= 0 e35598: b3924 - b3925 + b3929 >= 0 e35599: b3924 - b3925 + b3930 >= 0 e35600: b3924 - b3925 + b3931 >= 0 e35601: b3925 - b3926 + b3927 >= 0 e35602: b3925 - b3926 + b3928 >= 0 e35603: b3925 - b3926 + b3929 >= 0 e35604: b3925 - b3926 + b3930 >= 0 e35605: b3925 - b3926 + b3931 >= 0 e35606: b3925 - b3926 + b3932 >= 0 e35607: b3926 - b3927 + b3928 >= 0 e35608: b3926 - b3927 + b3929 >= 0 e35609: b3926 - b3927 + b3930 >= 0 e35610: b3926 - b3927 + b3931 >= 0 e35611: b3926 - b3927 + b3932 >= 0 e35612: b3926 - b3927 + b3933 >= 0 e35613: b3927 - b3928 + b3929 >= 0 e35614: b3927 - b3928 + b3930 >= 0 e35615: b3927 - b3928 + b3931 >= 0 e35616: b3927 - b3928 + b3932 >= 0 e35617: b3927 - b3928 + b3933 >= 0 e35618: b3927 - b3928 + b3934 >= 0 e35619: b3928 - b3929 + b3930 >= 0 e35620: b3928 - b3929 + b3931 >= 0 e35621: b3928 - b3929 + b3932 >= 0 e35622: b3928 - b3929 + b3933 >= 0 e35623: b3928 - b3929 + b3934 >= 0 e35624: b3928 - b3929 + b3935 >= 0 e35625: b3929 - b3930 + b3931 >= 0 e35626: b3929 - b3930 + b3932 >= 0 e35627: b3929 - b3930 + b3933 >= 0 e35628: b3929 - b3930 + b3934 >= 0 e35629: b3929 - b3930 + b3935 >= 0 e35630: b3929 - b3930 + b3936 >= 0 e35631: b3930 - b3931 + b3932 >= 0 e35632: b3930 - b3931 + b3933 >= 0 e35633: b3930 - b3931 + b3934 >= 0 e35634: b3930 - b3931 + b3935 >= 0 e35635: b3930 - b3931 + b3936 >= 0 e35636: b3930 - b3931 + b3937 >= 0 e35637: b3931 - b3932 + b3933 >= 0 e35638: b3931 - b3932 + b3934 >= 0 e35639: b3931 - b3932 + b3935 >= 0 e35640: b3931 - b3932 + b3936 >= 0 e35641: b3931 - b3932 + b3937 >= 0 e35642: b3932 - b3933 + b3934 >= 0 e35643: b3932 - b3933 + b3935 >= 0 e35644: b3932 - b3933 + b3936 >= 0 e35645: b3932 - b3933 + b3937 >= 0 e35646: b3933 - b3934 + b3935 >= 0 e35647: b3933 - b3934 + b3936 >= 0 e35648: b3933 - b3934 + b3937 >= 0 e35649: b3934 - b3935 + b3936 >= 0 e35650: b3934 - b3935 + b3937 >= 0 e35651: b3935 - b3936 + b3937 >= 0 e35652: - b3938 + b3939 + x19285 <= 1 e35653: - b3938 + b3940 + x19285 <= 1 e35654: - b3938 + b3941 + x19285 <= 1 e35655: - b3938 + b3942 + x19285 <= 1 e35656: - b3938 + b3943 + x19285 <= 1 e35657: - b3938 + b3944 + x19285 <= 1 e35658: b3938 - b3939 + b3940 <= 1 e35659: b3938 - b3939 + b3941 <= 1 e35660: b3938 - b3939 + b3942 <= 1 e35661: b3938 - b3939 + b3943 <= 1 e35662: b3938 - b3939 + b3944 <= 1 e35663: b3938 - b3939 + b3945 <= 1 e35664: b3939 - b3940 + b3941 <= 1 e35665: b3939 - b3940 + b3942 <= 1 e35666: b3939 - b3940 + b3943 <= 1 e35667: b3939 - b3940 + b3944 <= 1 e35668: b3939 - b3940 + b3945 <= 1 e35669: b3939 - b3940 + b3946 <= 1 e35670: b3940 - b3941 + b3942 <= 1 e35671: b3940 - b3941 + b3943 <= 1 e35672: b3940 - b3941 + b3944 <= 1 e35673: b3940 - b3941 + b3945 <= 1 e35674: b3940 - b3941 + b3946 <= 1 e35675: b3940 - b3941 + b3947 <= 1 e35676: b3941 - b3942 + b3943 <= 1 e35677: b3941 - b3942 + b3944 <= 1 e35678: b3941 - b3942 + b3945 <= 1 e35679: b3941 - b3942 + b3946 <= 1 e35680: b3941 - b3942 + b3947 <= 1 e35681: b3941 - b3942 + b3948 <= 1 e35682: b3942 - b3943 + b3944 <= 1 e35683: b3942 - b3943 + b3945 <= 1 e35684: b3942 - b3943 + b3946 <= 1 e35685: b3942 - b3943 + b3947 <= 1 e35686: b3942 - b3943 + b3948 <= 1 e35687: b3942 - b3943 + b3949 <= 1 e35688: b3943 - b3944 + b3945 <= 1 e35689: b3943 - b3944 + b3946 <= 1 e35690: b3943 - b3944 + b3947 <= 1 e35691: b3943 - b3944 + b3948 <= 1 e35692: b3943 - b3944 + b3949 <= 1 e35693: b3943 - b3944 + b3950 <= 1 e35694: b3944 - b3945 + b3946 <= 1 e35695: b3944 - b3945 + b3947 <= 1 e35696: b3944 - b3945 + b3948 <= 1 e35697: b3944 - b3945 + b3949 <= 1 e35698: b3944 - b3945 + b3950 <= 1 e35699: b3944 - b3945 + b3951 <= 1 e35700: b3945 - b3946 + b3947 <= 1 e35701: b3945 - b3946 + b3948 <= 1 e35702: b3945 - b3946 + b3949 <= 1 e35703: b3945 - b3946 + b3950 <= 1 e35704: b3945 - b3946 + b3951 <= 1 e35705: b3945 - b3946 + b3952 <= 1 e35706: b3946 - b3947 + b3948 <= 1 e35707: b3946 - b3947 + b3949 <= 1 e35708: b3946 - b3947 + b3950 <= 1 e35709: b3946 - b3947 + b3951 <= 1 e35710: b3946 - b3947 + b3952 <= 1 e35711: b3946 - b3947 + b3953 <= 1 e35712: b3947 - b3948 + b3949 <= 1 e35713: b3947 - b3948 + b3950 <= 1 e35714: b3947 - b3948 + b3951 <= 1 e35715: b3947 - b3948 + b3952 <= 1 e35716: b3947 - b3948 + b3953 <= 1 e35717: b3947 - b3948 + b3954 <= 1 e35718: b3948 - b3949 + b3950 <= 1 e35719: b3948 - b3949 + b3951 <= 1 e35720: b3948 - b3949 + b3952 <= 1 e35721: b3948 - b3949 + b3953 <= 1 e35722: b3948 - b3949 + b3954 <= 1 e35723: b3948 - b3949 + b3955 <= 1 e35724: b3949 - b3950 + b3951 <= 1 e35725: b3949 - b3950 + b3952 <= 1 e35726: b3949 - b3950 + b3953 <= 1 e35727: b3949 - b3950 + b3954 <= 1 e35728: b3949 - b3950 + b3955 <= 1 e35729: b3949 - b3950 + b3956 <= 1 e35730: b3950 - b3951 + b3952 <= 1 e35731: b3950 - b3951 + b3953 <= 1 e35732: b3950 - b3951 + b3954 <= 1 e35733: b3950 - b3951 + b3955 <= 1 e35734: b3950 - b3951 + b3956 <= 1 e35735: b3950 - b3951 + b3957 <= 1 e35736: b3951 - b3952 + b3953 <= 1 e35737: b3951 - b3952 + b3954 <= 1 e35738: b3951 - b3952 + b3955 <= 1 e35739: b3951 - b3952 + b3956 <= 1 e35740: b3951 - b3952 + b3957 <= 1 e35741: b3951 - b3952 + b3958 <= 1 e35742: b3952 - b3953 + b3954 <= 1 e35743: b3952 - b3953 + b3955 <= 1 e35744: b3952 - b3953 + b3956 <= 1 e35745: b3952 - b3953 + b3957 <= 1 e35746: b3952 - b3953 + b3958 <= 1 e35747: b3952 - b3953 + b3959 <= 1 e35748: b3953 - b3954 + b3955 <= 1 e35749: b3953 - b3954 + b3956 <= 1 e35750: b3953 - b3954 + b3957 <= 1 e35751: b3953 - b3954 + b3958 <= 1 e35752: b3953 - b3954 + b3959 <= 1 e35753: b3953 - b3954 + b3960 <= 1 e35754: b3954 - b3955 + b3956 <= 1 e35755: b3954 - b3955 + b3957 <= 1 e35756: b3954 - b3955 + b3958 <= 1 e35757: b3954 - b3955 + b3959 <= 1 e35758: b3954 - b3955 + b3960 <= 1 e35759: b3954 - b3955 + b3961 <= 1 e35760: b3955 - b3956 + b3957 <= 1 e35761: b3955 - b3956 + b3958 <= 1 e35762: b3955 - b3956 + b3959 <= 1 e35763: b3955 - b3956 + b3960 <= 1 e35764: b3955 - b3956 + b3961 <= 1 e35765: b3956 - b3957 + b3958 <= 1 e35766: b3956 - b3957 + b3959 <= 1 e35767: b3956 - b3957 + b3960 <= 1 e35768: b3956 - b3957 + b3961 <= 1 e35769: b3957 - b3958 + b3959 <= 1 e35770: b3957 - b3958 + b3960 <= 1 e35771: b3957 - b3958 + b3961 <= 1 e35772: b3958 - b3959 + b3960 <= 1 e35773: b3958 - b3959 + b3961 <= 1 e35774: b3959 - b3960 + b3961 <= 1 e35775: - b3938 + b3939 + x19285 >= 0 e35776: - b3938 + b3940 + x19285 >= 0 e35777: - b3938 + b3941 + x19285 >= 0 e35778: - b3938 + b3942 + x19285 >= 0 e35779: - b3938 + b3943 + x19285 >= 0 e35780: - b3938 + b3944 + x19285 >= 0 e35781: b3938 - b3939 + b3940 >= 0 e35782: b3938 - b3939 + b3941 >= 0 e35783: b3938 - b3939 + b3942 >= 0 e35784: b3938 - b3939 + b3943 >= 0 e35785: b3938 - b3939 + b3944 >= 0 e35786: b3938 - b3939 + b3945 >= 0 e35787: b3939 - b3940 + b3941 >= 0 e35788: b3939 - b3940 + b3942 >= 0 e35789: b3939 - b3940 + b3943 >= 0 e35790: b3939 - b3940 + b3944 >= 0 e35791: b3939 - b3940 + b3945 >= 0 e35792: b3939 - b3940 + b3946 >= 0 e35793: b3940 - b3941 + b3942 >= 0 e35794: b3940 - b3941 + b3943 >= 0 e35795: b3940 - b3941 + b3944 >= 0 e35796: b3940 - b3941 + b3945 >= 0 e35797: b3940 - b3941 + b3946 >= 0 e35798: b3940 - b3941 + b3947 >= 0 e35799: b3941 - b3942 + b3943 >= 0 e35800: b3941 - b3942 + b3944 >= 0 e35801: b3941 - b3942 + b3945 >= 0 e35802: b3941 - b3942 + b3946 >= 0 e35803: b3941 - b3942 + b3947 >= 0 e35804: b3941 - b3942 + b3948 >= 0 e35805: b3942 - b3943 + b3944 >= 0 e35806: b3942 - b3943 + b3945 >= 0 e35807: b3942 - b3943 + b3946 >= 0 e35808: b3942 - b3943 + b3947 >= 0 e35809: b3942 - b3943 + b3948 >= 0 e35810: b3942 - b3943 + b3949 >= 0 e35811: b3943 - b3944 + b3945 >= 0 e35812: b3943 - b3944 + b3946 >= 0 e35813: b3943 - b3944 + b3947 >= 0 e35814: b3943 - b3944 + b3948 >= 0 e35815: b3943 - b3944 + b3949 >= 0 e35816: b3943 - b3944 + b3950 >= 0 e35817: b3944 - b3945 + b3946 >= 0 e35818: b3944 - b3945 + b3947 >= 0 e35819: b3944 - b3945 + b3948 >= 0 e35820: b3944 - b3945 + b3949 >= 0 e35821: b3944 - b3945 + b3950 >= 0 e35822: b3944 - b3945 + b3951 >= 0 e35823: b3945 - b3946 + b3947 >= 0 e35824: b3945 - b3946 + b3948 >= 0 e35825: b3945 - b3946 + b3949 >= 0 e35826: b3945 - b3946 + b3950 >= 0 e35827: b3945 - b3946 + b3951 >= 0 e35828: b3945 - b3946 + b3952 >= 0 e35829: b3946 - b3947 + b3948 >= 0 e35830: b3946 - b3947 + b3949 >= 0 e35831: b3946 - b3947 + b3950 >= 0 e35832: b3946 - b3947 + b3951 >= 0 e35833: b3946 - b3947 + b3952 >= 0 e35834: b3946 - b3947 + b3953 >= 0 e35835: b3947 - b3948 + b3949 >= 0 e35836: b3947 - b3948 + b3950 >= 0 e35837: b3947 - b3948 + b3951 >= 0 e35838: b3947 - b3948 + b3952 >= 0 e35839: b3947 - b3948 + b3953 >= 0 e35840: b3947 - b3948 + b3954 >= 0 e35841: b3948 - b3949 + b3950 >= 0 e35842: b3948 - b3949 + b3951 >= 0 e35843: b3948 - b3949 + b3952 >= 0 e35844: b3948 - b3949 + b3953 >= 0 e35845: b3948 - b3949 + b3954 >= 0 e35846: b3948 - b3949 + b3955 >= 0 e35847: b3949 - b3950 + b3951 >= 0 e35848: b3949 - b3950 + b3952 >= 0 e35849: b3949 - b3950 + b3953 >= 0 e35850: b3949 - b3950 + b3954 >= 0 e35851: b3949 - b3950 + b3955 >= 0 e35852: b3949 - b3950 + b3956 >= 0 e35853: b3950 - b3951 + b3952 >= 0 e35854: b3950 - b3951 + b3953 >= 0 e35855: b3950 - b3951 + b3954 >= 0 e35856: b3950 - b3951 + b3955 >= 0 e35857: b3950 - b3951 + b3956 >= 0 e35858: b3950 - b3951 + b3957 >= 0 e35859: b3951 - b3952 + b3953 >= 0 e35860: b3951 - b3952 + b3954 >= 0 e35861: b3951 - b3952 + b3955 >= 0 e35862: b3951 - b3952 + b3956 >= 0 e35863: b3951 - b3952 + b3957 >= 0 e35864: b3951 - b3952 + b3958 >= 0 e35865: b3952 - b3953 + b3954 >= 0 e35866: b3952 - b3953 + b3955 >= 0 e35867: b3952 - b3953 + b3956 >= 0 e35868: b3952 - b3953 + b3957 >= 0 e35869: b3952 - b3953 + b3958 >= 0 e35870: b3952 - b3953 + b3959 >= 0 e35871: b3953 - b3954 + b3955 >= 0 e35872: b3953 - b3954 + b3956 >= 0 e35873: b3953 - b3954 + b3957 >= 0 e35874: b3953 - b3954 + b3958 >= 0 e35875: b3953 - b3954 + b3959 >= 0 e35876: b3953 - b3954 + b3960 >= 0 e35877: b3954 - b3955 + b3956 >= 0 e35878: b3954 - b3955 + b3957 >= 0 e35879: b3954 - b3955 + b3958 >= 0 e35880: b3954 - b3955 + b3959 >= 0 e35881: b3954 - b3955 + b3960 >= 0 e35882: b3954 - b3955 + b3961 >= 0 e35883: b3955 - b3956 + b3957 >= 0 e35884: b3955 - b3956 + b3958 >= 0 e35885: b3955 - b3956 + b3959 >= 0 e35886: b3955 - b3956 + b3960 >= 0 e35887: b3955 - b3956 + b3961 >= 0 e35888: b3956 - b3957 + b3958 >= 0 e35889: b3956 - b3957 + b3959 >= 0 e35890: b3956 - b3957 + b3960 >= 0 e35891: b3956 - b3957 + b3961 >= 0 e35892: b3957 - b3958 + b3959 >= 0 e35893: b3957 - b3958 + b3960 >= 0 e35894: b3957 - b3958 + b3961 >= 0 e35895: b3958 - b3959 + b3960 >= 0 e35896: b3958 - b3959 + b3961 >= 0 e35897: b3959 - b3960 + b3961 >= 0 e35898: b3962 = 1 e35899: b3963 = 1 e35900: b3964 = 1 e35901: b3965 = 1 e35902: b3965 - b3966 + b3967 <= 1 e35903: b3965 - b3966 + b3968 <= 1 e35904: b3965 - b3966 + b3969 <= 1 e35905: b3965 - b3966 + b3970 <= 1 e35906: b3965 - b3966 + b3971 <= 1 e35907: b3965 - b3966 + b3972 <= 1 e35908: b3965 - b3966 + b3973 <= 1 e35909: b3966 - b3967 + b3968 <= 1 e35910: b3966 - b3967 + b3969 <= 1 e35911: b3966 - b3967 + b3970 <= 1 e35912: b3966 - b3967 + b3971 <= 1 e35913: b3966 - b3967 + b3972 <= 1 e35914: b3966 - b3967 + b3973 <= 1 e35915: b3966 - b3967 + b3974 <= 1 e35916: b3967 - b3968 + b3969 <= 1 e35917: b3967 - b3968 + b3970 <= 1 e35918: b3967 - b3968 + b3971 <= 1 e35919: b3967 - b3968 + b3972 <= 1 e35920: b3967 - b3968 + b3973 <= 1 e35921: b3967 - b3968 + b3974 <= 1 e35922: b3967 - b3968 + b3975 <= 1 e35923: b3968 - b3969 + b3970 <= 1 e35924: b3968 - b3969 + b3971 <= 1 e35925: b3968 - b3969 + b3972 <= 1 e35926: b3968 - b3969 + b3973 <= 1 e35927: b3968 - b3969 + b3974 <= 1 e35928: b3968 - b3969 + b3975 <= 1 e35929: b3968 - b3969 + b3976 <= 1 e35930: b3969 - b3970 + b3971 <= 1 e35931: b3969 - b3970 + b3972 <= 1 e35932: b3969 - b3970 + b3973 <= 1 e35933: b3969 - b3970 + b3974 <= 1 e35934: b3969 - b3970 + b3975 <= 1 e35935: b3969 - b3970 + b3976 <= 1 e35936: b3969 - b3970 + b3977 <= 1 e35937: b3970 - b3971 + b3972 <= 1 e35938: b3970 - b3971 + b3973 <= 1 e35939: b3970 - b3971 + b3974 <= 1 e35940: b3970 - b3971 + b3975 <= 1 e35941: b3970 - b3971 + b3976 <= 1 e35942: b3970 - b3971 + b3977 <= 1 e35943: b3970 - b3971 + b3978 <= 1 e35944: b3971 - b3972 + b3973 <= 1 e35945: b3971 - b3972 + b3974 <= 1 e35946: b3971 - b3972 + b3975 <= 1 e35947: b3971 - b3972 + b3976 <= 1 e35948: b3971 - b3972 + b3977 <= 1 e35949: b3971 - b3972 + b3978 <= 1 e35950: b3971 - b3972 + b3979 <= 1 e35951: b3972 - b3973 + b3974 <= 1 e35952: b3972 - b3973 + b3975 <= 1 e35953: b3972 - b3973 + b3976 <= 1 e35954: b3972 - b3973 + b3977 <= 1 e35955: b3972 - b3973 + b3978 <= 1 e35956: b3972 - b3973 + b3979 <= 1 e35957: b3972 - b3973 + b3980 <= 1 e35958: b3973 - b3974 + b3975 <= 1 e35959: b3973 - b3974 + b3976 <= 1 e35960: b3973 - b3974 + b3977 <= 1 e35961: b3973 - b3974 + b3978 <= 1 e35962: b3973 - b3974 + b3979 <= 1 e35963: b3973 - b3974 + b3980 <= 1 e35964: b3973 - b3974 + b3981 <= 1 e35965: b3974 - b3975 + b3976 <= 1 e35966: b3974 - b3975 + b3977 <= 1 e35967: b3974 - b3975 + b3978 <= 1 e35968: b3974 - b3975 + b3979 <= 1 e35969: b3974 - b3975 + b3980 <= 1 e35970: b3974 - b3975 + b3981 <= 1 e35971: b3974 - b3975 + b3982 <= 1 e35972: b3975 - b3976 + b3977 <= 1 e35973: b3975 - b3976 + b3978 <= 1 e35974: b3975 - b3976 + b3979 <= 1 e35975: b3975 - b3976 + b3980 <= 1 e35976: b3975 - b3976 + b3981 <= 1 e35977: b3975 - b3976 + b3982 <= 1 e35978: b3975 - b3976 + b3983 <= 1 e35979: b3976 - b3977 + b3978 <= 1 e35980: b3976 - b3977 + b3979 <= 1 e35981: b3976 - b3977 + b3980 <= 1 e35982: b3976 - b3977 + b3981 <= 1 e35983: b3976 - b3977 + b3982 <= 1 e35984: b3976 - b3977 + b3983 <= 1 e35985: b3976 - b3977 + b3984 <= 1 e35986: b3977 - b3978 + b3979 <= 1 e35987: b3977 - b3978 + b3980 <= 1 e35988: b3977 - b3978 + b3981 <= 1 e35989: b3977 - b3978 + b3982 <= 1 e35990: b3977 - b3978 + b3983 <= 1 e35991: b3977 - b3978 + b3984 <= 1 e35992: b3977 - b3978 + b3985 <= 1 e35993: b3978 - b3979 + b3980 <= 1 e35994: b3978 - b3979 + b3981 <= 1 e35995: b3978 - b3979 + b3982 <= 1 e35996: b3978 - b3979 + b3983 <= 1 e35997: b3978 - b3979 + b3984 <= 1 e35998: b3978 - b3979 + b3985 <= 1 e35999: b3979 - b3980 + b3981 <= 1 e36000: b3979 - b3980 + b3982 <= 1 e36001: b3979 - b3980 + b3983 <= 1 e36002: b3979 - b3980 + b3984 <= 1 e36003: b3979 - b3980 + b3985 <= 1 e36004: b3980 - b3981 + b3982 <= 1 e36005: b3980 - b3981 + b3983 <= 1 e36006: b3980 - b3981 + b3984 <= 1 e36007: b3980 - b3981 + b3985 <= 1 e36008: b3981 - b3982 + b3983 <= 1 e36009: b3981 - b3982 + b3984 <= 1 e36010: b3981 - b3982 + b3985 <= 1 e36011: b3982 - b3983 + b3984 <= 1 e36012: b3982 - b3983 + b3985 <= 1 e36013: b3983 - b3984 + b3985 <= 1 e36014: b3965 - b3966 + b3967 >= 0 e36015: b3965 - b3966 + b3968 >= 0 e36016: b3965 - b3966 + b3969 >= 0 e36017: b3965 - b3966 + b3970 >= 0 e36018: b3965 - b3966 + b3971 >= 0 e36019: b3965 - b3966 + b3972 >= 0 e36020: b3965 - b3966 + b3973 >= 0 e36021: b3966 - b3967 + b3968 >= 0 e36022: b3966 - b3967 + b3969 >= 0 e36023: b3966 - b3967 + b3970 >= 0 e36024: b3966 - b3967 + b3971 >= 0 e36025: b3966 - b3967 + b3972 >= 0 e36026: b3966 - b3967 + b3973 >= 0 e36027: b3966 - b3967 + b3974 >= 0 e36028: b3967 - b3968 + b3969 >= 0 e36029: b3967 - b3968 + b3970 >= 0 e36030: b3967 - b3968 + b3971 >= 0 e36031: b3967 - b3968 + b3972 >= 0 e36032: b3967 - b3968 + b3973 >= 0 e36033: b3967 - b3968 + b3974 >= 0 e36034: b3967 - b3968 + b3975 >= 0 e36035: b3968 - b3969 + b3970 >= 0 e36036: b3968 - b3969 + b3971 >= 0 e36037: b3968 - b3969 + b3972 >= 0 e36038: b3968 - b3969 + b3973 >= 0 e36039: b3968 - b3969 + b3974 >= 0 e36040: b3968 - b3969 + b3975 >= 0 e36041: b3968 - b3969 + b3976 >= 0 e36042: b3969 - b3970 + b3971 >= 0 e36043: b3969 - b3970 + b3972 >= 0 e36044: b3969 - b3970 + b3973 >= 0 e36045: b3969 - b3970 + b3974 >= 0 e36046: b3969 - b3970 + b3975 >= 0 e36047: b3969 - b3970 + b3976 >= 0 e36048: b3969 - b3970 + b3977 >= 0 e36049: b3970 - b3971 + b3972 >= 0 e36050: b3970 - b3971 + b3973 >= 0 e36051: b3970 - b3971 + b3974 >= 0 e36052: b3970 - b3971 + b3975 >= 0 e36053: b3970 - b3971 + b3976 >= 0 e36054: b3970 - b3971 + b3977 >= 0 e36055: b3970 - b3971 + b3978 >= 0 e36056: b3971 - b3972 + b3973 >= 0 e36057: b3971 - b3972 + b3974 >= 0 e36058: b3971 - b3972 + b3975 >= 0 e36059: b3971 - b3972 + b3976 >= 0 e36060: b3971 - b3972 + b3977 >= 0 e36061: b3971 - b3972 + b3978 >= 0 e36062: b3971 - b3972 + b3979 >= 0 e36063: b3972 - b3973 + b3974 >= 0 e36064: b3972 - b3973 + b3975 >= 0 e36065: b3972 - b3973 + b3976 >= 0 e36066: b3972 - b3973 + b3977 >= 0 e36067: b3972 - b3973 + b3978 >= 0 e36068: b3972 - b3973 + b3979 >= 0 e36069: b3972 - b3973 + b3980 >= 0 e36070: b3973 - b3974 + b3975 >= 0 e36071: b3973 - b3974 + b3976 >= 0 e36072: b3973 - b3974 + b3977 >= 0 e36073: b3973 - b3974 + b3978 >= 0 e36074: b3973 - b3974 + b3979 >= 0 e36075: b3973 - b3974 + b3980 >= 0 e36076: b3973 - b3974 + b3981 >= 0 e36077: b3974 - b3975 + b3976 >= 0 e36078: b3974 - b3975 + b3977 >= 0 e36079: b3974 - b3975 + b3978 >= 0 e36080: b3974 - b3975 + b3979 >= 0 e36081: b3974 - b3975 + b3980 >= 0 e36082: b3974 - b3975 + b3981 >= 0 e36083: b3974 - b3975 + b3982 >= 0 e36084: b3975 - b3976 + b3977 >= 0 e36085: b3975 - b3976 + b3978 >= 0 e36086: b3975 - b3976 + b3979 >= 0 e36087: b3975 - b3976 + b3980 >= 0 e36088: b3975 - b3976 + b3981 >= 0 e36089: b3975 - b3976 + b3982 >= 0 e36090: b3975 - b3976 + b3983 >= 0 e36091: b3976 - b3977 + b3978 >= 0 e36092: b3976 - b3977 + b3979 >= 0 e36093: b3976 - b3977 + b3980 >= 0 e36094: b3976 - b3977 + b3981 >= 0 e36095: b3976 - b3977 + b3982 >= 0 e36096: b3976 - b3977 + b3983 >= 0 e36097: b3976 - b3977 + b3984 >= 0 e36098: b3977 - b3978 + b3979 >= 0 e36099: b3977 - b3978 + b3980 >= 0 e36100: b3977 - b3978 + b3981 >= 0 e36101: b3977 - b3978 + b3982 >= 0 e36102: b3977 - b3978 + b3983 >= 0 e36103: b3977 - b3978 + b3984 >= 0 e36104: b3977 - b3978 + b3985 >= 0 e36105: b3978 - b3979 + b3980 >= 0 e36106: b3978 - b3979 + b3981 >= 0 e36107: b3978 - b3979 + b3982 >= 0 e36108: b3978 - b3979 + b3983 >= 0 e36109: b3978 - b3979 + b3984 >= 0 e36110: b3978 - b3979 + b3985 >= 0 e36111: b3979 - b3980 + b3981 >= 0 e36112: b3979 - b3980 + b3982 >= 0 e36113: b3979 - b3980 + b3983 >= 0 e36114: b3979 - b3980 + b3984 >= 0 e36115: b3979 - b3980 + b3985 >= 0 e36116: b3980 - b3981 + b3982 >= 0 e36117: b3980 - b3981 + b3983 >= 0 e36118: b3980 - b3981 + b3984 >= 0 e36119: b3980 - b3981 + b3985 >= 0 e36120: b3981 - b3982 + b3983 >= 0 e36121: b3981 - b3982 + b3984 >= 0 e36122: b3981 - b3982 + b3985 >= 0 e36123: b3982 - b3983 + b3984 >= 0 e36124: b3982 - b3983 + b3985 >= 0 e36125: b3983 - b3984 + b3985 >= 0 e36126: - b3986 + b3987 + x19286 <= 1 e36127: - b3986 + b3988 + x19286 <= 1 e36128: - b3986 + b3989 + x19286 <= 1 e36129: - b3986 + b3990 + x19286 <= 1 e36130: - b3986 + b3991 + x19286 <= 1 e36131: - b3986 + b3992 + x19286 <= 1 e36132: b3986 - b3987 + b3988 <= 1 e36133: b3986 - b3987 + b3989 <= 1 e36134: b3986 - b3987 + b3990 <= 1 e36135: b3986 - b3987 + b3991 <= 1 e36136: b3986 - b3987 + b3992 <= 1 e36137: b3986 - b3987 + b3993 <= 1 e36138: b3987 - b3988 + b3989 <= 1 e36139: b3987 - b3988 + b3990 <= 1 e36140: b3987 - b3988 + b3991 <= 1 e36141: b3987 - b3988 + b3992 <= 1 e36142: b3987 - b3988 + b3993 <= 1 e36143: b3987 - b3988 + b3994 <= 1 e36144: b3988 - b3989 + b3990 <= 1 e36145: b3988 - b3989 + b3991 <= 1 e36146: b3988 - b3989 + b3992 <= 1 e36147: b3988 - b3989 + b3993 <= 1 e36148: b3988 - b3989 + b3994 <= 1 e36149: b3988 - b3989 + b3995 <= 1 e36150: b3989 - b3990 + b3991 <= 1 e36151: b3989 - b3990 + b3992 <= 1 e36152: b3989 - b3990 + b3993 <= 1 e36153: b3989 - b3990 + b3994 <= 1 e36154: b3989 - b3990 + b3995 <= 1 e36155: b3989 - b3990 + b3996 <= 1 e36156: b3990 - b3991 + b3992 <= 1 e36157: b3990 - b3991 + b3993 <= 1 e36158: b3990 - b3991 + b3994 <= 1 e36159: b3990 - b3991 + b3995 <= 1 e36160: b3990 - b3991 + b3996 <= 1 e36161: b3990 - b3991 + b3997 <= 1 e36162: b3991 - b3992 + b3993 <= 1 e36163: b3991 - b3992 + b3994 <= 1 e36164: b3991 - b3992 + b3995 <= 1 e36165: b3991 - b3992 + b3996 <= 1 e36166: b3991 - b3992 + b3997 <= 1 e36167: b3991 - b3992 + b3998 <= 1 e36168: b3992 - b3993 + b3994 <= 1 e36169: b3992 - b3993 + b3995 <= 1 e36170: b3992 - b3993 + b3996 <= 1 e36171: b3992 - b3993 + b3997 <= 1 e36172: b3992 - b3993 + b3998 <= 1 e36173: b3992 - b3993 + b3999 <= 1 e36174: b3993 - b3994 + b3995 <= 1 e36175: b3993 - b3994 + b3996 <= 1 e36176: b3993 - b3994 + b3997 <= 1 e36177: b3993 - b3994 + b3998 <= 1 e36178: b3993 - b3994 + b3999 <= 1 e36179: b3993 - b3994 + b4000 <= 1 e36180: b3994 - b3995 + b3996 <= 1 e36181: b3994 - b3995 + b3997 <= 1 e36182: b3994 - b3995 + b3998 <= 1 e36183: b3994 - b3995 + b3999 <= 1 e36184: b3994 - b3995 + b4000 <= 1 e36185: b3994 - b3995 + b4001 <= 1 e36186: b3995 - b3996 + b3997 <= 1 e36187: b3995 - b3996 + b3998 <= 1 e36188: b3995 - b3996 + b3999 <= 1 e36189: b3995 - b3996 + b4000 <= 1 e36190: b3995 - b3996 + b4001 <= 1 e36191: b3995 - b3996 + b4002 <= 1 e36192: b3996 - b3997 + b3998 <= 1 e36193: b3996 - b3997 + b3999 <= 1 e36194: b3996 - b3997 + b4000 <= 1 e36195: b3996 - b3997 + b4001 <= 1 e36196: b3996 - b3997 + b4002 <= 1 e36197: b3996 - b3997 + b4003 <= 1 e36198: b3997 - b3998 + b3999 <= 1 e36199: b3997 - b3998 + b4000 <= 1 e36200: b3997 - b3998 + b4001 <= 1 e36201: b3997 - b3998 + b4002 <= 1 e36202: b3997 - b3998 + b4003 <= 1 e36203: b3997 - b3998 + b4004 <= 1 e36204: b3998 - b3999 + b4000 <= 1 e36205: b3998 - b3999 + b4001 <= 1 e36206: b3998 - b3999 + b4002 <= 1 e36207: b3998 - b3999 + b4003 <= 1 e36208: b3998 - b3999 + b4004 <= 1 e36209: b3998 - b3999 + b4005 <= 1 e36210: b3999 - b4000 + b4001 <= 1 e36211: b3999 - b4000 + b4002 <= 1 e36212: b3999 - b4000 + b4003 <= 1 e36213: b3999 - b4000 + b4004 <= 1 e36214: b3999 - b4000 + b4005 <= 1 e36215: b3999 - b4000 + b4006 <= 1 e36216: b4000 - b4001 + b4002 <= 1 e36217: b4000 - b4001 + b4003 <= 1 e36218: b4000 - b4001 + b4004 <= 1 e36219: b4000 - b4001 + b4005 <= 1 e36220: b4000 - b4001 + b4006 <= 1 e36221: b4000 - b4001 + b4007 <= 1 e36222: b4001 - b4002 + b4003 <= 1 e36223: b4001 - b4002 + b4004 <= 1 e36224: b4001 - b4002 + b4005 <= 1 e36225: b4001 - b4002 + b4006 <= 1 e36226: b4001 - b4002 + b4007 <= 1 e36227: b4001 - b4002 + b4008 <= 1 e36228: b4002 - b4003 + b4004 <= 1 e36229: b4002 - b4003 + b4005 <= 1 e36230: b4002 - b4003 + b4006 <= 1 e36231: b4002 - b4003 + b4007 <= 1 e36232: b4002 - b4003 + b4008 <= 1 e36233: b4002 - b4003 + b4009 <= 1 e36234: b4003 - b4004 + b4005 <= 1 e36235: b4003 - b4004 + b4006 <= 1 e36236: b4003 - b4004 + b4007 <= 1 e36237: b4003 - b4004 + b4008 <= 1 e36238: b4003 - b4004 + b4009 <= 1 e36239: b4004 - b4005 + b4006 <= 1 e36240: b4004 - b4005 + b4007 <= 1 e36241: b4004 - b4005 + b4008 <= 1 e36242: b4004 - b4005 + b4009 <= 1 e36243: b4005 - b4006 + b4007 <= 1 e36244: b4005 - b4006 + b4008 <= 1 e36245: b4005 - b4006 + b4009 <= 1 e36246: b4006 - b4007 + b4008 <= 1 e36247: b4006 - b4007 + b4009 <= 1 e36248: b4007 - b4008 + b4009 <= 1 e36249: - b3986 + b3987 + x19286 >= 0 e36250: - b3986 + b3988 + x19286 >= 0 e36251: - b3986 + b3989 + x19286 >= 0 e36252: - b3986 + b3990 + x19286 >= 0 e36253: - b3986 + b3991 + x19286 >= 0 e36254: - b3986 + b3992 + x19286 >= 0 e36255: b3986 - b3987 + b3988 >= 0 e36256: b3986 - b3987 + b3989 >= 0 e36257: b3986 - b3987 + b3990 >= 0 e36258: b3986 - b3987 + b3991 >= 0 e36259: b3986 - b3987 + b3992 >= 0 e36260: b3986 - b3987 + b3993 >= 0 e36261: b3987 - b3988 + b3989 >= 0 e36262: b3987 - b3988 + b3990 >= 0 e36263: b3987 - b3988 + b3991 >= 0 e36264: b3987 - b3988 + b3992 >= 0 e36265: b3987 - b3988 + b3993 >= 0 e36266: b3987 - b3988 + b3994 >= 0 e36267: b3988 - b3989 + b3990 >= 0 e36268: b3988 - b3989 + b3991 >= 0 e36269: b3988 - b3989 + b3992 >= 0 e36270: b3988 - b3989 + b3993 >= 0 e36271: b3988 - b3989 + b3994 >= 0 e36272: b3988 - b3989 + b3995 >= 0 e36273: b3989 - b3990 + b3991 >= 0 e36274: b3989 - b3990 + b3992 >= 0 e36275: b3989 - b3990 + b3993 >= 0 e36276: b3989 - b3990 + b3994 >= 0 e36277: b3989 - b3990 + b3995 >= 0 e36278: b3989 - b3990 + b3996 >= 0 e36279: b3990 - b3991 + b3992 >= 0 e36280: b3990 - b3991 + b3993 >= 0 e36281: b3990 - b3991 + b3994 >= 0 e36282: b3990 - b3991 + b3995 >= 0 e36283: b3990 - b3991 + b3996 >= 0 e36284: b3990 - b3991 + b3997 >= 0 e36285: b3991 - b3992 + b3993 >= 0 e36286: b3991 - b3992 + b3994 >= 0 e36287: b3991 - b3992 + b3995 >= 0 e36288: b3991 - b3992 + b3996 >= 0 e36289: b3991 - b3992 + b3997 >= 0 e36290: b3991 - b3992 + b3998 >= 0 e36291: b3992 - b3993 + b3994 >= 0 e36292: b3992 - b3993 + b3995 >= 0 e36293: b3992 - b3993 + b3996 >= 0 e36294: b3992 - b3993 + b3997 >= 0 e36295: b3992 - b3993 + b3998 >= 0 e36296: b3992 - b3993 + b3999 >= 0 e36297: b3993 - b3994 + b3995 >= 0 e36298: b3993 - b3994 + b3996 >= 0 e36299: b3993 - b3994 + b3997 >= 0 e36300: b3993 - b3994 + b3998 >= 0 e36301: b3993 - b3994 + b3999 >= 0 e36302: b3993 - b3994 + b4000 >= 0 e36303: b3994 - b3995 + b3996 >= 0 e36304: b3994 - b3995 + b3997 >= 0 e36305: b3994 - b3995 + b3998 >= 0 e36306: b3994 - b3995 + b3999 >= 0 e36307: b3994 - b3995 + b4000 >= 0 e36308: b3994 - b3995 + b4001 >= 0 e36309: b3995 - b3996 + b3997 >= 0 e36310: b3995 - b3996 + b3998 >= 0 e36311: b3995 - b3996 + b3999 >= 0 e36312: b3995 - b3996 + b4000 >= 0 e36313: b3995 - b3996 + b4001 >= 0 e36314: b3995 - b3996 + b4002 >= 0 e36315: b3996 - b3997 + b3998 >= 0 e36316: b3996 - b3997 + b3999 >= 0 e36317: b3996 - b3997 + b4000 >= 0 e36318: b3996 - b3997 + b4001 >= 0 e36319: b3996 - b3997 + b4002 >= 0 e36320: b3996 - b3997 + b4003 >= 0 e36321: b3997 - b3998 + b3999 >= 0 e36322: b3997 - b3998 + b4000 >= 0 e36323: b3997 - b3998 + b4001 >= 0 e36324: b3997 - b3998 + b4002 >= 0 e36325: b3997 - b3998 + b4003 >= 0 e36326: b3997 - b3998 + b4004 >= 0 e36327: b3998 - b3999 + b4000 >= 0 e36328: b3998 - b3999 + b4001 >= 0 e36329: b3998 - b3999 + b4002 >= 0 e36330: b3998 - b3999 + b4003 >= 0 e36331: b3998 - b3999 + b4004 >= 0 e36332: b3998 - b3999 + b4005 >= 0 e36333: b3999 - b4000 + b4001 >= 0 e36334: b3999 - b4000 + b4002 >= 0 e36335: b3999 - b4000 + b4003 >= 0 e36336: b3999 - b4000 + b4004 >= 0 e36337: b3999 - b4000 + b4005 >= 0 e36338: b3999 - b4000 + b4006 >= 0 e36339: b4000 - b4001 + b4002 >= 0 e36340: b4000 - b4001 + b4003 >= 0 e36341: b4000 - b4001 + b4004 >= 0 e36342: b4000 - b4001 + b4005 >= 0 e36343: b4000 - b4001 + b4006 >= 0 e36344: b4000 - b4001 + b4007 >= 0 e36345: b4001 - b4002 + b4003 >= 0 e36346: b4001 - b4002 + b4004 >= 0 e36347: b4001 - b4002 + b4005 >= 0 e36348: b4001 - b4002 + b4006 >= 0 e36349: b4001 - b4002 + b4007 >= 0 e36350: b4001 - b4002 + b4008 >= 0 e36351: b4002 - b4003 + b4004 >= 0 e36352: b4002 - b4003 + b4005 >= 0 e36353: b4002 - b4003 + b4006 >= 0 e36354: b4002 - b4003 + b4007 >= 0 e36355: b4002 - b4003 + b4008 >= 0 e36356: b4002 - b4003 + b4009 >= 0 e36357: b4003 - b4004 + b4005 >= 0 e36358: b4003 - b4004 + b4006 >= 0 e36359: b4003 - b4004 + b4007 >= 0 e36360: b4003 - b4004 + b4008 >= 0 e36361: b4003 - b4004 + b4009 >= 0 e36362: b4004 - b4005 + b4006 >= 0 e36363: b4004 - b4005 + b4007 >= 0 e36364: b4004 - b4005 + b4008 >= 0 e36365: b4004 - b4005 + b4009 >= 0 e36366: b4005 - b4006 + b4007 >= 0 e36367: b4005 - b4006 + b4008 >= 0 e36368: b4005 - b4006 + b4009 >= 0 e36369: b4006 - b4007 + b4008 >= 0 e36370: b4006 - b4007 + b4009 >= 0 e36371: b4007 - b4008 + b4009 >= 0 e36372: b4010 = 1 e36373: b4011 = 1 e36374: b4012 = 1 e36375: b4013 = 1 e36376: b4014 = 1 e36377: b4015 = 1 e36378: b4016 = 1 e36379: b4017 = 1 e36380: b4018 = 1 e36381: b4019 = 1 e36382: b4020 = 1 e36383: b4020 - b4021 + b4022 <= 1 e36384: b4020 - b4021 + b4023 <= 1 e36385: b4020 - b4021 + b4024 <= 1 e36386: b4020 - b4021 + b4025 <= 1 e36387: b4020 - b4021 + b4026 <= 1 e36388: b4020 - b4021 + b4027 <= 1 e36389: b4020 - b4021 + b4028 <= 1 e36390: b4020 - b4021 + b4029 <= 1 e36391: b4020 - b4021 + b4030 <= 1 e36392: b4020 - b4021 + b4031 <= 1 e36393: b4020 - b4021 + b4032 <= 1 e36394: b4020 - b4021 + b4033 <= 1 e36395: b4021 - b4022 + b4023 <= 1 e36396: b4021 - b4022 + b4024 <= 1 e36397: b4021 - b4022 + b4025 <= 1 e36398: b4021 - b4022 + b4026 <= 1 e36399: b4021 - b4022 + b4027 <= 1 e36400: b4021 - b4022 + b4028 <= 1 e36401: b4021 - b4022 + b4029 <= 1 e36402: b4021 - b4022 + b4030 <= 1 e36403: b4021 - b4022 + b4031 <= 1 e36404: b4021 - b4022 + b4032 <= 1 e36405: b4021 - b4022 + b4033 <= 1 e36406: b4022 - b4023 + b4024 <= 1 e36407: b4022 - b4023 + b4025 <= 1 e36408: b4022 - b4023 + b4026 <= 1 e36409: b4022 - b4023 + b4027 <= 1 e36410: b4022 - b4023 + b4028 <= 1 e36411: b4022 - b4023 + b4029 <= 1 e36412: b4022 - b4023 + b4030 <= 1 e36413: b4022 - b4023 + b4031 <= 1 e36414: b4022 - b4023 + b4032 <= 1 e36415: b4022 - b4023 + b4033 <= 1 e36416: b4023 - b4024 + b4025 <= 1 e36417: b4023 - b4024 + b4026 <= 1 e36418: b4023 - b4024 + b4027 <= 1 e36419: b4023 - b4024 + b4028 <= 1 e36420: b4023 - b4024 + b4029 <= 1 e36421: b4023 - b4024 + b4030 <= 1 e36422: b4023 - b4024 + b4031 <= 1 e36423: b4023 - b4024 + b4032 <= 1 e36424: b4023 - b4024 + b4033 <= 1 e36425: b4024 - b4025 + b4026 <= 1 e36426: b4024 - b4025 + b4027 <= 1 e36427: b4024 - b4025 + b4028 <= 1 e36428: b4024 - b4025 + b4029 <= 1 e36429: b4024 - b4025 + b4030 <= 1 e36430: b4024 - b4025 + b4031 <= 1 e36431: b4024 - b4025 + b4032 <= 1 e36432: b4024 - b4025 + b4033 <= 1 e36433: b4025 - b4026 + b4027 <= 1 e36434: b4025 - b4026 + b4028 <= 1 e36435: b4025 - b4026 + b4029 <= 1 e36436: b4025 - b4026 + b4030 <= 1 e36437: b4025 - b4026 + b4031 <= 1 e36438: b4025 - b4026 + b4032 <= 1 e36439: b4025 - b4026 + b4033 <= 1 e36440: b4026 - b4027 + b4028 <= 1 e36441: b4026 - b4027 + b4029 <= 1 e36442: b4026 - b4027 + b4030 <= 1 e36443: b4026 - b4027 + b4031 <= 1 e36444: b4026 - b4027 + b4032 <= 1 e36445: b4026 - b4027 + b4033 <= 1 e36446: b4027 - b4028 + b4029 <= 1 e36447: b4027 - b4028 + b4030 <= 1 e36448: b4027 - b4028 + b4031 <= 1 e36449: b4027 - b4028 + b4032 <= 1 e36450: b4027 - b4028 + b4033 <= 1 e36451: b4028 - b4029 + b4030 <= 1 e36452: b4028 - b4029 + b4031 <= 1 e36453: b4028 - b4029 + b4032 <= 1 e36454: b4028 - b4029 + b4033 <= 1 e36455: b4029 - b4030 + b4031 <= 1 e36456: b4029 - b4030 + b4032 <= 1 e36457: b4029 - b4030 + b4033 <= 1 e36458: b4030 - b4031 + b4032 <= 1 e36459: b4030 - b4031 + b4033 <= 1 e36460: b4031 - b4032 + b4033 <= 1 e36461: b4020 - b4021 + b4022 >= 0 e36462: b4020 - b4021 + b4023 >= 0 e36463: b4020 - b4021 + b4024 >= 0 e36464: b4020 - b4021 + b4025 >= 0 e36465: b4020 - b4021 + b4026 >= 0 e36466: b4020 - b4021 + b4027 >= 0 e36467: b4020 - b4021 + b4028 >= 0 e36468: b4020 - b4021 + b4029 >= 0 e36469: b4020 - b4021 + b4030 >= 0 e36470: b4020 - b4021 + b4031 >= 0 e36471: b4020 - b4021 + b4032 >= 0 e36472: b4021 - b4022 + b4023 >= 0 e36473: b4021 - b4022 + b4024 >= 0 e36474: b4021 - b4022 + b4025 >= 0 e36475: b4021 - b4022 + b4026 >= 0 e36476: b4021 - b4022 + b4027 >= 0 e36477: b4021 - b4022 + b4028 >= 0 e36478: b4021 - b4022 + b4029 >= 0 e36479: b4021 - b4022 + b4030 >= 0 e36480: b4021 - b4022 + b4031 >= 0 e36481: b4021 - b4022 + b4032 >= 0 e36482: b4021 - b4022 + b4033 >= 0 e36483: b4022 - b4023 + b4024 >= 0 e36484: b4022 - b4023 + b4025 >= 0 e36485: b4022 - b4023 + b4026 >= 0 e36486: b4022 - b4023 + b4027 >= 0 e36487: b4022 - b4023 + b4028 >= 0 e36488: b4022 - b4023 + b4029 >= 0 e36489: b4022 - b4023 + b4030 >= 0 e36490: b4022 - b4023 + b4031 >= 0 e36491: b4022 - b4023 + b4032 >= 0 e36492: b4022 - b4023 + b4033 >= 0 e36493: b4023 - b4024 + b4025 >= 0 e36494: b4023 - b4024 + b4026 >= 0 e36495: b4023 - b4024 + b4027 >= 0 e36496: b4023 - b4024 + b4028 >= 0 e36497: b4023 - b4024 + b4029 >= 0 e36498: b4023 - b4024 + b4030 >= 0 e36499: b4023 - b4024 + b4031 >= 0 e36500: b4023 - b4024 + b4032 >= 0 e36501: b4023 - b4024 + b4033 >= 0 e36502: b4024 - b4025 + b4026 >= 0 e36503: b4024 - b4025 + b4027 >= 0 e36504: b4024 - b4025 + b4028 >= 0 e36505: b4024 - b4025 + b4029 >= 0 e36506: b4024 - b4025 + b4030 >= 0 e36507: b4024 - b4025 + b4031 >= 0 e36508: b4024 - b4025 + b4032 >= 0 e36509: b4024 - b4025 + b4033 >= 0 e36510: b4025 - b4026 + b4027 >= 0 e36511: b4025 - b4026 + b4028 >= 0 e36512: b4025 - b4026 + b4029 >= 0 e36513: b4025 - b4026 + b4030 >= 0 e36514: b4025 - b4026 + b4031 >= 0 e36515: b4025 - b4026 + b4032 >= 0 e36516: b4025 - b4026 + b4033 >= 0 e36517: b4026 - b4027 + b4028 >= 0 e36518: b4026 - b4027 + b4029 >= 0 e36519: b4026 - b4027 + b4030 >= 0 e36520: b4026 - b4027 + b4031 >= 0 e36521: b4026 - b4027 + b4032 >= 0 e36522: b4026 - b4027 + b4033 >= 0 e36523: b4027 - b4028 + b4029 >= 0 e36524: b4027 - b4028 + b4030 >= 0 e36525: b4027 - b4028 + b4031 >= 0 e36526: b4027 - b4028 + b4032 >= 0 e36527: b4027 - b4028 + b4033 >= 0 e36528: b4028 - b4029 + b4030 >= 0 e36529: b4028 - b4029 + b4031 >= 0 e36530: b4028 - b4029 + b4032 >= 0 e36531: b4028 - b4029 + b4033 >= 0 e36532: b4029 - b4030 + b4031 >= 0 e36533: b4029 - b4030 + b4032 >= 0 e36534: b4029 - b4030 + b4033 >= 0 e36535: b4030 - b4031 + b4032 >= 0 e36536: b4030 - b4031 + b4033 >= 0 e36537: b4031 - b4032 + b4033 >= 0 e36538: b4034 = 1 e36539: b4035 = 1 e36540: b4036 = 1 e36541: b4037 = 1 e36542: b4038 = 1 e36543: b4039 = 1 e36544: b4040 = 1 e36545: b4041 = 1 e36546: b4042 = 1 e36547: b4043 = 1 e36548: b4044 = 1 e36549: b4045 = 1 e36550: b4045 - b4046 + b4047 <= 1 e36551: b4045 - b4046 + b4048 <= 1 e36552: b4045 - b4046 + b4049 <= 1 e36553: b4045 - b4046 + b4050 <= 1 e36554: b4045 - b4046 + b4051 <= 1 e36555: b4045 - b4046 + b4052 <= 1 e36556: b4045 - b4046 + b4053 <= 1 e36557: b4045 - b4046 + b4054 <= 1 e36558: b4045 - b4046 + b4055 <= 1 e36559: b4045 - b4046 + b4056 <= 1 e36560: b4046 - b4047 + b4048 <= 1 e36561: b4046 - b4047 + b4049 <= 1 e36562: b4046 - b4047 + b4050 <= 1 e36563: b4046 - b4047 + b4051 <= 1 e36564: b4046 - b4047 + b4052 <= 1 e36565: b4046 - b4047 + b4053 <= 1 e36566: b4046 - b4047 + b4054 <= 1 e36567: b4046 - b4047 + b4055 <= 1 e36568: b4046 - b4047 + b4056 <= 1 e36569: b4046 - b4047 + b4057 <= 1 e36570: b4047 - b4048 + b4049 <= 1 e36571: b4047 - b4048 + b4050 <= 1 e36572: b4047 - b4048 + b4051 <= 1 e36573: b4047 - b4048 + b4052 <= 1 e36574: b4047 - b4048 + b4053 <= 1 e36575: b4047 - b4048 + b4054 <= 1 e36576: b4047 - b4048 + b4055 <= 1 e36577: b4047 - b4048 + b4056 <= 1 e36578: b4047 - b4048 + b4057 <= 1 e36579: b4048 - b4049 + b4050 <= 1 e36580: b4048 - b4049 + b4051 <= 1 e36581: b4048 - b4049 + b4052 <= 1 e36582: b4048 - b4049 + b4053 <= 1 e36583: b4048 - b4049 + b4054 <= 1 e36584: b4048 - b4049 + b4055 <= 1 e36585: b4048 - b4049 + b4056 <= 1 e36586: b4048 - b4049 + b4057 <= 1 e36587: b4049 - b4050 + b4051 <= 1 e36588: b4049 - b4050 + b4052 <= 1 e36589: b4049 - b4050 + b4053 <= 1 e36590: b4049 - b4050 + b4054 <= 1 e36591: b4049 - b4050 + b4055 <= 1 e36592: b4049 - b4050 + b4056 <= 1 e36593: b4049 - b4050 + b4057 <= 1 e36594: b4050 - b4051 + b4052 <= 1 e36595: b4050 - b4051 + b4053 <= 1 e36596: b4050 - b4051 + b4054 <= 1 e36597: b4050 - b4051 + b4055 <= 1 e36598: b4050 - b4051 + b4056 <= 1 e36599: b4050 - b4051 + b4057 <= 1 e36600: b4051 - b4052 + b4053 <= 1 e36601: b4051 - b4052 + b4054 <= 1 e36602: b4051 - b4052 + b4055 <= 1 e36603: b4051 - b4052 + b4056 <= 1 e36604: b4051 - b4052 + b4057 <= 1 e36605: b4052 - b4053 + b4054 <= 1 e36606: b4052 - b4053 + b4055 <= 1 e36607: b4052 - b4053 + b4056 <= 1 e36608: b4052 - b4053 + b4057 <= 1 e36609: b4053 - b4054 + b4055 <= 1 e36610: b4053 - b4054 + b4056 <= 1 e36611: b4053 - b4054 + b4057 <= 1 e36612: b4054 - b4055 + b4056 <= 1 e36613: b4054 - b4055 + b4057 <= 1 e36614: b4055 - b4056 + b4057 <= 1 e36615: b4045 - b4046 + b4047 >= 0 e36616: b4045 - b4046 + b4048 >= 0 e36617: b4045 - b4046 + b4049 >= 0 e36618: b4045 - b4046 + b4050 >= 0 e36619: b4045 - b4046 + b4051 >= 0 e36620: b4045 - b4046 + b4052 >= 0 e36621: b4045 - b4046 + b4053 >= 0 e36622: b4045 - b4046 + b4054 >= 0 e36623: b4045 - b4046 + b4055 >= 0 e36624: b4045 - b4046 + b4056 >= 0 e36625: b4045 - b4046 + b4057 >= 0 e36626: b4046 - b4047 + b4048 >= 0 e36627: b4046 - b4047 + b4049 >= 0 e36628: b4046 - b4047 + b4050 >= 0 e36629: b4046 - b4047 + b4051 >= 0 e36630: b4046 - b4047 + b4052 >= 0 e36631: b4046 - b4047 + b4053 >= 0 e36632: b4046 - b4047 + b4054 >= 0 e36633: b4046 - b4047 + b4055 >= 0 e36634: b4046 - b4047 + b4056 >= 0 e36635: b4046 - b4047 + b4057 >= 0 e36636: b4047 - b4048 + b4049 >= 0 e36637: b4047 - b4048 + b4050 >= 0 e36638: b4047 - b4048 + b4051 >= 0 e36639: b4047 - b4048 + b4052 >= 0 e36640: b4047 - b4048 + b4053 >= 0 e36641: b4047 - b4048 + b4054 >= 0 e36642: b4047 - b4048 + b4055 >= 0 e36643: b4047 - b4048 + b4056 >= 0 e36644: b4047 - b4048 + b4057 >= 0 e36645: b4048 - b4049 + b4050 >= 0 e36646: b4048 - b4049 + b4051 >= 0 e36647: b4048 - b4049 + b4052 >= 0 e36648: b4048 - b4049 + b4053 >= 0 e36649: b4048 - b4049 + b4054 >= 0 e36650: b4048 - b4049 + b4055 >= 0 e36651: b4048 - b4049 + b4056 >= 0 e36652: b4048 - b4049 + b4057 >= 0 e36653: b4049 - b4050 + b4051 >= 0 e36654: b4049 - b4050 + b4052 >= 0 e36655: b4049 - b4050 + b4053 >= 0 e36656: b4049 - b4050 + b4054 >= 0 e36657: b4049 - b4050 + b4055 >= 0 e36658: b4049 - b4050 + b4056 >= 0 e36659: b4049 - b4050 + b4057 >= 0 e36660: b4050 - b4051 + b4052 >= 0 e36661: b4050 - b4051 + b4053 >= 0 e36662: b4050 - b4051 + b4054 >= 0 e36663: b4050 - b4051 + b4055 >= 0 e36664: b4050 - b4051 + b4056 >= 0 e36665: b4050 - b4051 + b4057 >= 0 e36666: b4051 - b4052 + b4053 >= 0 e36667: b4051 - b4052 + b4054 >= 0 e36668: b4051 - b4052 + b4055 >= 0 e36669: b4051 - b4052 + b4056 >= 0 e36670: b4051 - b4052 + b4057 >= 0 e36671: b4052 - b4053 + b4054 >= 0 e36672: b4052 - b4053 + b4055 >= 0 e36673: b4052 - b4053 + b4056 >= 0 e36674: b4052 - b4053 + b4057 >= 0 e36675: b4053 - b4054 + b4055 >= 0 e36676: b4053 - b4054 + b4056 >= 0 e36677: b4053 - b4054 + b4057 >= 0 e36678: b4054 - b4055 + b4056 >= 0 e36679: b4054 - b4055 + b4057 >= 0 e36680: b4055 - b4056 + b4057 >= 0 e36681: b4058 = 0 e36682: b4059 = 0 e36683: b4060 = 0 e36684: b4061 = 0 e36685: b4062 = 0 e36686: b4063 = 0 e36687: b4064 = 0 e36688: b4065 = 0 e36689: b4066 = 0 e36690: b4066 - b4067 + b4068 <= 1 e36691: b4066 - b4067 + b4069 <= 1 e36692: b4066 - b4067 + b4070 <= 1 e36693: b4066 - b4067 + b4071 <= 1 e36694: b4066 - b4067 + b4072 <= 1 e36695: b4066 - b4067 + b4073 <= 1 e36696: b4066 - b4067 + b4074 <= 1 e36697: b4066 - b4067 + b4075 <= 1 e36698: b4066 - b4067 + b4076 <= 1 e36699: b4067 - b4068 + b4069 <= 1 e36700: b4067 - b4068 + b4070 <= 1 e36701: b4067 - b4068 + b4071 <= 1 e36702: b4067 - b4068 + b4072 <= 1 e36703: b4067 - b4068 + b4073 <= 1 e36704: b4067 - b4068 + b4074 <= 1 e36705: b4067 - b4068 + b4075 <= 1 e36706: b4067 - b4068 + b4076 <= 1 e36707: b4067 - b4068 + b4077 <= 1 e36708: b4068 - b4069 + b4070 <= 1 e36709: b4068 - b4069 + b4071 <= 1 e36710: b4068 - b4069 + b4072 <= 1 e36711: b4068 - b4069 + b4073 <= 1 e36712: b4068 - b4069 + b4074 <= 1 e36713: b4068 - b4069 + b4075 <= 1 e36714: b4068 - b4069 + b4076 <= 1 e36715: b4068 - b4069 + b4077 <= 1 e36716: b4068 - b4069 + b4078 <= 1 e36717: b4069 - b4070 + b4071 <= 1 e36718: b4069 - b4070 + b4072 <= 1 e36719: b4069 - b4070 + b4073 <= 1 e36720: b4069 - b4070 + b4074 <= 1 e36721: b4069 - b4070 + b4075 <= 1 e36722: b4069 - b4070 + b4076 <= 1 e36723: b4069 - b4070 + b4077 <= 1 e36724: b4069 - b4070 + b4078 <= 1 e36725: b4069 - b4070 + b4079 <= 1 e36726: b4070 - b4071 + b4072 <= 1 e36727: b4070 - b4071 + b4073 <= 1 e36728: b4070 - b4071 + b4074 <= 1 e36729: b4070 - b4071 + b4075 <= 1 e36730: b4070 - b4071 + b4076 <= 1 e36731: b4070 - b4071 + b4077 <= 1 e36732: b4070 - b4071 + b4078 <= 1 e36733: b4070 - b4071 + b4079 <= 1 e36734: b4070 - b4071 + b4080 <= 1 e36735: b4071 - b4072 + b4073 <= 1 e36736: b4071 - b4072 + b4074 <= 1 e36737: b4071 - b4072 + b4075 <= 1 e36738: b4071 - b4072 + b4076 <= 1 e36739: b4071 - b4072 + b4077 <= 1 e36740: b4071 - b4072 + b4078 <= 1 e36741: b4071 - b4072 + b4079 <= 1 e36742: b4071 - b4072 + b4080 <= 1 e36743: b4071 - b4072 + b4081 <= 1 e36744: b4072 - b4073 + b4074 <= 1 e36745: b4072 - b4073 + b4075 <= 1 e36746: b4072 - b4073 + b4076 <= 1 e36747: b4072 - b4073 + b4077 <= 1 e36748: b4072 - b4073 + b4078 <= 1 e36749: b4072 - b4073 + b4079 <= 1 e36750: b4072 - b4073 + b4080 <= 1 e36751: b4072 - b4073 + b4081 <= 1 e36752: b4073 - b4074 + b4075 <= 1 e36753: b4073 - b4074 + b4076 <= 1 e36754: b4073 - b4074 + b4077 <= 1 e36755: b4073 - b4074 + b4078 <= 1 e36756: b4073 - b4074 + b4079 <= 1 e36757: b4073 - b4074 + b4080 <= 1 e36758: b4073 - b4074 + b4081 <= 1 e36759: b4074 - b4075 + b4076 <= 1 e36760: b4074 - b4075 + b4077 <= 1 e36761: b4074 - b4075 + b4078 <= 1 e36762: b4074 - b4075 + b4079 <= 1 e36763: b4074 - b4075 + b4080 <= 1 e36764: b4074 - b4075 + b4081 <= 1 e36765: b4075 - b4076 + b4077 <= 1 e36766: b4075 - b4076 + b4078 <= 1 e36767: b4075 - b4076 + b4079 <= 1 e36768: b4075 - b4076 + b4080 <= 1 e36769: b4075 - b4076 + b4081 <= 1 e36770: b4076 - b4077 + b4078 <= 1 e36771: b4076 - b4077 + b4079 <= 1 e36772: b4076 - b4077 + b4080 <= 1 e36773: b4076 - b4077 + b4081 <= 1 e36774: b4077 - b4078 + b4079 <= 1 e36775: b4077 - b4078 + b4080 <= 1 e36776: b4077 - b4078 + b4081 <= 1 e36777: b4078 - b4079 + b4080 <= 1 e36778: b4078 - b4079 + b4081 <= 1 e36779: b4079 - b4080 + b4081 <= 1 e36780: b4066 - b4067 + b4068 >= 0 e36781: b4066 - b4067 + b4069 >= 0 e36782: b4066 - b4067 + b4070 >= 0 e36783: b4066 - b4067 + b4071 >= 0 e36784: b4066 - b4067 + b4072 >= 0 e36785: b4066 - b4067 + b4073 >= 0 e36786: b4066 - b4067 + b4074 >= 0 e36787: b4066 - b4067 + b4075 >= 0 e36788: b4066 - b4067 + b4076 >= 0 e36789: b4066 - b4067 + b4077 >= 0 e36790: b4066 - b4067 + b4078 >= 0 e36791: b4066 - b4067 + b4079 >= 0 e36792: b4066 - b4067 + b4080 >= 0 e36793: b4067 - b4068 + b4069 >= 0 e36794: b4067 - b4068 + b4070 >= 0 e36795: b4067 - b4068 + b4071 >= 0 e36796: b4067 - b4068 + b4072 >= 0 e36797: b4067 - b4068 + b4073 >= 0 e36798: b4067 - b4068 + b4074 >= 0 e36799: b4067 - b4068 + b4075 >= 0 e36800: b4067 - b4068 + b4076 >= 0 e36801: b4067 - b4068 + b4077 >= 0 e36802: b4067 - b4068 + b4078 >= 0 e36803: b4067 - b4068 + b4079 >= 0 e36804: b4067 - b4068 + b4080 >= 0 e36805: b4067 - b4068 + b4081 >= 0 e36806: b4068 - b4069 + b4070 >= 0 e36807: b4068 - b4069 + b4071 >= 0 e36808: b4068 - b4069 + b4072 >= 0 e36809: b4068 - b4069 + b4073 >= 0 e36810: b4068 - b4069 + b4074 >= 0 e36811: b4068 - b4069 + b4075 >= 0 e36812: b4068 - b4069 + b4076 >= 0 e36813: b4068 - b4069 + b4077 >= 0 e36814: b4068 - b4069 + b4078 >= 0 e36815: b4068 - b4069 + b4079 >= 0 e36816: b4068 - b4069 + b4080 >= 0 e36817: b4068 - b4069 + b4081 >= 0 e36818: b4069 - b4070 + b4071 >= 0 e36819: b4069 - b4070 + b4072 >= 0 e36820: b4069 - b4070 + b4073 >= 0 e36821: b4069 - b4070 + b4074 >= 0 e36822: b4069 - b4070 + b4075 >= 0 e36823: b4069 - b4070 + b4076 >= 0 e36824: b4069 - b4070 + b4077 >= 0 e36825: b4069 - b4070 + b4078 >= 0 e36826: b4069 - b4070 + b4079 >= 0 e36827: b4069 - b4070 + b4080 >= 0 e36828: b4069 - b4070 + b4081 >= 0 e36829: b4070 - b4071 + b4072 >= 0 e36830: b4070 - b4071 + b4073 >= 0 e36831: b4070 - b4071 + b4074 >= 0 e36832: b4070 - b4071 + b4075 >= 0 e36833: b4070 - b4071 + b4076 >= 0 e36834: b4070 - b4071 + b4077 >= 0 e36835: b4070 - b4071 + b4078 >= 0 e36836: b4070 - b4071 + b4079 >= 0 e36837: b4070 - b4071 + b4080 >= 0 e36838: b4070 - b4071 + b4081 >= 0 e36839: b4071 - b4072 + b4073 >= 0 e36840: b4071 - b4072 + b4074 >= 0 e36841: b4071 - b4072 + b4075 >= 0 e36842: b4071 - b4072 + b4076 >= 0 e36843: b4071 - b4072 + b4077 >= 0 e36844: b4071 - b4072 + b4078 >= 0 e36845: b4071 - b4072 + b4079 >= 0 e36846: b4071 - b4072 + b4080 >= 0 e36847: b4071 - b4072 + b4081 >= 0 e36848: b4072 - b4073 + b4074 >= 0 e36849: b4072 - b4073 + b4075 >= 0 e36850: b4072 - b4073 + b4076 >= 0 e36851: b4072 - b4073 + b4077 >= 0 e36852: b4072 - b4073 + b4078 >= 0 e36853: b4072 - b4073 + b4079 >= 0 e36854: b4072 - b4073 + b4080 >= 0 e36855: b4072 - b4073 + b4081 >= 0 e36856: b4073 - b4074 + b4075 >= 0 e36857: b4073 - b4074 + b4076 >= 0 e36858: b4073 - b4074 + b4077 >= 0 e36859: b4073 - b4074 + b4078 >= 0 e36860: b4073 - b4074 + b4079 >= 0 e36861: b4073 - b4074 + b4080 >= 0 e36862: b4073 - b4074 + b4081 >= 0 e36863: b4074 - b4075 + b4076 >= 0 e36864: b4074 - b4075 + b4077 >= 0 e36865: b4074 - b4075 + b4078 >= 0 e36866: b4074 - b4075 + b4079 >= 0 e36867: b4074 - b4075 + b4080 >= 0 e36868: b4074 - b4075 + b4081 >= 0 e36869: b4075 - b4076 + b4077 >= 0 e36870: b4075 - b4076 + b4078 >= 0 e36871: b4075 - b4076 + b4079 >= 0 e36872: b4075 - b4076 + b4080 >= 0 e36873: b4075 - b4076 + b4081 >= 0 e36874: b4076 - b4077 + b4078 >= 0 e36875: b4076 - b4077 + b4079 >= 0 e36876: b4076 - b4077 + b4080 >= 0 e36877: b4076 - b4077 + b4081 >= 0 e36878: b4077 - b4078 + b4079 >= 0 e36879: b4077 - b4078 + b4080 >= 0 e36880: b4077 - b4078 + b4081 >= 0 e36881: b4078 - b4079 + b4080 >= 0 e36882: b4078 - b4079 + b4081 >= 0 e36883: b4079 - b4080 + b4081 >= 0 e36884: b4082 = 1 e36885: b4083 = 1 e36886: b4084 = 1 e36887: b4085 = 1 e36888: b4086 = 1 e36889: b4086 - b4087 + b4088 <= 1 e36890: b4086 - b4087 + b4089 <= 1 e36891: b4086 - b4087 + b4090 <= 1 e36892: b4086 - b4087 + b4091 <= 1 e36893: b4086 - b4087 + b4092 <= 1 e36894: b4086 - b4087 + b4093 <= 1 e36895: b4086 - b4087 + b4094 <= 1 e36896: b4086 - b4087 + b4095 <= 1 e36897: b4086 - b4087 + b4096 <= 1 e36898: b4086 - b4087 + b4097 <= 1 e36899: b4086 - b4087 + b4098 <= 1 e36900: b4087 - b4088 + b4089 <= 1 e36901: b4087 - b4088 + b4090 <= 1 e36902: b4087 - b4088 + b4091 <= 1 e36903: b4087 - b4088 + b4092 <= 1 e36904: b4087 - b4088 + b4093 <= 1 e36905: b4087 - b4088 + b4094 <= 1 e36906: b4087 - b4088 + b4095 <= 1 e36907: b4087 - b4088 + b4096 <= 1 e36908: b4087 - b4088 + b4097 <= 1 e36909: b4087 - b4088 + b4098 <= 1 e36910: b4087 - b4088 + b4099 <= 1 e36911: b4088 - b4089 + b4090 <= 1 e36912: b4088 - b4089 + b4091 <= 1 e36913: b4088 - b4089 + b4092 <= 1 e36914: b4088 - b4089 + b4093 <= 1 e36915: b4088 - b4089 + b4094 <= 1 e36916: b4088 - b4089 + b4095 <= 1 e36917: b4088 - b4089 + b4096 <= 1 e36918: b4088 - b4089 + b4097 <= 1 e36919: b4088 - b4089 + b4098 <= 1 e36920: b4088 - b4089 + b4099 <= 1 e36921: b4088 - b4089 + b4100 <= 1 e36922: b4089 - b4090 + b4091 <= 1 e36923: b4089 - b4090 + b4092 <= 1 e36924: b4089 - b4090 + b4093 <= 1 e36925: b4089 - b4090 + b4094 <= 1 e36926: b4089 - b4090 + b4095 <= 1 e36927: b4089 - b4090 + b4096 <= 1 e36928: b4089 - b4090 + b4097 <= 1 e36929: b4089 - b4090 + b4098 <= 1 e36930: b4089 - b4090 + b4099 <= 1 e36931: b4089 - b4090 + b4100 <= 1 e36932: b4089 - b4090 + b4101 <= 1 e36933: b4090 - b4091 + b4092 <= 1 e36934: b4090 - b4091 + b4093 <= 1 e36935: b4090 - b4091 + b4094 <= 1 e36936: b4090 - b4091 + b4095 <= 1 e36937: b4090 - b4091 + b4096 <= 1 e36938: b4090 - b4091 + b4097 <= 1 e36939: b4090 - b4091 + b4098 <= 1 e36940: b4090 - b4091 + b4099 <= 1 e36941: b4090 - b4091 + b4100 <= 1 e36942: b4090 - b4091 + b4101 <= 1 e36943: b4090 - b4091 + b4102 <= 1 e36944: b4091 - b4092 + b4093 <= 1 e36945: b4091 - b4092 + b4094 <= 1 e36946: b4091 - b4092 + b4095 <= 1 e36947: b4091 - b4092 + b4096 <= 1 e36948: b4091 - b4092 + b4097 <= 1 e36949: b4091 - b4092 + b4098 <= 1 e36950: b4091 - b4092 + b4099 <= 1 e36951: b4091 - b4092 + b4100 <= 1 e36952: b4091 - b4092 + b4101 <= 1 e36953: b4091 - b4092 + b4102 <= 1 e36954: b4091 - b4092 + b4103 <= 1 e36955: b4092 - b4093 + b4094 <= 1 e36956: b4092 - b4093 + b4095 <= 1 e36957: b4092 - b4093 + b4096 <= 1 e36958: b4092 - b4093 + b4097 <= 1 e36959: b4092 - b4093 + b4098 <= 1 e36960: b4092 - b4093 + b4099 <= 1 e36961: b4092 - b4093 + b4100 <= 1 e36962: b4092 - b4093 + b4101 <= 1 e36963: b4092 - b4093 + b4102 <= 1 e36964: b4092 - b4093 + b4103 <= 1 e36965: b4092 - b4093 + b4104 <= 1 e36966: b4093 - b4094 + b4095 <= 1 e36967: b4093 - b4094 + b4096 <= 1 e36968: b4093 - b4094 + b4097 <= 1 e36969: b4093 - b4094 + b4098 <= 1 e36970: b4093 - b4094 + b4099 <= 1 e36971: b4093 - b4094 + b4100 <= 1 e36972: b4093 - b4094 + b4101 <= 1 e36973: b4093 - b4094 + b4102 <= 1 e36974: b4093 - b4094 + b4103 <= 1 e36975: b4093 - b4094 + b4104 <= 1 e36976: b4093 - b4094 + b4105 <= 1 e36977: b4094 - b4095 + b4096 <= 1 e36978: b4094 - b4095 + b4097 <= 1 e36979: b4094 - b4095 + b4098 <= 1 e36980: b4094 - b4095 + b4099 <= 1 e36981: b4094 - b4095 + b4100 <= 1 e36982: b4094 - b4095 + b4101 <= 1 e36983: b4094 - b4095 + b4102 <= 1 e36984: b4094 - b4095 + b4103 <= 1 e36985: b4094 - b4095 + b4104 <= 1 e36986: b4094 - b4095 + b4105 <= 1 e36987: b4095 - b4096 + b4097 <= 1 e36988: b4095 - b4096 + b4098 <= 1 e36989: b4095 - b4096 + b4099 <= 1 e36990: b4095 - b4096 + b4100 <= 1 e36991: b4095 - b4096 + b4101 <= 1 e36992: b4095 - b4096 + b4102 <= 1 e36993: b4095 - b4096 + b4103 <= 1 e36994: b4095 - b4096 + b4104 <= 1 e36995: b4095 - b4096 + b4105 <= 1 e36996: b4096 - b4097 + b4098 <= 1 e36997: b4096 - b4097 + b4099 <= 1 e36998: b4096 - b4097 + b4100 <= 1 e36999: b4096 - b4097 + b4101 <= 1 e37000: b4096 - b4097 + b4102 <= 1 e37001: b4096 - b4097 + b4103 <= 1 e37002: b4096 - b4097 + b4104 <= 1 e37003: b4096 - b4097 + b4105 <= 1 e37004: b4097 - b4098 + b4099 <= 1 e37005: b4097 - b4098 + b4100 <= 1 e37006: b4097 - b4098 + b4101 <= 1 e37007: b4097 - b4098 + b4102 <= 1 e37008: b4097 - b4098 + b4103 <= 1 e37009: b4097 - b4098 + b4104 <= 1 e37010: b4097 - b4098 + b4105 <= 1 e37011: b4098 - b4099 + b4100 <= 1 e37012: b4098 - b4099 + b4101 <= 1 e37013: b4098 - b4099 + b4102 <= 1 e37014: b4098 - b4099 + b4103 <= 1 e37015: b4098 - b4099 + b4104 <= 1 e37016: b4098 - b4099 + b4105 <= 1 e37017: b4099 - b4100 + b4101 <= 1 e37018: b4099 - b4100 + b4102 <= 1 e37019: b4099 - b4100 + b4103 <= 1 e37020: b4099 - b4100 + b4104 <= 1 e37021: b4099 - b4100 + b4105 <= 1 e37022: b4100 - b4101 + b4102 <= 1 e37023: b4100 - b4101 + b4103 <= 1 e37024: b4100 - b4101 + b4104 <= 1 e37025: b4100 - b4101 + b4105 <= 1 e37026: b4101 - b4102 + b4103 <= 1 e37027: b4101 - b4102 + b4104 <= 1 e37028: b4101 - b4102 + b4105 <= 1 e37029: b4102 - b4103 + b4104 <= 1 e37030: b4102 - b4103 + b4105 <= 1 e37031: b4103 - b4104 + b4105 <= 1 e37032: b4086 - b4087 + b4088 >= 0 e37033: b4086 - b4087 + b4089 >= 0 e37034: b4086 - b4087 + b4090 >= 0 e37035: b4086 - b4087 + b4091 >= 0 e37036: b4086 - b4087 + b4092 >= 0 e37037: b4086 - b4087 + b4093 >= 0 e37038: b4086 - b4087 + b4094 >= 0 e37039: b4086 - b4087 + b4095 >= 0 e37040: b4086 - b4087 + b4096 >= 0 e37041: b4086 - b4087 + b4097 >= 0 e37042: b4086 - b4087 + b4098 >= 0 e37043: b4086 - b4087 + b4099 >= 0 e37044: b4087 - b4088 + b4089 >= 0 e37045: b4087 - b4088 + b4090 >= 0 e37046: b4087 - b4088 + b4091 >= 0 e37047: b4087 - b4088 + b4092 >= 0 e37048: b4087 - b4088 + b4093 >= 0 e37049: b4087 - b4088 + b4094 >= 0 e37050: b4087 - b4088 + b4095 >= 0 e37051: b4087 - b4088 + b4096 >= 0 e37052: b4087 - b4088 + b4097 >= 0 e37053: b4087 - b4088 + b4098 >= 0 e37054: b4087 - b4088 + b4099 >= 0 e37055: b4087 - b4088 + b4100 >= 0 e37056: b4088 - b4089 + b4090 >= 0 e37057: b4088 - b4089 + b4091 >= 0 e37058: b4088 - b4089 + b4092 >= 0 e37059: b4088 - b4089 + b4093 >= 0 e37060: b4088 - b4089 + b4094 >= 0 e37061: b4088 - b4089 + b4095 >= 0 e37062: b4088 - b4089 + b4096 >= 0 e37063: b4088 - b4089 + b4097 >= 0 e37064: b4088 - b4089 + b4098 >= 0 e37065: b4088 - b4089 + b4099 >= 0 e37066: b4088 - b4089 + b4100 >= 0 e37067: b4088 - b4089 + b4101 >= 0 e37068: b4089 - b4090 + b4091 >= 0 e37069: b4089 - b4090 + b4092 >= 0 e37070: b4089 - b4090 + b4093 >= 0 e37071: b4089 - b4090 + b4094 >= 0 e37072: b4089 - b4090 + b4095 >= 0 e37073: b4089 - b4090 + b4096 >= 0 e37074: b4089 - b4090 + b4097 >= 0 e37075: b4089 - b4090 + b4098 >= 0 e37076: b4089 - b4090 + b4099 >= 0 e37077: b4089 - b4090 + b4100 >= 0 e37078: b4089 - b4090 + b4101 >= 0 e37079: b4089 - b4090 + b4102 >= 0 e37080: b4090 - b4091 + b4092 >= 0 e37081: b4090 - b4091 + b4093 >= 0 e37082: b4090 - b4091 + b4094 >= 0 e37083: b4090 - b4091 + b4095 >= 0 e37084: b4090 - b4091 + b4096 >= 0 e37085: b4090 - b4091 + b4097 >= 0 e37086: b4090 - b4091 + b4098 >= 0 e37087: b4090 - b4091 + b4099 >= 0 e37088: b4090 - b4091 + b4100 >= 0 e37089: b4090 - b4091 + b4101 >= 0 e37090: b4090 - b4091 + b4102 >= 0 e37091: b4090 - b4091 + b4103 >= 0 e37092: b4091 - b4092 + b4093 >= 0 e37093: b4091 - b4092 + b4094 >= 0 e37094: b4091 - b4092 + b4095 >= 0 e37095: b4091 - b4092 + b4096 >= 0 e37096: b4091 - b4092 + b4097 >= 0 e37097: b4091 - b4092 + b4098 >= 0 e37098: b4091 - b4092 + b4099 >= 0 e37099: b4091 - b4092 + b4100 >= 0 e37100: b4091 - b4092 + b4101 >= 0 e37101: b4091 - b4092 + b4102 >= 0 e37102: b4091 - b4092 + b4103 >= 0 e37103: b4091 - b4092 + b4104 >= 0 e37104: b4092 - b4093 + b4094 >= 0 e37105: b4092 - b4093 + b4095 >= 0 e37106: b4092 - b4093 + b4096 >= 0 e37107: b4092 - b4093 + b4097 >= 0 e37108: b4092 - b4093 + b4098 >= 0 e37109: b4092 - b4093 + b4099 >= 0 e37110: b4092 - b4093 + b4100 >= 0 e37111: b4092 - b4093 + b4101 >= 0 e37112: b4092 - b4093 + b4102 >= 0 e37113: b4092 - b4093 + b4103 >= 0 e37114: b4092 - b4093 + b4104 >= 0 e37115: b4092 - b4093 + b4105 >= 0 e37116: b4093 - b4094 + b4095 >= 0 e37117: b4093 - b4094 + b4096 >= 0 e37118: b4093 - b4094 + b4097 >= 0 e37119: b4093 - b4094 + b4098 >= 0 e37120: b4093 - b4094 + b4099 >= 0 e37121: b4093 - b4094 + b4100 >= 0 e37122: b4093 - b4094 + b4101 >= 0 e37123: b4093 - b4094 + b4102 >= 0 e37124: b4093 - b4094 + b4103 >= 0 e37125: b4093 - b4094 + b4104 >= 0 e37126: b4093 - b4094 + b4105 >= 0 e37127: b4094 - b4095 + b4096 >= 0 e37128: b4094 - b4095 + b4097 >= 0 e37129: b4094 - b4095 + b4098 >= 0 e37130: b4094 - b4095 + b4099 >= 0 e37131: b4094 - b4095 + b4100 >= 0 e37132: b4094 - b4095 + b4101 >= 0 e37133: b4094 - b4095 + b4102 >= 0 e37134: b4094 - b4095 + b4103 >= 0 e37135: b4094 - b4095 + b4104 >= 0 e37136: b4094 - b4095 + b4105 >= 0 e37137: b4095 - b4096 + b4097 >= 0 e37138: b4095 - b4096 + b4098 >= 0 e37139: b4095 - b4096 + b4099 >= 0 e37140: b4095 - b4096 + b4100 >= 0 e37141: b4095 - b4096 + b4101 >= 0 e37142: b4095 - b4096 + b4102 >= 0 e37143: b4095 - b4096 + b4103 >= 0 e37144: b4095 - b4096 + b4104 >= 0 e37145: b4095 - b4096 + b4105 >= 0 e37146: b4096 - b4097 + b4098 >= 0 e37147: b4096 - b4097 + b4099 >= 0 e37148: b4096 - b4097 + b4100 >= 0 e37149: b4096 - b4097 + b4101 >= 0 e37150: b4096 - b4097 + b4102 >= 0 e37151: b4096 - b4097 + b4103 >= 0 e37152: b4096 - b4097 + b4104 >= 0 e37153: b4096 - b4097 + b4105 >= 0 e37154: b4097 - b4098 + b4099 >= 0 e37155: b4097 - b4098 + b4100 >= 0 e37156: b4097 - b4098 + b4101 >= 0 e37157: b4097 - b4098 + b4102 >= 0 e37158: b4097 - b4098 + b4103 >= 0 e37159: b4097 - b4098 + b4104 >= 0 e37160: b4097 - b4098 + b4105 >= 0 e37161: b4098 - b4099 + b4100 >= 0 e37162: b4098 - b4099 + b4101 >= 0 e37163: b4098 - b4099 + b4102 >= 0 e37164: b4098 - b4099 + b4103 >= 0 e37165: b4098 - b4099 + b4104 >= 0 e37166: b4098 - b4099 + b4105 >= 0 e37167: b4099 - b4100 + b4101 >= 0 e37168: b4099 - b4100 + b4102 >= 0 e37169: b4099 - b4100 + b4103 >= 0 e37170: b4099 - b4100 + b4104 >= 0 e37171: b4099 - b4100 + b4105 >= 0 e37172: b4100 - b4101 + b4102 >= 0 e37173: b4100 - b4101 + b4103 >= 0 e37174: b4100 - b4101 + b4104 >= 0 e37175: b4100 - b4101 + b4105 >= 0 e37176: b4101 - b4102 + b4103 >= 0 e37177: b4101 - b4102 + b4104 >= 0 e37178: b4101 - b4102 + b4105 >= 0 e37179: b4102 - b4103 + b4104 >= 0 e37180: b4102 - b4103 + b4105 >= 0 e37181: b4103 - b4104 + b4105 >= 0 e37182: b4106 = 1 e37183: b4107 = 1 e37184: b4108 = 1 e37185: b4109 = 1 e37186: b4110 = 1 e37187: b4111 = 1 e37188: b4111 - b4112 + b4113 <= 1 e37189: b4111 - b4112 + b4114 <= 1 e37190: b4111 - b4112 + b4115 <= 1 e37191: b4111 - b4112 + b4116 <= 1 e37192: b4111 - b4112 + b4117 <= 1 e37193: b4111 - b4112 + b4118 <= 1 e37194: b4111 - b4112 + b4119 <= 1 e37195: b4111 - b4112 + b4120 <= 1 e37196: b4111 - b4112 + b4121 <= 1 e37197: b4111 - b4112 + b4122 <= 1 e37198: b4111 - b4112 + b4123 <= 1 e37199: b4111 - b4112 + b4124 <= 1 e37200: b4112 - b4113 + b4114 <= 1 e37201: b4112 - b4113 + b4115 <= 1 e37202: b4112 - b4113 + b4116 <= 1 e37203: b4112 - b4113 + b4117 <= 1 e37204: b4112 - b4113 + b4118 <= 1 e37205: b4112 - b4113 + b4119 <= 1 e37206: b4112 - b4113 + b4120 <= 1 e37207: b4112 - b4113 + b4121 <= 1 e37208: b4112 - b4113 + b4122 <= 1 e37209: b4112 - b4113 + b4123 <= 1 e37210: b4112 - b4113 + b4124 <= 1 e37211: b4112 - b4113 + b4125 <= 1 e37212: b4113 - b4114 + b4115 <= 1 e37213: b4113 - b4114 + b4116 <= 1 e37214: b4113 - b4114 + b4117 <= 1 e37215: b4113 - b4114 + b4118 <= 1 e37216: b4113 - b4114 + b4119 <= 1 e37217: b4113 - b4114 + b4120 <= 1 e37218: b4113 - b4114 + b4121 <= 1 e37219: b4113 - b4114 + b4122 <= 1 e37220: b4113 - b4114 + b4123 <= 1 e37221: b4113 - b4114 + b4124 <= 1 e37222: b4113 - b4114 + b4125 <= 1 e37223: b4113 - b4114 + b4126 <= 1 e37224: b4114 - b4115 + b4116 <= 1 e37225: b4114 - b4115 + b4117 <= 1 e37226: b4114 - b4115 + b4118 <= 1 e37227: b4114 - b4115 + b4119 <= 1 e37228: b4114 - b4115 + b4120 <= 1 e37229: b4114 - b4115 + b4121 <= 1 e37230: b4114 - b4115 + b4122 <= 1 e37231: b4114 - b4115 + b4123 <= 1 e37232: b4114 - b4115 + b4124 <= 1 e37233: b4114 - b4115 + b4125 <= 1 e37234: b4114 - b4115 + b4126 <= 1 e37235: b4114 - b4115 + b4127 <= 1 e37236: b4115 - b4116 + b4117 <= 1 e37237: b4115 - b4116 + b4118 <= 1 e37238: b4115 - b4116 + b4119 <= 1 e37239: b4115 - b4116 + b4120 <= 1 e37240: b4115 - b4116 + b4121 <= 1 e37241: b4115 - b4116 + b4122 <= 1 e37242: b4115 - b4116 + b4123 <= 1 e37243: b4115 - b4116 + b4124 <= 1 e37244: b4115 - b4116 + b4125 <= 1 e37245: b4115 - b4116 + b4126 <= 1 e37246: b4115 - b4116 + b4127 <= 1 e37247: b4115 - b4116 + b4128 <= 1 e37248: b4116 - b4117 + b4118 <= 1 e37249: b4116 - b4117 + b4119 <= 1 e37250: b4116 - b4117 + b4120 <= 1 e37251: b4116 - b4117 + b4121 <= 1 e37252: b4116 - b4117 + b4122 <= 1 e37253: b4116 - b4117 + b4123 <= 1 e37254: b4116 - b4117 + b4124 <= 1 e37255: b4116 - b4117 + b4125 <= 1 e37256: b4116 - b4117 + b4126 <= 1 e37257: b4116 - b4117 + b4127 <= 1 e37258: b4116 - b4117 + b4128 <= 1 e37259: b4116 - b4117 + b4129 <= 1 e37260: b4117 - b4118 + b4119 <= 1 e37261: b4117 - b4118 + b4120 <= 1 e37262: b4117 - b4118 + b4121 <= 1 e37263: b4117 - b4118 + b4122 <= 1 e37264: b4117 - b4118 + b4123 <= 1 e37265: b4117 - b4118 + b4124 <= 1 e37266: b4117 - b4118 + b4125 <= 1 e37267: b4117 - b4118 + b4126 <= 1 e37268: b4117 - b4118 + b4127 <= 1 e37269: b4117 - b4118 + b4128 <= 1 e37270: b4117 - b4118 + b4129 <= 1 e37271: b4118 - b4119 + b4120 <= 1 e37272: b4118 - b4119 + b4121 <= 1 e37273: b4118 - b4119 + b4122 <= 1 e37274: b4118 - b4119 + b4123 <= 1 e37275: b4118 - b4119 + b4124 <= 1 e37276: b4118 - b4119 + b4125 <= 1 e37277: b4118 - b4119 + b4126 <= 1 e37278: b4118 - b4119 + b4127 <= 1 e37279: b4118 - b4119 + b4128 <= 1 e37280: b4118 - b4119 + b4129 <= 1 e37281: b4119 - b4120 + b4121 <= 1 e37282: b4119 - b4120 + b4122 <= 1 e37283: b4119 - b4120 + b4123 <= 1 e37284: b4119 - b4120 + b4124 <= 1 e37285: b4119 - b4120 + b4125 <= 1 e37286: b4119 - b4120 + b4126 <= 1 e37287: b4119 - b4120 + b4127 <= 1 e37288: b4119 - b4120 + b4128 <= 1 e37289: b4119 - b4120 + b4129 <= 1 e37290: b4120 - b4121 + b4122 <= 1 e37291: b4120 - b4121 + b4123 <= 1 e37292: b4120 - b4121 + b4124 <= 1 e37293: b4120 - b4121 + b4125 <= 1 e37294: b4120 - b4121 + b4126 <= 1 e37295: b4120 - b4121 + b4127 <= 1 e37296: b4120 - b4121 + b4128 <= 1 e37297: b4120 - b4121 + b4129 <= 1 e37298: b4121 - b4122 + b4123 <= 1 e37299: b4121 - b4122 + b4124 <= 1 e37300: b4121 - b4122 + b4125 <= 1 e37301: b4121 - b4122 + b4126 <= 1 e37302: b4121 - b4122 + b4127 <= 1 e37303: b4121 - b4122 + b4128 <= 1 e37304: b4121 - b4122 + b4129 <= 1 e37305: b4122 - b4123 + b4124 <= 1 e37306: b4122 - b4123 + b4125 <= 1 e37307: b4122 - b4123 + b4126 <= 1 e37308: b4122 - b4123 + b4127 <= 1 e37309: b4122 - b4123 + b4128 <= 1 e37310: b4122 - b4123 + b4129 <= 1 e37311: b4123 - b4124 + b4125 <= 1 e37312: b4123 - b4124 + b4126 <= 1 e37313: b4123 - b4124 + b4127 <= 1 e37314: b4123 - b4124 + b4128 <= 1 e37315: b4123 - b4124 + b4129 <= 1 e37316: b4124 - b4125 + b4126 <= 1 e37317: b4124 - b4125 + b4127 <= 1 e37318: b4124 - b4125 + b4128 <= 1 e37319: b4124 - b4125 + b4129 <= 1 e37320: b4125 - b4126 + b4127 <= 1 e37321: b4125 - b4126 + b4128 <= 1 e37322: b4125 - b4126 + b4129 <= 1 e37323: b4126 - b4127 + b4128 <= 1 e37324: b4126 - b4127 + b4129 <= 1 e37325: b4127 - b4128 + b4129 <= 1 e37326: b4111 - b4112 + b4113 >= 0 e37327: b4111 - b4112 + b4114 >= 0 e37328: b4111 - b4112 + b4115 >= 0 e37329: b4111 - b4112 + b4116 >= 0 e37330: b4111 - b4112 + b4117 >= 0 e37331: b4111 - b4112 + b4118 >= 0 e37332: b4111 - b4112 + b4119 >= 0 e37333: b4111 - b4112 + b4120 >= 0 e37334: b4111 - b4112 + b4121 >= 0 e37335: b4111 - b4112 + b4122 >= 0 e37336: b4111 - b4112 + b4123 >= 0 e37337: b4111 - b4112 + b4124 >= 0 e37338: b4112 - b4113 + b4114 >= 0 e37339: b4112 - b4113 + b4115 >= 0 e37340: b4112 - b4113 + b4116 >= 0 e37341: b4112 - b4113 + b4117 >= 0 e37342: b4112 - b4113 + b4118 >= 0 e37343: b4112 - b4113 + b4119 >= 0 e37344: b4112 - b4113 + b4120 >= 0 e37345: b4112 - b4113 + b4121 >= 0 e37346: b4112 - b4113 + b4122 >= 0 e37347: b4112 - b4113 + b4123 >= 0 e37348: b4112 - b4113 + b4124 >= 0 e37349: b4112 - b4113 + b4125 >= 0 e37350: b4113 - b4114 + b4115 >= 0 e37351: b4113 - b4114 + b4116 >= 0 e37352: b4113 - b4114 + b4117 >= 0 e37353: b4113 - b4114 + b4118 >= 0 e37354: b4113 - b4114 + b4119 >= 0 e37355: b4113 - b4114 + b4120 >= 0 e37356: b4113 - b4114 + b4121 >= 0 e37357: b4113 - b4114 + b4122 >= 0 e37358: b4113 - b4114 + b4123 >= 0 e37359: b4113 - b4114 + b4124 >= 0 e37360: b4113 - b4114 + b4125 >= 0 e37361: b4113 - b4114 + b4126 >= 0 e37362: b4114 - b4115 + b4116 >= 0 e37363: b4114 - b4115 + b4117 >= 0 e37364: b4114 - b4115 + b4118 >= 0 e37365: b4114 - b4115 + b4119 >= 0 e37366: b4114 - b4115 + b4120 >= 0 e37367: b4114 - b4115 + b4121 >= 0 e37368: b4114 - b4115 + b4122 >= 0 e37369: b4114 - b4115 + b4123 >= 0 e37370: b4114 - b4115 + b4124 >= 0 e37371: b4114 - b4115 + b4125 >= 0 e37372: b4114 - b4115 + b4126 >= 0 e37373: b4114 - b4115 + b4127 >= 0 e37374: b4115 - b4116 + b4117 >= 0 e37375: b4115 - b4116 + b4118 >= 0 e37376: b4115 - b4116 + b4119 >= 0 e37377: b4115 - b4116 + b4120 >= 0 e37378: b4115 - b4116 + b4121 >= 0 e37379: b4115 - b4116 + b4122 >= 0 e37380: b4115 - b4116 + b4123 >= 0 e37381: b4115 - b4116 + b4124 >= 0 e37382: b4115 - b4116 + b4125 >= 0 e37383: b4115 - b4116 + b4126 >= 0 e37384: b4115 - b4116 + b4127 >= 0 e37385: b4115 - b4116 + b4128 >= 0 e37386: b4116 - b4117 + b4118 >= 0 e37387: b4116 - b4117 + b4119 >= 0 e37388: b4116 - b4117 + b4120 >= 0 e37389: b4116 - b4117 + b4121 >= 0 e37390: b4116 - b4117 + b4122 >= 0 e37391: b4116 - b4117 + b4123 >= 0 e37392: b4116 - b4117 + b4124 >= 0 e37393: b4116 - b4117 + b4125 >= 0 e37394: b4116 - b4117 + b4126 >= 0 e37395: b4116 - b4117 + b4127 >= 0 e37396: b4116 - b4117 + b4128 >= 0 e37397: b4116 - b4117 + b4129 >= 0 e37398: b4117 - b4118 + b4119 >= 0 e37399: b4117 - b4118 + b4120 >= 0 e37400: b4117 - b4118 + b4121 >= 0 e37401: b4117 - b4118 + b4122 >= 0 e37402: b4117 - b4118 + b4123 >= 0 e37403: b4117 - b4118 + b4124 >= 0 e37404: b4117 - b4118 + b4125 >= 0 e37405: b4117 - b4118 + b4126 >= 0 e37406: b4117 - b4118 + b4127 >= 0 e37407: b4117 - b4118 + b4128 >= 0 e37408: b4117 - b4118 + b4129 >= 0 e37409: b4118 - b4119 + b4120 >= 0 e37410: b4118 - b4119 + b4121 >= 0 e37411: b4118 - b4119 + b4122 >= 0 e37412: b4118 - b4119 + b4123 >= 0 e37413: b4118 - b4119 + b4124 >= 0 e37414: b4118 - b4119 + b4125 >= 0 e37415: b4118 - b4119 + b4126 >= 0 e37416: b4118 - b4119 + b4127 >= 0 e37417: b4118 - b4119 + b4128 >= 0 e37418: b4118 - b4119 + b4129 >= 0 e37419: b4119 - b4120 + b4121 >= 0 e37420: b4119 - b4120 + b4122 >= 0 e37421: b4119 - b4120 + b4123 >= 0 e37422: b4119 - b4120 + b4124 >= 0 e37423: b4119 - b4120 + b4125 >= 0 e37424: b4119 - b4120 + b4126 >= 0 e37425: b4119 - b4120 + b4127 >= 0 e37426: b4119 - b4120 + b4128 >= 0 e37427: b4119 - b4120 + b4129 >= 0 e37428: b4120 - b4121 + b4122 >= 0 e37429: b4120 - b4121 + b4123 >= 0 e37430: b4120 - b4121 + b4124 >= 0 e37431: b4120 - b4121 + b4125 >= 0 e37432: b4120 - b4121 + b4126 >= 0 e37433: b4120 - b4121 + b4127 >= 0 e37434: b4120 - b4121 + b4128 >= 0 e37435: b4120 - b4121 + b4129 >= 0 e37436: b4121 - b4122 + b4123 >= 0 e37437: b4121 - b4122 + b4124 >= 0 e37438: b4121 - b4122 + b4125 >= 0 e37439: b4121 - b4122 + b4126 >= 0 e37440: b4121 - b4122 + b4127 >= 0 e37441: b4121 - b4122 + b4128 >= 0 e37442: b4121 - b4122 + b4129 >= 0 e37443: b4122 - b4123 + b4124 >= 0 e37444: b4122 - b4123 + b4125 >= 0 e37445: b4122 - b4123 + b4126 >= 0 e37446: b4122 - b4123 + b4127 >= 0 e37447: b4122 - b4123 + b4128 >= 0 e37448: b4122 - b4123 + b4129 >= 0 e37449: b4123 - b4124 + b4125 >= 0 e37450: b4123 - b4124 + b4126 >= 0 e37451: b4123 - b4124 + b4127 >= 0 e37452: b4123 - b4124 + b4128 >= 0 e37453: b4123 - b4124 + b4129 >= 0 e37454: b4124 - b4125 + b4126 >= 0 e37455: b4124 - b4125 + b4127 >= 0 e37456: b4124 - b4125 + b4128 >= 0 e37457: b4124 - b4125 + b4129 >= 0 e37458: b4125 - b4126 + b4127 >= 0 e37459: b4125 - b4126 + b4128 >= 0 e37460: b4125 - b4126 + b4129 >= 0 e37461: b4126 - b4127 + b4128 >= 0 e37462: b4126 - b4127 + b4129 >= 0 e37463: b4127 - b4128 + b4129 >= 0 e37464: b4130 = 1 e37465: b4131 = 1 e37466: b4132 = 1 e37467: b4133 = 1 e37468: b4134 = 1 e37469: b4135 = 1 e37470: b4135 - b4136 + b4137 <= 1 e37471: b4135 - b4136 + b4138 <= 1 e37472: b4135 - b4136 + b4139 <= 1 e37473: b4135 - b4136 + b4140 <= 1 e37474: b4135 - b4136 + b4141 <= 1 e37475: b4135 - b4136 + b4142 <= 1 e37476: b4135 - b4136 + b4143 <= 1 e37477: b4135 - b4136 + b4144 <= 1 e37478: b4135 - b4136 + b4145 <= 1 e37479: b4135 - b4136 + b4146 <= 1 e37480: b4135 - b4136 + b4147 <= 1 e37481: b4135 - b4136 + b4148 <= 1 e37482: b4136 - b4137 + b4138 <= 1 e37483: b4136 - b4137 + b4139 <= 1 e37484: b4136 - b4137 + b4140 <= 1 e37485: b4136 - b4137 + b4141 <= 1 e37486: b4136 - b4137 + b4142 <= 1 e37487: b4136 - b4137 + b4143 <= 1 e37488: b4136 - b4137 + b4144 <= 1 e37489: b4136 - b4137 + b4145 <= 1 e37490: b4136 - b4137 + b4146 <= 1 e37491: b4136 - b4137 + b4147 <= 1 e37492: b4136 - b4137 + b4148 <= 1 e37493: b4136 - b4137 + b4149 <= 1 e37494: b4137 - b4138 + b4139 <= 1 e37495: b4137 - b4138 + b4140 <= 1 e37496: b4137 - b4138 + b4141 <= 1 e37497: b4137 - b4138 + b4142 <= 1 e37498: b4137 - b4138 + b4143 <= 1 e37499: b4137 - b4138 + b4144 <= 1 e37500: b4137 - b4138 + b4145 <= 1 e37501: b4137 - b4138 + b4146 <= 1 e37502: b4137 - b4138 + b4147 <= 1 e37503: b4137 - b4138 + b4148 <= 1 e37504: b4137 - b4138 + b4149 <= 1 e37505: b4137 - b4138 + b4150 <= 1 e37506: b4138 - b4139 + b4140 <= 1 e37507: b4138 - b4139 + b4141 <= 1 e37508: b4138 - b4139 + b4142 <= 1 e37509: b4138 - b4139 + b4143 <= 1 e37510: b4138 - b4139 + b4144 <= 1 e37511: b4138 - b4139 + b4145 <= 1 e37512: b4138 - b4139 + b4146 <= 1 e37513: b4138 - b4139 + b4147 <= 1 e37514: b4138 - b4139 + b4148 <= 1 e37515: b4138 - b4139 + b4149 <= 1 e37516: b4138 - b4139 + b4150 <= 1 e37517: b4138 - b4139 + b4151 <= 1 e37518: b4139 - b4140 + b4141 <= 1 e37519: b4139 - b4140 + b4142 <= 1 e37520: b4139 - b4140 + b4143 <= 1 e37521: b4139 - b4140 + b4144 <= 1 e37522: b4139 - b4140 + b4145 <= 1 e37523: b4139 - b4140 + b4146 <= 1 e37524: b4139 - b4140 + b4147 <= 1 e37525: b4139 - b4140 + b4148 <= 1 e37526: b4139 - b4140 + b4149 <= 1 e37527: b4139 - b4140 + b4150 <= 1 e37528: b4139 - b4140 + b4151 <= 1 e37529: b4139 - b4140 + b4152 <= 1 e37530: b4140 - b4141 + b4142 <= 1 e37531: b4140 - b4141 + b4143 <= 1 e37532: b4140 - b4141 + b4144 <= 1 e37533: b4140 - b4141 + b4145 <= 1 e37534: b4140 - b4141 + b4146 <= 1 e37535: b4140 - b4141 + b4147 <= 1 e37536: b4140 - b4141 + b4148 <= 1 e37537: b4140 - b4141 + b4149 <= 1 e37538: b4140 - b4141 + b4150 <= 1 e37539: b4140 - b4141 + b4151 <= 1 e37540: b4140 - b4141 + b4152 <= 1 e37541: b4140 - b4141 + b4153 <= 1 e37542: b4141 - b4142 + b4143 <= 1 e37543: b4141 - b4142 + b4144 <= 1 e37544: b4141 - b4142 + b4145 <= 1 e37545: b4141 - b4142 + b4146 <= 1 e37546: b4141 - b4142 + b4147 <= 1 e37547: b4141 - b4142 + b4148 <= 1 e37548: b4141 - b4142 + b4149 <= 1 e37549: b4141 - b4142 + b4150 <= 1 e37550: b4141 - b4142 + b4151 <= 1 e37551: b4141 - b4142 + b4152 <= 1 e37552: b4141 - b4142 + b4153 <= 1 e37553: b4142 - b4143 + b4144 <= 1 e37554: b4142 - b4143 + b4145 <= 1 e37555: b4142 - b4143 + b4146 <= 1 e37556: b4142 - b4143 + b4147 <= 1 e37557: b4142 - b4143 + b4148 <= 1 e37558: b4142 - b4143 + b4149 <= 1 e37559: b4142 - b4143 + b4150 <= 1 e37560: b4142 - b4143 + b4151 <= 1 e37561: b4142 - b4143 + b4152 <= 1 e37562: b4142 - b4143 + b4153 <= 1 e37563: b4143 - b4144 + b4145 <= 1 e37564: b4143 - b4144 + b4146 <= 1 e37565: b4143 - b4144 + b4147 <= 1 e37566: b4143 - b4144 + b4148 <= 1 e37567: b4143 - b4144 + b4149 <= 1 e37568: b4143 - b4144 + b4150 <= 1 e37569: b4143 - b4144 + b4151 <= 1 e37570: b4143 - b4144 + b4152 <= 1 e37571: b4143 - b4144 + b4153 <= 1 e37572: b4144 - b4145 + b4146 <= 1 e37573: b4144 - b4145 + b4147 <= 1 e37574: b4144 - b4145 + b4148 <= 1 e37575: b4144 - b4145 + b4149 <= 1 e37576: b4144 - b4145 + b4150 <= 1 e37577: b4144 - b4145 + b4151 <= 1 e37578: b4144 - b4145 + b4152 <= 1 e37579: b4144 - b4145 + b4153 <= 1 e37580: b4145 - b4146 + b4147 <= 1 e37581: b4145 - b4146 + b4148 <= 1 e37582: b4145 - b4146 + b4149 <= 1 e37583: b4145 - b4146 + b4150 <= 1 e37584: b4145 - b4146 + b4151 <= 1 e37585: b4145 - b4146 + b4152 <= 1 e37586: b4145 - b4146 + b4153 <= 1 e37587: b4146 - b4147 + b4148 <= 1 e37588: b4146 - b4147 + b4149 <= 1 e37589: b4146 - b4147 + b4150 <= 1 e37590: b4146 - b4147 + b4151 <= 1 e37591: b4146 - b4147 + b4152 <= 1 e37592: b4146 - b4147 + b4153 <= 1 e37593: b4147 - b4148 + b4149 <= 1 e37594: b4147 - b4148 + b4150 <= 1 e37595: b4147 - b4148 + b4151 <= 1 e37596: b4147 - b4148 + b4152 <= 1 e37597: b4147 - b4148 + b4153 <= 1 e37598: b4148 - b4149 + b4150 <= 1 e37599: b4148 - b4149 + b4151 <= 1 e37600: b4148 - b4149 + b4152 <= 1 e37601: b4148 - b4149 + b4153 <= 1 e37602: b4149 - b4150 + b4151 <= 1 e37603: b4149 - b4150 + b4152 <= 1 e37604: b4149 - b4150 + b4153 <= 1 e37605: b4150 - b4151 + b4152 <= 1 e37606: b4150 - b4151 + b4153 <= 1 e37607: b4151 - b4152 + b4153 <= 1 e37608: b4135 - b4136 + b4137 >= 0 e37609: b4135 - b4136 + b4138 >= 0 e37610: b4135 - b4136 + b4139 >= 0 e37611: b4135 - b4136 + b4140 >= 0 e37612: b4135 - b4136 + b4141 >= 0 e37613: b4135 - b4136 + b4142 >= 0 e37614: b4135 - b4136 + b4143 >= 0 e37615: b4135 - b4136 + b4144 >= 0 e37616: b4135 - b4136 + b4145 >= 0 e37617: b4135 - b4136 + b4146 >= 0 e37618: b4135 - b4136 + b4147 >= 0 e37619: b4135 - b4136 + b4148 >= 0 e37620: b4136 - b4137 + b4138 >= 0 e37621: b4136 - b4137 + b4139 >= 0 e37622: b4136 - b4137 + b4140 >= 0 e37623: b4136 - b4137 + b4141 >= 0 e37624: b4136 - b4137 + b4142 >= 0 e37625: b4136 - b4137 + b4143 >= 0 e37626: b4136 - b4137 + b4144 >= 0 e37627: b4136 - b4137 + b4145 >= 0 e37628: b4136 - b4137 + b4146 >= 0 e37629: b4136 - b4137 + b4147 >= 0 e37630: b4136 - b4137 + b4148 >= 0 e37631: b4136 - b4137 + b4149 >= 0 e37632: b4137 - b4138 + b4139 >= 0 e37633: b4137 - b4138 + b4140 >= 0 e37634: b4137 - b4138 + b4141 >= 0 e37635: b4137 - b4138 + b4142 >= 0 e37636: b4137 - b4138 + b4143 >= 0 e37637: b4137 - b4138 + b4144 >= 0 e37638: b4137 - b4138 + b4145 >= 0 e37639: b4137 - b4138 + b4146 >= 0 e37640: b4137 - b4138 + b4147 >= 0 e37641: b4137 - b4138 + b4148 >= 0 e37642: b4137 - b4138 + b4149 >= 0 e37643: b4137 - b4138 + b4150 >= 0 e37644: b4138 - b4139 + b4140 >= 0 e37645: b4138 - b4139 + b4141 >= 0 e37646: b4138 - b4139 + b4142 >= 0 e37647: b4138 - b4139 + b4143 >= 0 e37648: b4138 - b4139 + b4144 >= 0 e37649: b4138 - b4139 + b4145 >= 0 e37650: b4138 - b4139 + b4146 >= 0 e37651: b4138 - b4139 + b4147 >= 0 e37652: b4138 - b4139 + b4148 >= 0 e37653: b4138 - b4139 + b4149 >= 0 e37654: b4138 - b4139 + b4150 >= 0 e37655: b4138 - b4139 + b4151 >= 0 e37656: b4139 - b4140 + b4141 >= 0 e37657: b4139 - b4140 + b4142 >= 0 e37658: b4139 - b4140 + b4143 >= 0 e37659: b4139 - b4140 + b4144 >= 0 e37660: b4139 - b4140 + b4145 >= 0 e37661: b4139 - b4140 + b4146 >= 0 e37662: b4139 - b4140 + b4147 >= 0 e37663: b4139 - b4140 + b4148 >= 0 e37664: b4139 - b4140 + b4149 >= 0 e37665: b4139 - b4140 + b4150 >= 0 e37666: b4139 - b4140 + b4151 >= 0 e37667: b4139 - b4140 + b4152 >= 0 e37668: b4140 - b4141 + b4142 >= 0 e37669: b4140 - b4141 + b4143 >= 0 e37670: b4140 - b4141 + b4144 >= 0 e37671: b4140 - b4141 + b4145 >= 0 e37672: b4140 - b4141 + b4146 >= 0 e37673: b4140 - b4141 + b4147 >= 0 e37674: b4140 - b4141 + b4148 >= 0 e37675: b4140 - b4141 + b4149 >= 0 e37676: b4140 - b4141 + b4150 >= 0 e37677: b4140 - b4141 + b4151 >= 0 e37678: b4140 - b4141 + b4152 >= 0 e37679: b4140 - b4141 + b4153 >= 0 e37680: b4141 - b4142 + b4143 >= 0 e37681: b4141 - b4142 + b4144 >= 0 e37682: b4141 - b4142 + b4145 >= 0 e37683: b4141 - b4142 + b4146 >= 0 e37684: b4141 - b4142 + b4147 >= 0 e37685: b4141 - b4142 + b4148 >= 0 e37686: b4141 - b4142 + b4149 >= 0 e37687: b4141 - b4142 + b4150 >= 0 e37688: b4141 - b4142 + b4151 >= 0 e37689: b4141 - b4142 + b4152 >= 0 e37690: b4141 - b4142 + b4153 >= 0 e37691: b4142 - b4143 + b4144 >= 0 e37692: b4142 - b4143 + b4145 >= 0 e37693: b4142 - b4143 + b4146 >= 0 e37694: b4142 - b4143 + b4147 >= 0 e37695: b4142 - b4143 + b4148 >= 0 e37696: b4142 - b4143 + b4149 >= 0 e37697: b4142 - b4143 + b4150 >= 0 e37698: b4142 - b4143 + b4151 >= 0 e37699: b4142 - b4143 + b4152 >= 0 e37700: b4142 - b4143 + b4153 >= 0 e37701: b4143 - b4144 + b4145 >= 0 e37702: b4143 - b4144 + b4146 >= 0 e37703: b4143 - b4144 + b4147 >= 0 e37704: b4143 - b4144 + b4148 >= 0 e37705: b4143 - b4144 + b4149 >= 0 e37706: b4143 - b4144 + b4150 >= 0 e37707: b4143 - b4144 + b4151 >= 0 e37708: b4143 - b4144 + b4152 >= 0 e37709: b4143 - b4144 + b4153 >= 0 e37710: b4144 - b4145 + b4146 >= 0 e37711: b4144 - b4145 + b4147 >= 0 e37712: b4144 - b4145 + b4148 >= 0 e37713: b4144 - b4145 + b4149 >= 0 e37714: b4144 - b4145 + b4150 >= 0 e37715: b4144 - b4145 + b4151 >= 0 e37716: b4144 - b4145 + b4152 >= 0 e37717: b4144 - b4145 + b4153 >= 0 e37718: b4145 - b4146 + b4147 >= 0 e37719: b4145 - b4146 + b4148 >= 0 e37720: b4145 - b4146 + b4149 >= 0 e37721: b4145 - b4146 + b4150 >= 0 e37722: b4145 - b4146 + b4151 >= 0 e37723: b4145 - b4146 + b4152 >= 0 e37724: b4145 - b4146 + b4153 >= 0 e37725: b4146 - b4147 + b4148 >= 0 e37726: b4146 - b4147 + b4149 >= 0 e37727: b4146 - b4147 + b4150 >= 0 e37728: b4146 - b4147 + b4151 >= 0 e37729: b4146 - b4147 + b4152 >= 0 e37730: b4146 - b4147 + b4153 >= 0 e37731: b4147 - b4148 + b4149 >= 0 e37732: b4147 - b4148 + b4150 >= 0 e37733: b4147 - b4148 + b4151 >= 0 e37734: b4147 - b4148 + b4152 >= 0 e37735: b4147 - b4148 + b4153 >= 0 e37736: b4148 - b4149 + b4150 >= 0 e37737: b4148 - b4149 + b4151 >= 0 e37738: b4148 - b4149 + b4152 >= 0 e37739: b4148 - b4149 + b4153 >= 0 e37740: b4149 - b4150 + b4151 >= 0 e37741: b4149 - b4150 + b4152 >= 0 e37742: b4149 - b4150 + b4153 >= 0 e37743: b4150 - b4151 + b4152 >= 0 e37744: b4150 - b4151 + b4153 >= 0 e37745: b4151 - b4152 + b4153 >= 0 e37746: b4154 = 1 e37747: b4155 = 1 e37748: b4155 - b4156 + b4157 <= 1 e37749: b4155 - b4156 + b4158 <= 1 e37750: b4155 - b4156 + b4159 <= 1 e37751: b4155 - b4156 + b4160 <= 1 e37752: b4155 - b4156 + b4161 <= 1 e37753: b4155 - b4156 + b4162 <= 1 e37754: b4155 - b4156 + b4163 <= 1 e37755: b4155 - b4156 + b4164 <= 1 e37756: b4155 - b4156 + b4165 <= 1 e37757: b4155 - b4156 + b4166 <= 1 e37758: b4155 - b4156 + b4167 <= 1 e37759: b4156 - b4157 + b4158 <= 1 e37760: b4156 - b4157 + b4159 <= 1 e37761: b4156 - b4157 + b4160 <= 1 e37762: b4156 - b4157 + b4161 <= 1 e37763: b4156 - b4157 + b4162 <= 1 e37764: b4156 - b4157 + b4163 <= 1 e37765: b4156 - b4157 + b4164 <= 1 e37766: b4156 - b4157 + b4165 <= 1 e37767: b4156 - b4157 + b4166 <= 1 e37768: b4156 - b4157 + b4167 <= 1 e37769: b4156 - b4157 + b4168 <= 1 e37770: b4157 - b4158 + b4159 <= 1 e37771: b4157 - b4158 + b4160 <= 1 e37772: b4157 - b4158 + b4161 <= 1 e37773: b4157 - b4158 + b4162 <= 1 e37774: b4157 - b4158 + b4163 <= 1 e37775: b4157 - b4158 + b4164 <= 1 e37776: b4157 - b4158 + b4165 <= 1 e37777: b4157 - b4158 + b4166 <= 1 e37778: b4157 - b4158 + b4167 <= 1 e37779: b4157 - b4158 + b4168 <= 1 e37780: b4157 - b4158 + b4169 <= 1 e37781: b4158 - b4159 + b4160 <= 1 e37782: b4158 - b4159 + b4161 <= 1 e37783: b4158 - b4159 + b4162 <= 1 e37784: b4158 - b4159 + b4163 <= 1 e37785: b4158 - b4159 + b4164 <= 1 e37786: b4158 - b4159 + b4165 <= 1 e37787: b4158 - b4159 + b4166 <= 1 e37788: b4158 - b4159 + b4167 <= 1 e37789: b4158 - b4159 + b4168 <= 1 e37790: b4158 - b4159 + b4169 <= 1 e37791: b4158 - b4159 + b4170 <= 1 e37792: b4159 - b4160 + b4161 <= 1 e37793: b4159 - b4160 + b4162 <= 1 e37794: b4159 - b4160 + b4163 <= 1 e37795: b4159 - b4160 + b4164 <= 1 e37796: b4159 - b4160 + b4165 <= 1 e37797: b4159 - b4160 + b4166 <= 1 e37798: b4159 - b4160 + b4167 <= 1 e37799: b4159 - b4160 + b4168 <= 1 e37800: b4159 - b4160 + b4169 <= 1 e37801: b4159 - b4160 + b4170 <= 1 e37802: b4159 - b4160 + b4171 <= 1 e37803: b4160 - b4161 + b4162 <= 1 e37804: b4160 - b4161 + b4163 <= 1 e37805: b4160 - b4161 + b4164 <= 1 e37806: b4160 - b4161 + b4165 <= 1 e37807: b4160 - b4161 + b4166 <= 1 e37808: b4160 - b4161 + b4167 <= 1 e37809: b4160 - b4161 + b4168 <= 1 e37810: b4160 - b4161 + b4169 <= 1 e37811: b4160 - b4161 + b4170 <= 1 e37812: b4160 - b4161 + b4171 <= 1 e37813: b4160 - b4161 + b4172 <= 1 e37814: b4161 - b4162 + b4163 <= 1 e37815: b4161 - b4162 + b4164 <= 1 e37816: b4161 - b4162 + b4165 <= 1 e37817: b4161 - b4162 + b4166 <= 1 e37818: b4161 - b4162 + b4167 <= 1 e37819: b4161 - b4162 + b4168 <= 1 e37820: b4161 - b4162 + b4169 <= 1 e37821: b4161 - b4162 + b4170 <= 1 e37822: b4161 - b4162 + b4171 <= 1 e37823: b4161 - b4162 + b4172 <= 1 e37824: b4161 - b4162 + b4173 <= 1 e37825: b4162 - b4163 + b4164 <= 1 e37826: b4162 - b4163 + b4165 <= 1 e37827: b4162 - b4163 + b4166 <= 1 e37828: b4162 - b4163 + b4167 <= 1 e37829: b4162 - b4163 + b4168 <= 1 e37830: b4162 - b4163 + b4169 <= 1 e37831: b4162 - b4163 + b4170 <= 1 e37832: b4162 - b4163 + b4171 <= 1 e37833: b4162 - b4163 + b4172 <= 1 e37834: b4162 - b4163 + b4173 <= 1 e37835: b4162 - b4163 + b4174 <= 1 e37836: b4163 - b4164 + b4165 <= 1 e37837: b4163 - b4164 + b4166 <= 1 e37838: b4163 - b4164 + b4167 <= 1 e37839: b4163 - b4164 + b4168 <= 1 e37840: b4163 - b4164 + b4169 <= 1 e37841: b4163 - b4164 + b4170 <= 1 e37842: b4163 - b4164 + b4171 <= 1 e37843: b4163 - b4164 + b4172 <= 1 e37844: b4163 - b4164 + b4173 <= 1 e37845: b4163 - b4164 + b4174 <= 1 e37846: b4163 - b4164 + b4175 <= 1 e37847: b4164 - b4165 + b4166 <= 1 e37848: b4164 - b4165 + b4167 <= 1 e37849: b4164 - b4165 + b4168 <= 1 e37850: b4164 - b4165 + b4169 <= 1 e37851: b4164 - b4165 + b4170 <= 1 e37852: b4164 - b4165 + b4171 <= 1 e37853: b4164 - b4165 + b4172 <= 1 e37854: b4164 - b4165 + b4173 <= 1 e37855: b4164 - b4165 + b4174 <= 1 e37856: b4164 - b4165 + b4175 <= 1 e37857: b4164 - b4165 + b4176 <= 1 e37858: b4165 - b4166 + b4167 <= 1 e37859: b4165 - b4166 + b4168 <= 1 e37860: b4165 - b4166 + b4169 <= 1 e37861: b4165 - b4166 + b4170 <= 1 e37862: b4165 - b4166 + b4171 <= 1 e37863: b4165 - b4166 + b4172 <= 1 e37864: b4165 - b4166 + b4173 <= 1 e37865: b4165 - b4166 + b4174 <= 1 e37866: b4165 - b4166 + b4175 <= 1 e37867: b4165 - b4166 + b4176 <= 1 e37868: b4165 - b4166 + b4177 <= 1 e37869: b4166 - b4167 + b4168 <= 1 e37870: b4166 - b4167 + b4169 <= 1 e37871: b4166 - b4167 + b4170 <= 1 e37872: b4166 - b4167 + b4171 <= 1 e37873: b4166 - b4167 + b4172 <= 1 e37874: b4166 - b4167 + b4173 <= 1 e37875: b4166 - b4167 + b4174 <= 1 e37876: b4166 - b4167 + b4175 <= 1 e37877: b4166 - b4167 + b4176 <= 1 e37878: b4166 - b4167 + b4177 <= 1 e37879: b4167 - b4168 + b4169 <= 1 e37880: b4167 - b4168 + b4170 <= 1 e37881: b4167 - b4168 + b4171 <= 1 e37882: b4167 - b4168 + b4172 <= 1 e37883: b4167 - b4168 + b4173 <= 1 e37884: b4167 - b4168 + b4174 <= 1 e37885: b4167 - b4168 + b4175 <= 1 e37886: b4167 - b4168 + b4176 <= 1 e37887: b4167 - b4168 + b4177 <= 1 e37888: b4168 - b4169 + b4170 <= 1 e37889: b4168 - b4169 + b4171 <= 1 e37890: b4168 - b4169 + b4172 <= 1 e37891: b4168 - b4169 + b4173 <= 1 e37892: b4168 - b4169 + b4174 <= 1 e37893: b4168 - b4169 + b4175 <= 1 e37894: b4168 - b4169 + b4176 <= 1 e37895: b4168 - b4169 + b4177 <= 1 e37896: b4169 - b4170 + b4171 <= 1 e37897: b4169 - b4170 + b4172 <= 1 e37898: b4169 - b4170 + b4173 <= 1 e37899: b4169 - b4170 + b4174 <= 1 e37900: b4169 - b4170 + b4175 <= 1 e37901: b4169 - b4170 + b4176 <= 1 e37902: b4169 - b4170 + b4177 <= 1 e37903: b4170 - b4171 + b4172 <= 1 e37904: b4170 - b4171 + b4173 <= 1 e37905: b4170 - b4171 + b4174 <= 1 e37906: b4170 - b4171 + b4175 <= 1 e37907: b4170 - b4171 + b4176 <= 1 e37908: b4170 - b4171 + b4177 <= 1 e37909: b4171 - b4172 + b4173 <= 1 e37910: b4171 - b4172 + b4174 <= 1 e37911: b4171 - b4172 + b4175 <= 1 e37912: b4171 - b4172 + b4176 <= 1 e37913: b4171 - b4172 + b4177 <= 1 e37914: b4172 - b4173 + b4174 <= 1 e37915: b4172 - b4173 + b4175 <= 1 e37916: b4172 - b4173 + b4176 <= 1 e37917: b4172 - b4173 + b4177 <= 1 e37918: b4173 - b4174 + b4175 <= 1 e37919: b4173 - b4174 + b4176 <= 1 e37920: b4173 - b4174 + b4177 <= 1 e37921: b4174 - b4175 + b4176 <= 1 e37922: b4174 - b4175 + b4177 <= 1 e37923: b4175 - b4176 + b4177 <= 1 e37924: b4155 - b4156 + b4157 >= 0 e37925: b4155 - b4156 + b4158 >= 0 e37926: b4155 - b4156 + b4159 >= 0 e37927: b4155 - b4156 + b4160 >= 0 e37928: b4155 - b4156 + b4161 >= 0 e37929: b4155 - b4156 + b4162 >= 0 e37930: b4155 - b4156 + b4163 >= 0 e37931: b4155 - b4156 + b4164 >= 0 e37932: b4155 - b4156 + b4165 >= 0 e37933: b4155 - b4156 + b4166 >= 0 e37934: b4156 - b4157 + b4158 >= 0 e37935: b4156 - b4157 + b4159 >= 0 e37936: b4156 - b4157 + b4160 >= 0 e37937: b4156 - b4157 + b4161 >= 0 e37938: b4156 - b4157 + b4162 >= 0 e37939: b4156 - b4157 + b4163 >= 0 e37940: b4156 - b4157 + b4164 >= 0 e37941: b4156 - b4157 + b4165 >= 0 e37942: b4156 - b4157 + b4166 >= 0 e37943: b4156 - b4157 + b4167 >= 0 e37944: b4157 - b4158 + b4159 >= 0 e37945: b4157 - b4158 + b4160 >= 0 e37946: b4157 - b4158 + b4161 >= 0 e37947: b4157 - b4158 + b4162 >= 0 e37948: b4157 - b4158 + b4163 >= 0 e37949: b4157 - b4158 + b4164 >= 0 e37950: b4157 - b4158 + b4165 >= 0 e37951: b4157 - b4158 + b4166 >= 0 e37952: b4157 - b4158 + b4167 >= 0 e37953: b4157 - b4158 + b4168 >= 0 e37954: b4158 - b4159 + b4160 >= 0 e37955: b4158 - b4159 + b4161 >= 0 e37956: b4158 - b4159 + b4162 >= 0 e37957: b4158 - b4159 + b4163 >= 0 e37958: b4158 - b4159 + b4164 >= 0 e37959: b4158 - b4159 + b4165 >= 0 e37960: b4158 - b4159 + b4166 >= 0 e37961: b4158 - b4159 + b4167 >= 0 e37962: b4158 - b4159 + b4168 >= 0 e37963: b4158 - b4159 + b4169 >= 0 e37964: b4159 - b4160 + b4161 >= 0 e37965: b4159 - b4160 + b4162 >= 0 e37966: b4159 - b4160 + b4163 >= 0 e37967: b4159 - b4160 + b4164 >= 0 e37968: b4159 - b4160 + b4165 >= 0 e37969: b4159 - b4160 + b4166 >= 0 e37970: b4159 - b4160 + b4167 >= 0 e37971: b4159 - b4160 + b4168 >= 0 e37972: b4159 - b4160 + b4169 >= 0 e37973: b4159 - b4160 + b4170 >= 0 e37974: b4160 - b4161 + b4162 >= 0 e37975: b4160 - b4161 + b4163 >= 0 e37976: b4160 - b4161 + b4164 >= 0 e37977: b4160 - b4161 + b4165 >= 0 e37978: b4160 - b4161 + b4166 >= 0 e37979: b4160 - b4161 + b4167 >= 0 e37980: b4160 - b4161 + b4168 >= 0 e37981: b4160 - b4161 + b4169 >= 0 e37982: b4160 - b4161 + b4170 >= 0 e37983: b4160 - b4161 + b4171 >= 0 e37984: b4161 - b4162 + b4163 >= 0 e37985: b4161 - b4162 + b4164 >= 0 e37986: b4161 - b4162 + b4165 >= 0 e37987: b4161 - b4162 + b4166 >= 0 e37988: b4161 - b4162 + b4167 >= 0 e37989: b4161 - b4162 + b4168 >= 0 e37990: b4161 - b4162 + b4169 >= 0 e37991: b4161 - b4162 + b4170 >= 0 e37992: b4161 - b4162 + b4171 >= 0 e37993: b4161 - b4162 + b4172 >= 0 e37994: b4162 - b4163 + b4164 >= 0 e37995: b4162 - b4163 + b4165 >= 0 e37996: b4162 - b4163 + b4166 >= 0 e37997: b4162 - b4163 + b4167 >= 0 e37998: b4162 - b4163 + b4168 >= 0 e37999: b4162 - b4163 + b4169 >= 0 e38000: b4162 - b4163 + b4170 >= 0 e38001: b4162 - b4163 + b4171 >= 0 e38002: b4162 - b4163 + b4172 >= 0 e38003: b4162 - b4163 + b4173 >= 0 e38004: b4163 - b4164 + b4165 >= 0 e38005: b4163 - b4164 + b4166 >= 0 e38006: b4163 - b4164 + b4167 >= 0 e38007: b4163 - b4164 + b4168 >= 0 e38008: b4163 - b4164 + b4169 >= 0 e38009: b4163 - b4164 + b4170 >= 0 e38010: b4163 - b4164 + b4171 >= 0 e38011: b4163 - b4164 + b4172 >= 0 e38012: b4163 - b4164 + b4173 >= 0 e38013: b4163 - b4164 + b4174 >= 0 e38014: b4164 - b4165 + b4166 >= 0 e38015: b4164 - b4165 + b4167 >= 0 e38016: b4164 - b4165 + b4168 >= 0 e38017: b4164 - b4165 + b4169 >= 0 e38018: b4164 - b4165 + b4170 >= 0 e38019: b4164 - b4165 + b4171 >= 0 e38020: b4164 - b4165 + b4172 >= 0 e38021: b4164 - b4165 + b4173 >= 0 e38022: b4164 - b4165 + b4174 >= 0 e38023: b4164 - b4165 + b4175 >= 0 e38024: b4165 - b4166 + b4167 >= 0 e38025: b4165 - b4166 + b4168 >= 0 e38026: b4165 - b4166 + b4169 >= 0 e38027: b4165 - b4166 + b4170 >= 0 e38028: b4165 - b4166 + b4171 >= 0 e38029: b4165 - b4166 + b4172 >= 0 e38030: b4165 - b4166 + b4173 >= 0 e38031: b4165 - b4166 + b4174 >= 0 e38032: b4165 - b4166 + b4175 >= 0 e38033: b4165 - b4166 + b4176 >= 0 e38034: b4166 - b4167 + b4168 >= 0 e38035: b4166 - b4167 + b4169 >= 0 e38036: b4166 - b4167 + b4170 >= 0 e38037: b4166 - b4167 + b4171 >= 0 e38038: b4166 - b4167 + b4172 >= 0 e38039: b4166 - b4167 + b4173 >= 0 e38040: b4166 - b4167 + b4174 >= 0 e38041: b4166 - b4167 + b4175 >= 0 e38042: b4166 - b4167 + b4176 >= 0 e38043: b4166 - b4167 + b4177 >= 0 e38044: b4167 - b4168 + b4169 >= 0 e38045: b4167 - b4168 + b4170 >= 0 e38046: b4167 - b4168 + b4171 >= 0 e38047: b4167 - b4168 + b4172 >= 0 e38048: b4167 - b4168 + b4173 >= 0 e38049: b4167 - b4168 + b4174 >= 0 e38050: b4167 - b4168 + b4175 >= 0 e38051: b4167 - b4168 + b4176 >= 0 e38052: b4167 - b4168 + b4177 >= 0 e38053: b4168 - b4169 + b4170 >= 0 e38054: b4168 - b4169 + b4171 >= 0 e38055: b4168 - b4169 + b4172 >= 0 e38056: b4168 - b4169 + b4173 >= 0 e38057: b4168 - b4169 + b4174 >= 0 e38058: b4168 - b4169 + b4175 >= 0 e38059: b4168 - b4169 + b4176 >= 0 e38060: b4168 - b4169 + b4177 >= 0 e38061: b4169 - b4170 + b4171 >= 0 e38062: b4169 - b4170 + b4172 >= 0 e38063: b4169 - b4170 + b4173 >= 0 e38064: b4169 - b4170 + b4174 >= 0 e38065: b4169 - b4170 + b4175 >= 0 e38066: b4169 - b4170 + b4176 >= 0 e38067: b4169 - b4170 + b4177 >= 0 e38068: b4170 - b4171 + b4172 >= 0 e38069: b4170 - b4171 + b4173 >= 0 e38070: b4170 - b4171 + b4174 >= 0 e38071: b4170 - b4171 + b4175 >= 0 e38072: b4170 - b4171 + b4176 >= 0 e38073: b4170 - b4171 + b4177 >= 0 e38074: b4171 - b4172 + b4173 >= 0 e38075: b4171 - b4172 + b4174 >= 0 e38076: b4171 - b4172 + b4175 >= 0 e38077: b4171 - b4172 + b4176 >= 0 e38078: b4171 - b4172 + b4177 >= 0 e38079: b4172 - b4173 + b4174 >= 0 e38080: b4172 - b4173 + b4175 >= 0 e38081: b4172 - b4173 + b4176 >= 0 e38082: b4172 - b4173 + b4177 >= 0 e38083: b4173 - b4174 + b4175 >= 0 e38084: b4173 - b4174 + b4176 >= 0 e38085: b4173 - b4174 + b4177 >= 0 e38086: b4174 - b4175 + b4176 >= 0 e38087: b4174 - b4175 + b4177 >= 0 e38088: b4175 - b4176 + b4177 >= 0 e38089: b4178 = 0 e38090: b4179 = 0 e38091: b4180 = 0 e38092: b4181 = 0 e38093: b4182 = 0 e38094: b4183 = 0 e38095: b4184 = 0 e38096: b4185 = 0 e38097: b4186 = 0 e38098: b4187 = 0 e38099: b4188 = 0 e38100: b4188 - b4189 + b4190 <= 1 e38101: b4188 - b4189 + b4191 <= 1 e38102: b4188 - b4189 + b4192 <= 1 e38103: b4188 - b4189 + b4193 <= 1 e38104: b4188 - b4189 + b4194 <= 1 e38105: b4188 - b4189 + b4195 <= 1 e38106: b4188 - b4189 + b4196 <= 1 e38107: b4188 - b4189 + b4197 <= 1 e38108: b4188 - b4189 + b4198 <= 1 e38109: b4188 - b4189 + b4199 <= 1 e38110: b4188 - b4189 + b4200 <= 1 e38111: b4188 - b4189 + b4201 <= 1 e38112: b4189 - b4190 + b4191 <= 1 e38113: b4189 - b4190 + b4192 <= 1 e38114: b4189 - b4190 + b4193 <= 1 e38115: b4189 - b4190 + b4194 <= 1 e38116: b4189 - b4190 + b4195 <= 1 e38117: b4189 - b4190 + b4196 <= 1 e38118: b4189 - b4190 + b4197 <= 1 e38119: b4189 - b4190 + b4198 <= 1 e38120: b4189 - b4190 + b4199 <= 1 e38121: b4189 - b4190 + b4200 <= 1 e38122: b4189 - b4190 + b4201 <= 1 e38123: b4190 - b4191 + b4192 <= 1 e38124: b4190 - b4191 + b4193 <= 1 e38125: b4190 - b4191 + b4194 <= 1 e38126: b4190 - b4191 + b4195 <= 1 e38127: b4190 - b4191 + b4196 <= 1 e38128: b4190 - b4191 + b4197 <= 1 e38129: b4190 - b4191 + b4198 <= 1 e38130: b4190 - b4191 + b4199 <= 1 e38131: b4190 - b4191 + b4200 <= 1 e38132: b4190 - b4191 + b4201 <= 1 e38133: b4191 - b4192 + b4193 <= 1 e38134: b4191 - b4192 + b4194 <= 1 e38135: b4191 - b4192 + b4195 <= 1 e38136: b4191 - b4192 + b4196 <= 1 e38137: b4191 - b4192 + b4197 <= 1 e38138: b4191 - b4192 + b4198 <= 1 e38139: b4191 - b4192 + b4199 <= 1 e38140: b4191 - b4192 + b4200 <= 1 e38141: b4191 - b4192 + b4201 <= 1 e38142: b4192 - b4193 + b4194 <= 1 e38143: b4192 - b4193 + b4195 <= 1 e38144: b4192 - b4193 + b4196 <= 1 e38145: b4192 - b4193 + b4197 <= 1 e38146: b4192 - b4193 + b4198 <= 1 e38147: b4192 - b4193 + b4199 <= 1 e38148: b4192 - b4193 + b4200 <= 1 e38149: b4192 - b4193 + b4201 <= 1 e38150: b4193 - b4194 + b4195 <= 1 e38151: b4193 - b4194 + b4196 <= 1 e38152: b4193 - b4194 + b4197 <= 1 e38153: b4193 - b4194 + b4198 <= 1 e38154: b4193 - b4194 + b4199 <= 1 e38155: b4193 - b4194 + b4200 <= 1 e38156: b4193 - b4194 + b4201 <= 1 e38157: b4194 - b4195 + b4196 <= 1 e38158: b4194 - b4195 + b4197 <= 1 e38159: b4194 - b4195 + b4198 <= 1 e38160: b4194 - b4195 + b4199 <= 1 e38161: b4194 - b4195 + b4200 <= 1 e38162: b4194 - b4195 + b4201 <= 1 e38163: b4195 - b4196 + b4197 <= 1 e38164: b4195 - b4196 + b4198 <= 1 e38165: b4195 - b4196 + b4199 <= 1 e38166: b4195 - b4196 + b4200 <= 1 e38167: b4195 - b4196 + b4201 <= 1 e38168: b4196 - b4197 + b4198 <= 1 e38169: b4196 - b4197 + b4199 <= 1 e38170: b4196 - b4197 + b4200 <= 1 e38171: b4196 - b4197 + b4201 <= 1 e38172: b4197 - b4198 + b4199 <= 1 e38173: b4197 - b4198 + b4200 <= 1 e38174: b4197 - b4198 + b4201 <= 1 e38175: b4198 - b4199 + b4200 <= 1 e38176: b4198 - b4199 + b4201 <= 1 e38177: b4199 - b4200 + b4201 <= 1 e38178: b4188 - b4189 + b4190 >= 0 e38179: b4188 - b4189 + b4191 >= 0 e38180: b4188 - b4189 + b4192 >= 0 e38181: b4188 - b4189 + b4193 >= 0 e38182: b4188 - b4189 + b4194 >= 0 e38183: b4188 - b4189 + b4195 >= 0 e38184: b4188 - b4189 + b4196 >= 0 e38185: b4188 - b4189 + b4197 >= 0 e38186: b4188 - b4189 + b4198 >= 0 e38187: b4188 - b4189 + b4199 >= 0 e38188: b4188 - b4189 + b4200 >= 0 e38189: b4188 - b4189 + b4201 >= 0 e38190: b4189 - b4190 + b4191 >= 0 e38191: b4189 - b4190 + b4192 >= 0 e38192: b4189 - b4190 + b4193 >= 0 e38193: b4189 - b4190 + b4194 >= 0 e38194: b4189 - b4190 + b4195 >= 0 e38195: b4189 - b4190 + b4196 >= 0 e38196: b4189 - b4190 + b4197 >= 0 e38197: b4189 - b4190 + b4198 >= 0 e38198: b4189 - b4190 + b4199 >= 0 e38199: b4189 - b4190 + b4200 >= 0 e38200: b4189 - b4190 + b4201 >= 0 e38201: b4190 - b4191 + b4192 >= 0 e38202: b4190 - b4191 + b4193 >= 0 e38203: b4190 - b4191 + b4194 >= 0 e38204: b4190 - b4191 + b4195 >= 0 e38205: b4190 - b4191 + b4196 >= 0 e38206: b4190 - b4191 + b4197 >= 0 e38207: b4190 - b4191 + b4198 >= 0 e38208: b4190 - b4191 + b4199 >= 0 e38209: b4190 - b4191 + b4200 >= 0 e38210: b4190 - b4191 + b4201 >= 0 e38211: b4191 - b4192 + b4193 >= 0 e38212: b4191 - b4192 + b4194 >= 0 e38213: b4191 - b4192 + b4195 >= 0 e38214: b4191 - b4192 + b4196 >= 0 e38215: b4191 - b4192 + b4197 >= 0 e38216: b4191 - b4192 + b4198 >= 0 e38217: b4191 - b4192 + b4199 >= 0 e38218: b4191 - b4192 + b4200 >= 0 e38219: b4191 - b4192 + b4201 >= 0 e38220: b4192 - b4193 + b4194 >= 0 e38221: b4192 - b4193 + b4195 >= 0 e38222: b4192 - b4193 + b4196 >= 0 e38223: b4192 - b4193 + b4197 >= 0 e38224: b4192 - b4193 + b4198 >= 0 e38225: b4192 - b4193 + b4199 >= 0 e38226: b4192 - b4193 + b4200 >= 0 e38227: b4192 - b4193 + b4201 >= 0 e38228: b4193 - b4194 + b4195 >= 0 e38229: b4193 - b4194 + b4196 >= 0 e38230: b4193 - b4194 + b4197 >= 0 e38231: b4193 - b4194 + b4198 >= 0 e38232: b4193 - b4194 + b4199 >= 0 e38233: b4193 - b4194 + b4200 >= 0 e38234: b4193 - b4194 + b4201 >= 0 e38235: b4194 - b4195 + b4196 >= 0 e38236: b4194 - b4195 + b4197 >= 0 e38237: b4194 - b4195 + b4198 >= 0 e38238: b4194 - b4195 + b4199 >= 0 e38239: b4194 - b4195 + b4200 >= 0 e38240: b4194 - b4195 + b4201 >= 0 e38241: b4195 - b4196 + b4197 >= 0 e38242: b4195 - b4196 + b4198 >= 0 e38243: b4195 - b4196 + b4199 >= 0 e38244: b4195 - b4196 + b4200 >= 0 e38245: b4195 - b4196 + b4201 >= 0 e38246: b4196 - b4197 + b4198 >= 0 e38247: b4196 - b4197 + b4199 >= 0 e38248: b4196 - b4197 + b4200 >= 0 e38249: b4196 - b4197 + b4201 >= 0 e38250: b4197 - b4198 + b4199 >= 0 e38251: b4197 - b4198 + b4200 >= 0 e38252: b4197 - b4198 + b4201 >= 0 e38253: b4198 - b4199 + b4200 >= 0 e38254: b4198 - b4199 + b4201 >= 0 e38255: b4199 - b4200 + b4201 >= 0 e38256: b4202 = 0 e38257: b4203 = 0 e38258: b4204 = 0 e38259: b4205 = 0 e38260: b4206 = 0 e38261: b4206 - b4207 + b4208 <= 1 e38262: b4206 - b4207 + b4209 <= 1 e38263: b4206 - b4207 + b4210 <= 1 e38264: b4206 - b4207 + b4211 <= 1 e38265: b4206 - b4207 + b4212 <= 1 e38266: b4206 - b4207 + b4213 <= 1 e38267: b4206 - b4207 + b4214 <= 1 e38268: b4206 - b4207 + b4215 <= 1 e38269: b4206 - b4207 + b4216 <= 1 e38270: b4206 - b4207 + b4217 <= 1 e38271: b4206 - b4207 + b4218 <= 1 e38272: b4207 - b4208 + b4209 <= 1 e38273: b4207 - b4208 + b4210 <= 1 e38274: b4207 - b4208 + b4211 <= 1 e38275: b4207 - b4208 + b4212 <= 1 e38276: b4207 - b4208 + b4213 <= 1 e38277: b4207 - b4208 + b4214 <= 1 e38278: b4207 - b4208 + b4215 <= 1 e38279: b4207 - b4208 + b4216 <= 1 e38280: b4207 - b4208 + b4217 <= 1 e38281: b4207 - b4208 + b4218 <= 1 e38282: b4207 - b4208 + b4219 <= 1 e38283: b4208 - b4209 + b4210 <= 1 e38284: b4208 - b4209 + b4211 <= 1 e38285: b4208 - b4209 + b4212 <= 1 e38286: b4208 - b4209 + b4213 <= 1 e38287: b4208 - b4209 + b4214 <= 1 e38288: b4208 - b4209 + b4215 <= 1 e38289: b4208 - b4209 + b4216 <= 1 e38290: b4208 - b4209 + b4217 <= 1 e38291: b4208 - b4209 + b4218 <= 1 e38292: b4208 - b4209 + b4219 <= 1 e38293: b4208 - b4209 + b4220 <= 1 e38294: b4209 - b4210 + b4211 <= 1 e38295: b4209 - b4210 + b4212 <= 1 e38296: b4209 - b4210 + b4213 <= 1 e38297: b4209 - b4210 + b4214 <= 1 e38298: b4209 - b4210 + b4215 <= 1 e38299: b4209 - b4210 + b4216 <= 1 e38300: b4209 - b4210 + b4217 <= 1 e38301: b4209 - b4210 + b4218 <= 1 e38302: b4209 - b4210 + b4219 <= 1 e38303: b4209 - b4210 + b4220 <= 1 e38304: b4209 - b4210 + b4221 <= 1 e38305: b4210 - b4211 + b4212 <= 1 e38306: b4210 - b4211 + b4213 <= 1 e38307: b4210 - b4211 + b4214 <= 1 e38308: b4210 - b4211 + b4215 <= 1 e38309: b4210 - b4211 + b4216 <= 1 e38310: b4210 - b4211 + b4217 <= 1 e38311: b4210 - b4211 + b4218 <= 1 e38312: b4210 - b4211 + b4219 <= 1 e38313: b4210 - b4211 + b4220 <= 1 e38314: b4210 - b4211 + b4221 <= 1 e38315: b4210 - b4211 + b4222 <= 1 e38316: b4211 - b4212 + b4213 <= 1 e38317: b4211 - b4212 + b4214 <= 1 e38318: b4211 - b4212 + b4215 <= 1 e38319: b4211 - b4212 + b4216 <= 1 e38320: b4211 - b4212 + b4217 <= 1 e38321: b4211 - b4212 + b4218 <= 1 e38322: b4211 - b4212 + b4219 <= 1 e38323: b4211 - b4212 + b4220 <= 1 e38324: b4211 - b4212 + b4221 <= 1 e38325: b4211 - b4212 + b4222 <= 1 e38326: b4211 - b4212 + b4223 <= 1 e38327: b4212 - b4213 + b4214 <= 1 e38328: b4212 - b4213 + b4215 <= 1 e38329: b4212 - b4213 + b4216 <= 1 e38330: b4212 - b4213 + b4217 <= 1 e38331: b4212 - b4213 + b4218 <= 1 e38332: b4212 - b4213 + b4219 <= 1 e38333: b4212 - b4213 + b4220 <= 1 e38334: b4212 - b4213 + b4221 <= 1 e38335: b4212 - b4213 + b4222 <= 1 e38336: b4212 - b4213 + b4223 <= 1 e38337: b4212 - b4213 + b4224 <= 1 e38338: b4213 - b4214 + b4215 <= 1 e38339: b4213 - b4214 + b4216 <= 1 e38340: b4213 - b4214 + b4217 <= 1 e38341: b4213 - b4214 + b4218 <= 1 e38342: b4213 - b4214 + b4219 <= 1 e38343: b4213 - b4214 + b4220 <= 1 e38344: b4213 - b4214 + b4221 <= 1 e38345: b4213 - b4214 + b4222 <= 1 e38346: b4213 - b4214 + b4223 <= 1 e38347: b4213 - b4214 + b4224 <= 1 e38348: b4213 - b4214 + b4225 <= 1 e38349: b4214 - b4215 + b4216 <= 1 e38350: b4214 - b4215 + b4217 <= 1 e38351: b4214 - b4215 + b4218 <= 1 e38352: b4214 - b4215 + b4219 <= 1 e38353: b4214 - b4215 + b4220 <= 1 e38354: b4214 - b4215 + b4221 <= 1 e38355: b4214 - b4215 + b4222 <= 1 e38356: b4214 - b4215 + b4223 <= 1 e38357: b4214 - b4215 + b4224 <= 1 e38358: b4214 - b4215 + b4225 <= 1 e38359: b4215 - b4216 + b4217 <= 1 e38360: b4215 - b4216 + b4218 <= 1 e38361: b4215 - b4216 + b4219 <= 1 e38362: b4215 - b4216 + b4220 <= 1 e38363: b4215 - b4216 + b4221 <= 1 e38364: b4215 - b4216 + b4222 <= 1 e38365: b4215 - b4216 + b4223 <= 1 e38366: b4215 - b4216 + b4224 <= 1 e38367: b4215 - b4216 + b4225 <= 1 e38368: b4216 - b4217 + b4218 <= 1 e38369: b4216 - b4217 + b4219 <= 1 e38370: b4216 - b4217 + b4220 <= 1 e38371: b4216 - b4217 + b4221 <= 1 e38372: b4216 - b4217 + b4222 <= 1 e38373: b4216 - b4217 + b4223 <= 1 e38374: b4216 - b4217 + b4224 <= 1 e38375: b4216 - b4217 + b4225 <= 1 e38376: b4217 - b4218 + b4219 <= 1 e38377: b4217 - b4218 + b4220 <= 1 e38378: b4217 - b4218 + b4221 <= 1 e38379: b4217 - b4218 + b4222 <= 1 e38380: b4217 - b4218 + b4223 <= 1 e38381: b4217 - b4218 + b4224 <= 1 e38382: b4217 - b4218 + b4225 <= 1 e38383: b4218 - b4219 + b4220 <= 1 e38384: b4218 - b4219 + b4221 <= 1 e38385: b4218 - b4219 + b4222 <= 1 e38386: b4218 - b4219 + b4223 <= 1 e38387: b4218 - b4219 + b4224 <= 1 e38388: b4218 - b4219 + b4225 <= 1 e38389: b4219 - b4220 + b4221 <= 1 e38390: b4219 - b4220 + b4222 <= 1 e38391: b4219 - b4220 + b4223 <= 1 e38392: b4219 - b4220 + b4224 <= 1 e38393: b4219 - b4220 + b4225 <= 1 e38394: b4220 - b4221 + b4222 <= 1 e38395: b4220 - b4221 + b4223 <= 1 e38396: b4220 - b4221 + b4224 <= 1 e38397: b4220 - b4221 + b4225 <= 1 e38398: b4221 - b4222 + b4223 <= 1 e38399: b4221 - b4222 + b4224 <= 1 e38400: b4221 - b4222 + b4225 <= 1 e38401: b4222 - b4223 + b4224 <= 1 e38402: b4222 - b4223 + b4225 <= 1 e38403: b4223 - b4224 + b4225 <= 1 e38404: b4206 - b4207 + b4208 >= 0 e38405: b4206 - b4207 + b4209 >= 0 e38406: b4206 - b4207 + b4210 >= 0 e38407: b4206 - b4207 + b4211 >= 0 e38408: b4206 - b4207 + b4212 >= 0 e38409: b4206 - b4207 + b4213 >= 0 e38410: b4206 - b4207 + b4214 >= 0 e38411: b4206 - b4207 + b4215 >= 0 e38412: b4206 - b4207 + b4216 >= 0 e38413: b4206 - b4207 + b4217 >= 0 e38414: b4206 - b4207 + b4218 >= 0 e38415: b4207 - b4208 + b4209 >= 0 e38416: b4207 - b4208 + b4210 >= 0 e38417: b4207 - b4208 + b4211 >= 0 e38418: b4207 - b4208 + b4212 >= 0 e38419: b4207 - b4208 + b4213 >= 0 e38420: b4207 - b4208 + b4214 >= 0 e38421: b4207 - b4208 + b4215 >= 0 e38422: b4207 - b4208 + b4216 >= 0 e38423: b4207 - b4208 + b4217 >= 0 e38424: b4207 - b4208 + b4218 >= 0 e38425: b4207 - b4208 + b4219 >= 0 e38426: b4208 - b4209 + b4210 >= 0 e38427: b4208 - b4209 + b4211 >= 0 e38428: b4208 - b4209 + b4212 >= 0 e38429: b4208 - b4209 + b4213 >= 0 e38430: b4208 - b4209 + b4214 >= 0 e38431: b4208 - b4209 + b4215 >= 0 e38432: b4208 - b4209 + b4216 >= 0 e38433: b4208 - b4209 + b4217 >= 0 e38434: b4208 - b4209 + b4218 >= 0 e38435: b4208 - b4209 + b4219 >= 0 e38436: b4208 - b4209 + b4220 >= 0 e38437: b4209 - b4210 + b4211 >= 0 e38438: b4209 - b4210 + b4212 >= 0 e38439: b4209 - b4210 + b4213 >= 0 e38440: b4209 - b4210 + b4214 >= 0 e38441: b4209 - b4210 + b4215 >= 0 e38442: b4209 - b4210 + b4216 >= 0 e38443: b4209 - b4210 + b4217 >= 0 e38444: b4209 - b4210 + b4218 >= 0 e38445: b4209 - b4210 + b4219 >= 0 e38446: b4209 - b4210 + b4220 >= 0 e38447: b4209 - b4210 + b4221 >= 0 e38448: b4210 - b4211 + b4212 >= 0 e38449: b4210 - b4211 + b4213 >= 0 e38450: b4210 - b4211 + b4214 >= 0 e38451: b4210 - b4211 + b4215 >= 0 e38452: b4210 - b4211 + b4216 >= 0 e38453: b4210 - b4211 + b4217 >= 0 e38454: b4210 - b4211 + b4218 >= 0 e38455: b4210 - b4211 + b4219 >= 0 e38456: b4210 - b4211 + b4220 >= 0 e38457: b4210 - b4211 + b4221 >= 0 e38458: b4210 - b4211 + b4222 >= 0 e38459: b4211 - b4212 + b4213 >= 0 e38460: b4211 - b4212 + b4214 >= 0 e38461: b4211 - b4212 + b4215 >= 0 e38462: b4211 - b4212 + b4216 >= 0 e38463: b4211 - b4212 + b4217 >= 0 e38464: b4211 - b4212 + b4218 >= 0 e38465: b4211 - b4212 + b4219 >= 0 e38466: b4211 - b4212 + b4220 >= 0 e38467: b4211 - b4212 + b4221 >= 0 e38468: b4211 - b4212 + b4222 >= 0 e38469: b4211 - b4212 + b4223 >= 0 e38470: b4212 - b4213 + b4214 >= 0 e38471: b4212 - b4213 + b4215 >= 0 e38472: b4212 - b4213 + b4216 >= 0 e38473: b4212 - b4213 + b4217 >= 0 e38474: b4212 - b4213 + b4218 >= 0 e38475: b4212 - b4213 + b4219 >= 0 e38476: b4212 - b4213 + b4220 >= 0 e38477: b4212 - b4213 + b4221 >= 0 e38478: b4212 - b4213 + b4222 >= 0 e38479: b4212 - b4213 + b4223 >= 0 e38480: b4212 - b4213 + b4224 >= 0 e38481: b4213 - b4214 + b4215 >= 0 e38482: b4213 - b4214 + b4216 >= 0 e38483: b4213 - b4214 + b4217 >= 0 e38484: b4213 - b4214 + b4218 >= 0 e38485: b4213 - b4214 + b4219 >= 0 e38486: b4213 - b4214 + b4220 >= 0 e38487: b4213 - b4214 + b4221 >= 0 e38488: b4213 - b4214 + b4222 >= 0 e38489: b4213 - b4214 + b4223 >= 0 e38490: b4213 - b4214 + b4224 >= 0 e38491: b4213 - b4214 + b4225 >= 0 e38492: b4214 - b4215 + b4216 >= 0 e38493: b4214 - b4215 + b4217 >= 0 e38494: b4214 - b4215 + b4218 >= 0 e38495: b4214 - b4215 + b4219 >= 0 e38496: b4214 - b4215 + b4220 >= 0 e38497: b4214 - b4215 + b4221 >= 0 e38498: b4214 - b4215 + b4222 >= 0 e38499: b4214 - b4215 + b4223 >= 0 e38500: b4214 - b4215 + b4224 >= 0 e38501: b4214 - b4215 + b4225 >= 0 e38502: b4215 - b4216 + b4217 >= 0 e38503: b4215 - b4216 + b4218 >= 0 e38504: b4215 - b4216 + b4219 >= 0 e38505: b4215 - b4216 + b4220 >= 0 e38506: b4215 - b4216 + b4221 >= 0 e38507: b4215 - b4216 + b4222 >= 0 e38508: b4215 - b4216 + b4223 >= 0 e38509: b4215 - b4216 + b4224 >= 0 e38510: b4215 - b4216 + b4225 >= 0 e38511: b4216 - b4217 + b4218 >= 0 e38512: b4216 - b4217 + b4219 >= 0 e38513: b4216 - b4217 + b4220 >= 0 e38514: b4216 - b4217 + b4221 >= 0 e38515: b4216 - b4217 + b4222 >= 0 e38516: b4216 - b4217 + b4223 >= 0 e38517: b4216 - b4217 + b4224 >= 0 e38518: b4216 - b4217 + b4225 >= 0 e38519: b4217 - b4218 + b4219 >= 0 e38520: b4217 - b4218 + b4220 >= 0 e38521: b4217 - b4218 + b4221 >= 0 e38522: b4217 - b4218 + b4222 >= 0 e38523: b4217 - b4218 + b4223 >= 0 e38524: b4217 - b4218 + b4224 >= 0 e38525: b4217 - b4218 + b4225 >= 0 e38526: b4218 - b4219 + b4220 >= 0 e38527: b4218 - b4219 + b4221 >= 0 e38528: b4218 - b4219 + b4222 >= 0 e38529: b4218 - b4219 + b4223 >= 0 e38530: b4218 - b4219 + b4224 >= 0 e38531: b4218 - b4219 + b4225 >= 0 e38532: b4219 - b4220 + b4221 >= 0 e38533: b4219 - b4220 + b4222 >= 0 e38534: b4219 - b4220 + b4223 >= 0 e38535: b4219 - b4220 + b4224 >= 0 e38536: b4219 - b4220 + b4225 >= 0 e38537: b4220 - b4221 + b4222 >= 0 e38538: b4220 - b4221 + b4223 >= 0 e38539: b4220 - b4221 + b4224 >= 0 e38540: b4220 - b4221 + b4225 >= 0 e38541: b4221 - b4222 + b4223 >= 0 e38542: b4221 - b4222 + b4224 >= 0 e38543: b4221 - b4222 + b4225 >= 0 e38544: b4222 - b4223 + b4224 >= 0 e38545: b4222 - b4223 + b4225 >= 0 e38546: b4223 - b4224 + b4225 >= 0 e38547: b4226 = 0 e38548: b4227 = 0 e38549: b4227 - b4228 + b4229 <= 1 e38550: b4227 - b4228 + b4230 <= 1 e38551: b4227 - b4228 + b4231 <= 1 e38552: b4227 - b4228 + b4232 <= 1 e38553: b4227 - b4228 + b4233 <= 1 e38554: b4227 - b4228 + b4234 <= 1 e38555: b4227 - b4228 + b4235 <= 1 e38556: b4227 - b4228 + b4236 <= 1 e38557: b4227 - b4228 + b4237 <= 1 e38558: b4227 - b4228 + b4238 <= 1 e38559: b4228 - b4229 + b4230 <= 1 e38560: b4228 - b4229 + b4231 <= 1 e38561: b4228 - b4229 + b4232 <= 1 e38562: b4228 - b4229 + b4233 <= 1 e38563: b4228 - b4229 + b4234 <= 1 e38564: b4228 - b4229 + b4235 <= 1 e38565: b4228 - b4229 + b4236 <= 1 e38566: b4228 - b4229 + b4237 <= 1 e38567: b4228 - b4229 + b4238 <= 1 e38568: b4228 - b4229 + b4239 <= 1 e38569: b4229 - b4230 + b4231 <= 1 e38570: b4229 - b4230 + b4232 <= 1 e38571: b4229 - b4230 + b4233 <= 1 e38572: b4229 - b4230 + b4234 <= 1 e38573: b4229 - b4230 + b4235 <= 1 e38574: b4229 - b4230 + b4236 <= 1 e38575: b4229 - b4230 + b4237 <= 1 e38576: b4229 - b4230 + b4238 <= 1 e38577: b4229 - b4230 + b4239 <= 1 e38578: b4229 - b4230 + b4240 <= 1 e38579: b4230 - b4231 + b4232 <= 1 e38580: b4230 - b4231 + b4233 <= 1 e38581: b4230 - b4231 + b4234 <= 1 e38582: b4230 - b4231 + b4235 <= 1 e38583: b4230 - b4231 + b4236 <= 1 e38584: b4230 - b4231 + b4237 <= 1 e38585: b4230 - b4231 + b4238 <= 1 e38586: b4230 - b4231 + b4239 <= 1 e38587: b4230 - b4231 + b4240 <= 1 e38588: b4230 - b4231 + b4241 <= 1 e38589: b4231 - b4232 + b4233 <= 1 e38590: b4231 - b4232 + b4234 <= 1 e38591: b4231 - b4232 + b4235 <= 1 e38592: b4231 - b4232 + b4236 <= 1 e38593: b4231 - b4232 + b4237 <= 1 e38594: b4231 - b4232 + b4238 <= 1 e38595: b4231 - b4232 + b4239 <= 1 e38596: b4231 - b4232 + b4240 <= 1 e38597: b4231 - b4232 + b4241 <= 1 e38598: b4231 - b4232 + b4242 <= 1 e38599: b4232 - b4233 + b4234 <= 1 e38600: b4232 - b4233 + b4235 <= 1 e38601: b4232 - b4233 + b4236 <= 1 e38602: b4232 - b4233 + b4237 <= 1 e38603: b4232 - b4233 + b4238 <= 1 e38604: b4232 - b4233 + b4239 <= 1 e38605: b4232 - b4233 + b4240 <= 1 e38606: b4232 - b4233 + b4241 <= 1 e38607: b4232 - b4233 + b4242 <= 1 e38608: b4232 - b4233 + b4243 <= 1 e38609: b4233 - b4234 + b4235 <= 1 e38610: b4233 - b4234 + b4236 <= 1 e38611: b4233 - b4234 + b4237 <= 1 e38612: b4233 - b4234 + b4238 <= 1 e38613: b4233 - b4234 + b4239 <= 1 e38614: b4233 - b4234 + b4240 <= 1 e38615: b4233 - b4234 + b4241 <= 1 e38616: b4233 - b4234 + b4242 <= 1 e38617: b4233 - b4234 + b4243 <= 1 e38618: b4233 - b4234 + b4244 <= 1 e38619: b4234 - b4235 + b4236 <= 1 e38620: b4234 - b4235 + b4237 <= 1 e38621: b4234 - b4235 + b4238 <= 1 e38622: b4234 - b4235 + b4239 <= 1 e38623: b4234 - b4235 + b4240 <= 1 e38624: b4234 - b4235 + b4241 <= 1 e38625: b4234 - b4235 + b4242 <= 1 e38626: b4234 - b4235 + b4243 <= 1 e38627: b4234 - b4235 + b4244 <= 1 e38628: b4234 - b4235 + b4245 <= 1 e38629: b4235 - b4236 + b4237 <= 1 e38630: b4235 - b4236 + b4238 <= 1 e38631: b4235 - b4236 + b4239 <= 1 e38632: b4235 - b4236 + b4240 <= 1 e38633: b4235 - b4236 + b4241 <= 1 e38634: b4235 - b4236 + b4242 <= 1 e38635: b4235 - b4236 + b4243 <= 1 e38636: b4235 - b4236 + b4244 <= 1 e38637: b4235 - b4236 + b4245 <= 1 e38638: b4235 - b4236 + b4246 <= 1 e38639: b4236 - b4237 + b4238 <= 1 e38640: b4236 - b4237 + b4239 <= 1 e38641: b4236 - b4237 + b4240 <= 1 e38642: b4236 - b4237 + b4241 <= 1 e38643: b4236 - b4237 + b4242 <= 1 e38644: b4236 - b4237 + b4243 <= 1 e38645: b4236 - b4237 + b4244 <= 1 e38646: b4236 - b4237 + b4245 <= 1 e38647: b4236 - b4237 + b4246 <= 1 e38648: b4236 - b4237 + b4247 <= 1 e38649: b4237 - b4238 + b4239 <= 1 e38650: b4237 - b4238 + b4240 <= 1 e38651: b4237 - b4238 + b4241 <= 1 e38652: b4237 - b4238 + b4242 <= 1 e38653: b4237 - b4238 + b4243 <= 1 e38654: b4237 - b4238 + b4244 <= 1 e38655: b4237 - b4238 + b4245 <= 1 e38656: b4237 - b4238 + b4246 <= 1 e38657: b4237 - b4238 + b4247 <= 1 e38658: b4237 - b4238 + b4248 <= 1 e38659: b4238 - b4239 + b4240 <= 1 e38660: b4238 - b4239 + b4241 <= 1 e38661: b4238 - b4239 + b4242 <= 1 e38662: b4238 - b4239 + b4243 <= 1 e38663: b4238 - b4239 + b4244 <= 1 e38664: b4238 - b4239 + b4245 <= 1 e38665: b4238 - b4239 + b4246 <= 1 e38666: b4238 - b4239 + b4247 <= 1 e38667: b4238 - b4239 + b4248 <= 1 e38668: b4238 - b4239 + b4249 <= 1 e38669: b4239 - b4240 + b4241 <= 1 e38670: b4239 - b4240 + b4242 <= 1 e38671: b4239 - b4240 + b4243 <= 1 e38672: b4239 - b4240 + b4244 <= 1 e38673: b4239 - b4240 + b4245 <= 1 e38674: b4239 - b4240 + b4246 <= 1 e38675: b4239 - b4240 + b4247 <= 1 e38676: b4239 - b4240 + b4248 <= 1 e38677: b4239 - b4240 + b4249 <= 1 e38678: b4240 - b4241 + b4242 <= 1 e38679: b4240 - b4241 + b4243 <= 1 e38680: b4240 - b4241 + b4244 <= 1 e38681: b4240 - b4241 + b4245 <= 1 e38682: b4240 - b4241 + b4246 <= 1 e38683: b4240 - b4241 + b4247 <= 1 e38684: b4240 - b4241 + b4248 <= 1 e38685: b4240 - b4241 + b4249 <= 1 e38686: b4241 - b4242 + b4243 <= 1 e38687: b4241 - b4242 + b4244 <= 1 e38688: b4241 - b4242 + b4245 <= 1 e38689: b4241 - b4242 + b4246 <= 1 e38690: b4241 - b4242 + b4247 <= 1 e38691: b4241 - b4242 + b4248 <= 1 e38692: b4241 - b4242 + b4249 <= 1 e38693: b4242 - b4243 + b4244 <= 1 e38694: b4242 - b4243 + b4245 <= 1 e38695: b4242 - b4243 + b4246 <= 1 e38696: b4242 - b4243 + b4247 <= 1 e38697: b4242 - b4243 + b4248 <= 1 e38698: b4242 - b4243 + b4249 <= 1 e38699: b4243 - b4244 + b4245 <= 1 e38700: b4243 - b4244 + b4246 <= 1 e38701: b4243 - b4244 + b4247 <= 1 e38702: b4243 - b4244 + b4248 <= 1 e38703: b4243 - b4244 + b4249 <= 1 e38704: b4244 - b4245 + b4246 <= 1 e38705: b4244 - b4245 + b4247 <= 1 e38706: b4244 - b4245 + b4248 <= 1 e38707: b4244 - b4245 + b4249 <= 1 e38708: b4245 - b4246 + b4247 <= 1 e38709: b4245 - b4246 + b4248 <= 1 e38710: b4245 - b4246 + b4249 <= 1 e38711: b4246 - b4247 + b4248 <= 1 e38712: b4246 - b4247 + b4249 <= 1 e38713: b4247 - b4248 + b4249 <= 1 e38714: b4227 - b4228 + b4229 >= 0 e38715: b4227 - b4228 + b4230 >= 0 e38716: b4227 - b4228 + b4231 >= 0 e38717: b4227 - b4228 + b4232 >= 0 e38718: b4227 - b4228 + b4233 >= 0 e38719: b4227 - b4228 + b4234 >= 0 e38720: b4227 - b4228 + b4235 >= 0 e38721: b4227 - b4228 + b4236 >= 0 e38722: b4227 - b4228 + b4237 >= 0 e38723: b4227 - b4228 + b4238 >= 0 e38724: b4227 - b4228 + b4239 >= 0 e38725: b4227 - b4228 + b4240 >= 0 e38726: b4228 - b4229 + b4230 >= 0 e38727: b4228 - b4229 + b4231 >= 0 e38728: b4228 - b4229 + b4232 >= 0 e38729: b4228 - b4229 + b4233 >= 0 e38730: b4228 - b4229 + b4234 >= 0 e38731: b4228 - b4229 + b4235 >= 0 e38732: b4228 - b4229 + b4236 >= 0 e38733: b4228 - b4229 + b4237 >= 0 e38734: b4228 - b4229 + b4238 >= 0 e38735: b4228 - b4229 + b4239 >= 0 e38736: b4228 - b4229 + b4240 >= 0 e38737: b4228 - b4229 + b4241 >= 0 e38738: b4229 - b4230 + b4231 >= 0 e38739: b4229 - b4230 + b4232 >= 0 e38740: b4229 - b4230 + b4233 >= 0 e38741: b4229 - b4230 + b4234 >= 0 e38742: b4229 - b4230 + b4235 >= 0 e38743: b4229 - b4230 + b4236 >= 0 e38744: b4229 - b4230 + b4237 >= 0 e38745: b4229 - b4230 + b4238 >= 0 e38746: b4229 - b4230 + b4239 >= 0 e38747: b4229 - b4230 + b4240 >= 0 e38748: b4229 - b4230 + b4241 >= 0 e38749: b4229 - b4230 + b4242 >= 0 e38750: b4230 - b4231 + b4232 >= 0 e38751: b4230 - b4231 + b4233 >= 0 e38752: b4230 - b4231 + b4234 >= 0 e38753: b4230 - b4231 + b4235 >= 0 e38754: b4230 - b4231 + b4236 >= 0 e38755: b4230 - b4231 + b4237 >= 0 e38756: b4230 - b4231 + b4238 >= 0 e38757: b4230 - b4231 + b4239 >= 0 e38758: b4230 - b4231 + b4240 >= 0 e38759: b4230 - b4231 + b4241 >= 0 e38760: b4230 - b4231 + b4242 >= 0 e38761: b4230 - b4231 + b4243 >= 0 e38762: b4231 - b4232 + b4233 >= 0 e38763: b4231 - b4232 + b4234 >= 0 e38764: b4231 - b4232 + b4235 >= 0 e38765: b4231 - b4232 + b4236 >= 0 e38766: b4231 - b4232 + b4237 >= 0 e38767: b4231 - b4232 + b4238 >= 0 e38768: b4231 - b4232 + b4239 >= 0 e38769: b4231 - b4232 + b4240 >= 0 e38770: b4231 - b4232 + b4241 >= 0 e38771: b4231 - b4232 + b4242 >= 0 e38772: b4231 - b4232 + b4243 >= 0 e38773: b4231 - b4232 + b4244 >= 0 e38774: b4232 - b4233 + b4234 >= 0 e38775: b4232 - b4233 + b4235 >= 0 e38776: b4232 - b4233 + b4236 >= 0 e38777: b4232 - b4233 + b4237 >= 0 e38778: b4232 - b4233 + b4238 >= 0 e38779: b4232 - b4233 + b4239 >= 0 e38780: b4232 - b4233 + b4240 >= 0 e38781: b4232 - b4233 + b4241 >= 0 e38782: b4232 - b4233 + b4242 >= 0 e38783: b4232 - b4233 + b4243 >= 0 e38784: b4232 - b4233 + b4244 >= 0 e38785: b4232 - b4233 + b4245 >= 0 e38786: b4233 - b4234 + b4235 >= 0 e38787: b4233 - b4234 + b4236 >= 0 e38788: b4233 - b4234 + b4237 >= 0 e38789: b4233 - b4234 + b4238 >= 0 e38790: b4233 - b4234 + b4239 >= 0 e38791: b4233 - b4234 + b4240 >= 0 e38792: b4233 - b4234 + b4241 >= 0 e38793: b4233 - b4234 + b4242 >= 0 e38794: b4233 - b4234 + b4243 >= 0 e38795: b4233 - b4234 + b4244 >= 0 e38796: b4233 - b4234 + b4245 >= 0 e38797: b4233 - b4234 + b4246 >= 0 e38798: b4234 - b4235 + b4236 >= 0 e38799: b4234 - b4235 + b4237 >= 0 e38800: b4234 - b4235 + b4238 >= 0 e38801: b4234 - b4235 + b4239 >= 0 e38802: b4234 - b4235 + b4240 >= 0 e38803: b4234 - b4235 + b4241 >= 0 e38804: b4234 - b4235 + b4242 >= 0 e38805: b4234 - b4235 + b4243 >= 0 e38806: b4234 - b4235 + b4244 >= 0 e38807: b4234 - b4235 + b4245 >= 0 e38808: b4234 - b4235 + b4246 >= 0 e38809: b4234 - b4235 + b4247 >= 0 e38810: b4235 - b4236 + b4237 >= 0 e38811: b4235 - b4236 + b4238 >= 0 e38812: b4235 - b4236 + b4239 >= 0 e38813: b4235 - b4236 + b4240 >= 0 e38814: b4235 - b4236 + b4241 >= 0 e38815: b4235 - b4236 + b4242 >= 0 e38816: b4235 - b4236 + b4243 >= 0 e38817: b4235 - b4236 + b4244 >= 0 e38818: b4235 - b4236 + b4245 >= 0 e38819: b4235 - b4236 + b4246 >= 0 e38820: b4235 - b4236 + b4247 >= 0 e38821: b4235 - b4236 + b4248 >= 0 e38822: b4236 - b4237 + b4238 >= 0 e38823: b4236 - b4237 + b4239 >= 0 e38824: b4236 - b4237 + b4240 >= 0 e38825: b4236 - b4237 + b4241 >= 0 e38826: b4236 - b4237 + b4242 >= 0 e38827: b4236 - b4237 + b4243 >= 0 e38828: b4236 - b4237 + b4244 >= 0 e38829: b4236 - b4237 + b4245 >= 0 e38830: b4236 - b4237 + b4246 >= 0 e38831: b4236 - b4237 + b4247 >= 0 e38832: b4236 - b4237 + b4248 >= 0 e38833: b4236 - b4237 + b4249 >= 0 e38834: b4237 - b4238 + b4239 >= 0 e38835: b4237 - b4238 + b4240 >= 0 e38836: b4237 - b4238 + b4241 >= 0 e38837: b4237 - b4238 + b4242 >= 0 e38838: b4237 - b4238 + b4243 >= 0 e38839: b4237 - b4238 + b4244 >= 0 e38840: b4237 - b4238 + b4245 >= 0 e38841: b4237 - b4238 + b4246 >= 0 e38842: b4237 - b4238 + b4247 >= 0 e38843: b4237 - b4238 + b4248 >= 0 e38844: b4237 - b4238 + b4249 >= 0 e38845: b4238 - b4239 + b4240 >= 0 e38846: b4238 - b4239 + b4241 >= 0 e38847: b4238 - b4239 + b4242 >= 0 e38848: b4238 - b4239 + b4243 >= 0 e38849: b4238 - b4239 + b4244 >= 0 e38850: b4238 - b4239 + b4245 >= 0 e38851: b4238 - b4239 + b4246 >= 0 e38852: b4238 - b4239 + b4247 >= 0 e38853: b4238 - b4239 + b4248 >= 0 e38854: b4238 - b4239 + b4249 >= 0 e38855: b4239 - b4240 + b4241 >= 0 e38856: b4239 - b4240 + b4242 >= 0 e38857: b4239 - b4240 + b4243 >= 0 e38858: b4239 - b4240 + b4244 >= 0 e38859: b4239 - b4240 + b4245 >= 0 e38860: b4239 - b4240 + b4246 >= 0 e38861: b4239 - b4240 + b4247 >= 0 e38862: b4239 - b4240 + b4248 >= 0 e38863: b4239 - b4240 + b4249 >= 0 e38864: b4240 - b4241 + b4242 >= 0 e38865: b4240 - b4241 + b4243 >= 0 e38866: b4240 - b4241 + b4244 >= 0 e38867: b4240 - b4241 + b4245 >= 0 e38868: b4240 - b4241 + b4246 >= 0 e38869: b4240 - b4241 + b4247 >= 0 e38870: b4240 - b4241 + b4248 >= 0 e38871: b4240 - b4241 + b4249 >= 0 e38872: b4241 - b4242 + b4243 >= 0 e38873: b4241 - b4242 + b4244 >= 0 e38874: b4241 - b4242 + b4245 >= 0 e38875: b4241 - b4242 + b4246 >= 0 e38876: b4241 - b4242 + b4247 >= 0 e38877: b4241 - b4242 + b4248 >= 0 e38878: b4241 - b4242 + b4249 >= 0 e38879: b4242 - b4243 + b4244 >= 0 e38880: b4242 - b4243 + b4245 >= 0 e38881: b4242 - b4243 + b4246 >= 0 e38882: b4242 - b4243 + b4247 >= 0 e38883: b4242 - b4243 + b4248 >= 0 e38884: b4242 - b4243 + b4249 >= 0 e38885: b4243 - b4244 + b4245 >= 0 e38886: b4243 - b4244 + b4246 >= 0 e38887: b4243 - b4244 + b4247 >= 0 e38888: b4243 - b4244 + b4248 >= 0 e38889: b4243 - b4244 + b4249 >= 0 e38890: b4244 - b4245 + b4246 >= 0 e38891: b4244 - b4245 + b4247 >= 0 e38892: b4244 - b4245 + b4248 >= 0 e38893: b4244 - b4245 + b4249 >= 0 e38894: b4245 - b4246 + b4247 >= 0 e38895: b4245 - b4246 + b4248 >= 0 e38896: b4245 - b4246 + b4249 >= 0 e38897: b4246 - b4247 + b4248 >= 0 e38898: b4246 - b4247 + b4249 >= 0 e38899: b4247 - b4248 + b4249 >= 0 e38900: - b4250 + b4251 + x19287 <= 1 e38901: - b4250 + b4252 + x19287 <= 1 e38902: - b4250 + b4253 + x19287 <= 1 e38903: - b4250 + b4254 + x19287 <= 1 e38904: - b4250 + b4255 + x19287 <= 1 e38905: - b4250 + b4256 + x19287 <= 1 e38906: - b4250 + b4257 + x19287 <= 1 e38907: - b4250 + b4258 + x19287 <= 1 e38908: - b4250 + b4259 + x19287 <= 1 e38909: - b4250 + b4260 + x19287 <= 1 e38910: - b4250 + b4261 + x19287 <= 1 e38911: - b4250 + b4262 + x19287 <= 1 e38912: b4250 - b4251 + b4252 <= 1 e38913: b4250 - b4251 + b4253 <= 1 e38914: b4250 - b4251 + b4254 <= 1 e38915: b4250 - b4251 + b4255 <= 1 e38916: b4250 - b4251 + b4256 <= 1 e38917: b4250 - b4251 + b4257 <= 1 e38918: b4250 - b4251 + b4258 <= 1 e38919: b4250 - b4251 + b4259 <= 1 e38920: b4250 - b4251 + b4260 <= 1 e38921: b4250 - b4251 + b4261 <= 1 e38922: b4250 - b4251 + b4262 <= 1 e38923: b4250 - b4251 + b4263 <= 1 e38924: b4251 - b4252 + b4253 <= 1 e38925: b4251 - b4252 + b4254 <= 1 e38926: b4251 - b4252 + b4255 <= 1 e38927: b4251 - b4252 + b4256 <= 1 e38928: b4251 - b4252 + b4257 <= 1 e38929: b4251 - b4252 + b4258 <= 1 e38930: b4251 - b4252 + b4259 <= 1 e38931: b4251 - b4252 + b4260 <= 1 e38932: b4251 - b4252 + b4261 <= 1 e38933: b4251 - b4252 + b4262 <= 1 e38934: b4251 - b4252 + b4263 <= 1 e38935: b4251 - b4252 + b4264 <= 1 e38936: b4252 - b4253 + b4254 <= 1 e38937: b4252 - b4253 + b4255 <= 1 e38938: b4252 - b4253 + b4256 <= 1 e38939: b4252 - b4253 + b4257 <= 1 e38940: b4252 - b4253 + b4258 <= 1 e38941: b4252 - b4253 + b4259 <= 1 e38942: b4252 - b4253 + b4260 <= 1 e38943: b4252 - b4253 + b4261 <= 1 e38944: b4252 - b4253 + b4262 <= 1 e38945: b4252 - b4253 + b4263 <= 1 e38946: b4252 - b4253 + b4264 <= 1 e38947: b4252 - b4253 + b4265 <= 1 e38948: b4253 - b4254 + b4255 <= 1 e38949: b4253 - b4254 + b4256 <= 1 e38950: b4253 - b4254 + b4257 <= 1 e38951: b4253 - b4254 + b4258 <= 1 e38952: b4253 - b4254 + b4259 <= 1 e38953: b4253 - b4254 + b4260 <= 1 e38954: b4253 - b4254 + b4261 <= 1 e38955: b4253 - b4254 + b4262 <= 1 e38956: b4253 - b4254 + b4263 <= 1 e38957: b4253 - b4254 + b4264 <= 1 e38958: b4253 - b4254 + b4265 <= 1 e38959: b4253 - b4254 + b4266 <= 1 e38960: b4254 - b4255 + b4256 <= 1 e38961: b4254 - b4255 + b4257 <= 1 e38962: b4254 - b4255 + b4258 <= 1 e38963: b4254 - b4255 + b4259 <= 1 e38964: b4254 - b4255 + b4260 <= 1 e38965: b4254 - b4255 + b4261 <= 1 e38966: b4254 - b4255 + b4262 <= 1 e38967: b4254 - b4255 + b4263 <= 1 e38968: b4254 - b4255 + b4264 <= 1 e38969: b4254 - b4255 + b4265 <= 1 e38970: b4254 - b4255 + b4266 <= 1 e38971: b4254 - b4255 + b4267 <= 1 e38972: b4255 - b4256 + b4257 <= 1 e38973: b4255 - b4256 + b4258 <= 1 e38974: b4255 - b4256 + b4259 <= 1 e38975: b4255 - b4256 + b4260 <= 1 e38976: b4255 - b4256 + b4261 <= 1 e38977: b4255 - b4256 + b4262 <= 1 e38978: b4255 - b4256 + b4263 <= 1 e38979: b4255 - b4256 + b4264 <= 1 e38980: b4255 - b4256 + b4265 <= 1 e38981: b4255 - b4256 + b4266 <= 1 e38982: b4255 - b4256 + b4267 <= 1 e38983: b4255 - b4256 + b4268 <= 1 e38984: b4256 - b4257 + b4258 <= 1 e38985: b4256 - b4257 + b4259 <= 1 e38986: b4256 - b4257 + b4260 <= 1 e38987: b4256 - b4257 + b4261 <= 1 e38988: b4256 - b4257 + b4262 <= 1 e38989: b4256 - b4257 + b4263 <= 1 e38990: b4256 - b4257 + b4264 <= 1 e38991: b4256 - b4257 + b4265 <= 1 e38992: b4256 - b4257 + b4266 <= 1 e38993: b4256 - b4257 + b4267 <= 1 e38994: b4256 - b4257 + b4268 <= 1 e38995: b4256 - b4257 + b4269 <= 1 e38996: b4257 - b4258 + b4259 <= 1 e38997: b4257 - b4258 + b4260 <= 1 e38998: b4257 - b4258 + b4261 <= 1 e38999: b4257 - b4258 + b4262 <= 1 e39000: b4257 - b4258 + b4263 <= 1 e39001: b4257 - b4258 + b4264 <= 1 e39002: b4257 - b4258 + b4265 <= 1 e39003: b4257 - b4258 + b4266 <= 1 e39004: b4257 - b4258 + b4267 <= 1 e39005: b4257 - b4258 + b4268 <= 1 e39006: b4257 - b4258 + b4269 <= 1 e39007: b4257 - b4258 + b4270 <= 1 e39008: b4258 - b4259 + b4260 <= 1 e39009: b4258 - b4259 + b4261 <= 1 e39010: b4258 - b4259 + b4262 <= 1 e39011: b4258 - b4259 + b4263 <= 1 e39012: b4258 - b4259 + b4264 <= 1 e39013: b4258 - b4259 + b4265 <= 1 e39014: b4258 - b4259 + b4266 <= 1 e39015: b4258 - b4259 + b4267 <= 1 e39016: b4258 - b4259 + b4268 <= 1 e39017: b4258 - b4259 + b4269 <= 1 e39018: b4258 - b4259 + b4270 <= 1 e39019: b4258 - b4259 + b4271 <= 1 e39020: b4259 - b4260 + b4261 <= 1 e39021: b4259 - b4260 + b4262 <= 1 e39022: b4259 - b4260 + b4263 <= 1 e39023: b4259 - b4260 + b4264 <= 1 e39024: b4259 - b4260 + b4265 <= 1 e39025: b4259 - b4260 + b4266 <= 1 e39026: b4259 - b4260 + b4267 <= 1 e39027: b4259 - b4260 + b4268 <= 1 e39028: b4259 - b4260 + b4269 <= 1 e39029: b4259 - b4260 + b4270 <= 1 e39030: b4259 - b4260 + b4271 <= 1 e39031: b4259 - b4260 + b4272 <= 1 e39032: b4260 - b4261 + b4262 <= 1 e39033: b4260 - b4261 + b4263 <= 1 e39034: b4260 - b4261 + b4264 <= 1 e39035: b4260 - b4261 + b4265 <= 1 e39036: b4260 - b4261 + b4266 <= 1 e39037: b4260 - b4261 + b4267 <= 1 e39038: b4260 - b4261 + b4268 <= 1 e39039: b4260 - b4261 + b4269 <= 1 e39040: b4260 - b4261 + b4270 <= 1 e39041: b4260 - b4261 + b4271 <= 1 e39042: b4260 - b4261 + b4272 <= 1 e39043: b4260 - b4261 + b4273 <= 1 e39044: b4261 - b4262 + b4263 <= 1 e39045: b4261 - b4262 + b4264 <= 1 e39046: b4261 - b4262 + b4265 <= 1 e39047: b4261 - b4262 + b4266 <= 1 e39048: b4261 - b4262 + b4267 <= 1 e39049: b4261 - b4262 + b4268 <= 1 e39050: b4261 - b4262 + b4269 <= 1 e39051: b4261 - b4262 + b4270 <= 1 e39052: b4261 - b4262 + b4271 <= 1 e39053: b4261 - b4262 + b4272 <= 1 e39054: b4261 - b4262 + b4273 <= 1 e39055: b4262 - b4263 + b4264 <= 1 e39056: b4262 - b4263 + b4265 <= 1 e39057: b4262 - b4263 + b4266 <= 1 e39058: b4262 - b4263 + b4267 <= 1 e39059: b4262 - b4263 + b4268 <= 1 e39060: b4262 - b4263 + b4269 <= 1 e39061: b4262 - b4263 + b4270 <= 1 e39062: b4262 - b4263 + b4271 <= 1 e39063: b4262 - b4263 + b4272 <= 1 e39064: b4262 - b4263 + b4273 <= 1 e39065: b4263 - b4264 + b4265 <= 1 e39066: b4263 - b4264 + b4266 <= 1 e39067: b4263 - b4264 + b4267 <= 1 e39068: b4263 - b4264 + b4268 <= 1 e39069: b4263 - b4264 + b4269 <= 1 e39070: b4263 - b4264 + b4270 <= 1 e39071: b4263 - b4264 + b4271 <= 1 e39072: b4263 - b4264 + b4272 <= 1 e39073: b4263 - b4264 + b4273 <= 1 e39074: b4264 - b4265 + b4266 <= 1 e39075: b4264 - b4265 + b4267 <= 1 e39076: b4264 - b4265 + b4268 <= 1 e39077: b4264 - b4265 + b4269 <= 1 e39078: b4264 - b4265 + b4270 <= 1 e39079: b4264 - b4265 + b4271 <= 1 e39080: b4264 - b4265 + b4272 <= 1 e39081: b4264 - b4265 + b4273 <= 1 e39082: b4265 - b4266 + b4267 <= 1 e39083: b4265 - b4266 + b4268 <= 1 e39084: b4265 - b4266 + b4269 <= 1 e39085: b4265 - b4266 + b4270 <= 1 e39086: b4265 - b4266 + b4271 <= 1 e39087: b4265 - b4266 + b4272 <= 1 e39088: b4265 - b4266 + b4273 <= 1 e39089: b4266 - b4267 + b4268 <= 1 e39090: b4266 - b4267 + b4269 <= 1 e39091: b4266 - b4267 + b4270 <= 1 e39092: b4266 - b4267 + b4271 <= 1 e39093: b4266 - b4267 + b4272 <= 1 e39094: b4266 - b4267 + b4273 <= 1 e39095: b4267 - b4268 + b4269 <= 1 e39096: b4267 - b4268 + b4270 <= 1 e39097: b4267 - b4268 + b4271 <= 1 e39098: b4267 - b4268 + b4272 <= 1 e39099: b4267 - b4268 + b4273 <= 1 e39100: b4268 - b4269 + b4270 <= 1 e39101: b4268 - b4269 + b4271 <= 1 e39102: b4268 - b4269 + b4272 <= 1 e39103: b4268 - b4269 + b4273 <= 1 e39104: b4269 - b4270 + b4271 <= 1 e39105: b4269 - b4270 + b4272 <= 1 e39106: b4269 - b4270 + b4273 <= 1 e39107: b4270 - b4271 + b4272 <= 1 e39108: b4270 - b4271 + b4273 <= 1 e39109: b4271 - b4272 + b4273 <= 1 e39110: - b4250 + b4251 + x19287 >= 0 e39111: - b4250 + b4252 + x19287 >= 0 e39112: - b4250 + b4253 + x19287 >= 0 e39113: - b4250 + b4254 + x19287 >= 0 e39114: - b4250 + b4255 + x19287 >= 0 e39115: - b4250 + b4256 + x19287 >= 0 e39116: - b4250 + b4257 + x19287 >= 0 e39117: - b4250 + b4258 + x19287 >= 0 e39118: - b4250 + b4259 + x19287 >= 0 e39119: - b4250 + b4260 + x19287 >= 0 e39120: b4250 - b4251 + b4252 >= 0 e39121: b4250 - b4251 + b4253 >= 0 e39122: b4250 - b4251 + b4254 >= 0 e39123: b4250 - b4251 + b4255 >= 0 e39124: b4250 - b4251 + b4256 >= 0 e39125: b4250 - b4251 + b4257 >= 0 e39126: b4250 - b4251 + b4258 >= 0 e39127: b4250 - b4251 + b4259 >= 0 e39128: b4250 - b4251 + b4260 >= 0 e39129: b4250 - b4251 + b4261 >= 0 e39130: b4251 - b4252 + b4253 >= 0 e39131: b4251 - b4252 + b4254 >= 0 e39132: b4251 - b4252 + b4255 >= 0 e39133: b4251 - b4252 + b4256 >= 0 e39134: b4251 - b4252 + b4257 >= 0 e39135: b4251 - b4252 + b4258 >= 0 e39136: b4251 - b4252 + b4259 >= 0 e39137: b4251 - b4252 + b4260 >= 0 e39138: b4251 - b4252 + b4261 >= 0 e39139: b4251 - b4252 + b4262 >= 0 e39140: b4252 - b4253 + b4254 >= 0 e39141: b4252 - b4253 + b4255 >= 0 e39142: b4252 - b4253 + b4256 >= 0 e39143: b4252 - b4253 + b4257 >= 0 e39144: b4252 - b4253 + b4258 >= 0 e39145: b4252 - b4253 + b4259 >= 0 e39146: b4252 - b4253 + b4260 >= 0 e39147: b4252 - b4253 + b4261 >= 0 e39148: b4252 - b4253 + b4262 >= 0 e39149: b4252 - b4253 + b4263 >= 0 e39150: b4253 - b4254 + b4255 >= 0 e39151: b4253 - b4254 + b4256 >= 0 e39152: b4253 - b4254 + b4257 >= 0 e39153: b4253 - b4254 + b4258 >= 0 e39154: b4253 - b4254 + b4259 >= 0 e39155: b4253 - b4254 + b4260 >= 0 e39156: b4253 - b4254 + b4261 >= 0 e39157: b4253 - b4254 + b4262 >= 0 e39158: b4253 - b4254 + b4263 >= 0 e39159: b4253 - b4254 + b4264 >= 0 e39160: b4254 - b4255 + b4256 >= 0 e39161: b4254 - b4255 + b4257 >= 0 e39162: b4254 - b4255 + b4258 >= 0 e39163: b4254 - b4255 + b4259 >= 0 e39164: b4254 - b4255 + b4260 >= 0 e39165: b4254 - b4255 + b4261 >= 0 e39166: b4254 - b4255 + b4262 >= 0 e39167: b4254 - b4255 + b4263 >= 0 e39168: b4254 - b4255 + b4264 >= 0 e39169: b4254 - b4255 + b4265 >= 0 e39170: b4255 - b4256 + b4257 >= 0 e39171: b4255 - b4256 + b4258 >= 0 e39172: b4255 - b4256 + b4259 >= 0 e39173: b4255 - b4256 + b4260 >= 0 e39174: b4255 - b4256 + b4261 >= 0 e39175: b4255 - b4256 + b4262 >= 0 e39176: b4255 - b4256 + b4263 >= 0 e39177: b4255 - b4256 + b4264 >= 0 e39178: b4255 - b4256 + b4265 >= 0 e39179: b4255 - b4256 + b4266 >= 0 e39180: b4256 - b4257 + b4258 >= 0 e39181: b4256 - b4257 + b4259 >= 0 e39182: b4256 - b4257 + b4260 >= 0 e39183: b4256 - b4257 + b4261 >= 0 e39184: b4256 - b4257 + b4262 >= 0 e39185: b4256 - b4257 + b4263 >= 0 e39186: b4256 - b4257 + b4264 >= 0 e39187: b4256 - b4257 + b4265 >= 0 e39188: b4256 - b4257 + b4266 >= 0 e39189: b4256 - b4257 + b4267 >= 0 e39190: b4257 - b4258 + b4259 >= 0 e39191: b4257 - b4258 + b4260 >= 0 e39192: b4257 - b4258 + b4261 >= 0 e39193: b4257 - b4258 + b4262 >= 0 e39194: b4257 - b4258 + b4263 >= 0 e39195: b4257 - b4258 + b4264 >= 0 e39196: b4257 - b4258 + b4265 >= 0 e39197: b4257 - b4258 + b4266 >= 0 e39198: b4257 - b4258 + b4267 >= 0 e39199: b4257 - b4258 + b4268 >= 0 e39200: b4258 - b4259 + b4260 >= 0 e39201: b4258 - b4259 + b4261 >= 0 e39202: b4258 - b4259 + b4262 >= 0 e39203: b4258 - b4259 + b4263 >= 0 e39204: b4258 - b4259 + b4264 >= 0 e39205: b4258 - b4259 + b4265 >= 0 e39206: b4258 - b4259 + b4266 >= 0 e39207: b4258 - b4259 + b4267 >= 0 e39208: b4258 - b4259 + b4268 >= 0 e39209: b4258 - b4259 + b4269 >= 0 e39210: b4259 - b4260 + b4261 >= 0 e39211: b4259 - b4260 + b4262 >= 0 e39212: b4259 - b4260 + b4263 >= 0 e39213: b4259 - b4260 + b4264 >= 0 e39214: b4259 - b4260 + b4265 >= 0 e39215: b4259 - b4260 + b4266 >= 0 e39216: b4259 - b4260 + b4267 >= 0 e39217: b4259 - b4260 + b4268 >= 0 e39218: b4259 - b4260 + b4269 >= 0 e39219: b4259 - b4260 + b4270 >= 0 e39220: b4260 - b4261 + b4262 >= 0 e39221: b4260 - b4261 + b4263 >= 0 e39222: b4260 - b4261 + b4264 >= 0 e39223: b4260 - b4261 + b4265 >= 0 e39224: b4260 - b4261 + b4266 >= 0 e39225: b4260 - b4261 + b4267 >= 0 e39226: b4260 - b4261 + b4268 >= 0 e39227: b4260 - b4261 + b4269 >= 0 e39228: b4260 - b4261 + b4270 >= 0 e39229: b4260 - b4261 + b4271 >= 0 e39230: b4261 - b4262 + b4263 >= 0 e39231: b4261 - b4262 + b4264 >= 0 e39232: b4261 - b4262 + b4265 >= 0 e39233: b4261 - b4262 + b4266 >= 0 e39234: b4261 - b4262 + b4267 >= 0 e39235: b4261 - b4262 + b4268 >= 0 e39236: b4261 - b4262 + b4269 >= 0 e39237: b4261 - b4262 + b4270 >= 0 e39238: b4261 - b4262 + b4271 >= 0 e39239: b4261 - b4262 + b4272 >= 0 e39240: b4262 - b4263 + b4264 >= 0 e39241: b4262 - b4263 + b4265 >= 0 e39242: b4262 - b4263 + b4266 >= 0 e39243: b4262 - b4263 + b4267 >= 0 e39244: b4262 - b4263 + b4268 >= 0 e39245: b4262 - b4263 + b4269 >= 0 e39246: b4262 - b4263 + b4270 >= 0 e39247: b4262 - b4263 + b4271 >= 0 e39248: b4262 - b4263 + b4272 >= 0 e39249: b4262 - b4263 + b4273 >= 0 e39250: b4263 - b4264 + b4265 >= 0 e39251: b4263 - b4264 + b4266 >= 0 e39252: b4263 - b4264 + b4267 >= 0 e39253: b4263 - b4264 + b4268 >= 0 e39254: b4263 - b4264 + b4269 >= 0 e39255: b4263 - b4264 + b4270 >= 0 e39256: b4263 - b4264 + b4271 >= 0 e39257: b4263 - b4264 + b4272 >= 0 e39258: b4263 - b4264 + b4273 >= 0 e39259: b4264 - b4265 + b4266 >= 0 e39260: b4264 - b4265 + b4267 >= 0 e39261: b4264 - b4265 + b4268 >= 0 e39262: b4264 - b4265 + b4269 >= 0 e39263: b4264 - b4265 + b4270 >= 0 e39264: b4264 - b4265 + b4271 >= 0 e39265: b4264 - b4265 + b4272 >= 0 e39266: b4264 - b4265 + b4273 >= 0 e39267: b4265 - b4266 + b4267 >= 0 e39268: b4265 - b4266 + b4268 >= 0 e39269: b4265 - b4266 + b4269 >= 0 e39270: b4265 - b4266 + b4270 >= 0 e39271: b4265 - b4266 + b4271 >= 0 e39272: b4265 - b4266 + b4272 >= 0 e39273: b4265 - b4266 + b4273 >= 0 e39274: b4266 - b4267 + b4268 >= 0 e39275: b4266 - b4267 + b4269 >= 0 e39276: b4266 - b4267 + b4270 >= 0 e39277: b4266 - b4267 + b4271 >= 0 e39278: b4266 - b4267 + b4272 >= 0 e39279: b4266 - b4267 + b4273 >= 0 e39280: b4267 - b4268 + b4269 >= 0 e39281: b4267 - b4268 + b4270 >= 0 e39282: b4267 - b4268 + b4271 >= 0 e39283: b4267 - b4268 + b4272 >= 0 e39284: b4267 - b4268 + b4273 >= 0 e39285: b4268 - b4269 + b4270 >= 0 e39286: b4268 - b4269 + b4271 >= 0 e39287: b4268 - b4269 + b4272 >= 0 e39288: b4268 - b4269 + b4273 >= 0 e39289: b4269 - b4270 + b4271 >= 0 e39290: b4269 - b4270 + b4272 >= 0 e39291: b4269 - b4270 + b4273 >= 0 e39292: b4270 - b4271 + b4272 >= 0 e39293: b4270 - b4271 + b4273 >= 0 e39294: b4271 - b4272 + b4273 >= 0 e39295: b4274 = 1 e39296: b4275 = 1 e39297: b4276 = 1 e39298: b4277 = 1 e39299: b4278 = 1 e39300: b4278 - b4279 + b4280 <= 1 e39301: b4278 - b4279 + b4281 <= 1 e39302: b4278 - b4279 + b4282 <= 1 e39303: b4278 - b4279 + b4283 <= 1 e39304: b4278 - b4279 + b4284 <= 1 e39305: b4278 - b4279 + b4285 <= 1 e39306: b4278 - b4279 + b4286 <= 1 e39307: b4278 - b4279 + b4287 <= 1 e39308: b4278 - b4279 + b4288 <= 1 e39309: b4278 - b4279 + b4289 <= 1 e39310: b4278 - b4279 + b4290 <= 1 e39311: b4279 - b4280 + b4281 <= 1 e39312: b4279 - b4280 + b4282 <= 1 e39313: b4279 - b4280 + b4283 <= 1 e39314: b4279 - b4280 + b4284 <= 1 e39315: b4279 - b4280 + b4285 <= 1 e39316: b4279 - b4280 + b4286 <= 1 e39317: b4279 - b4280 + b4287 <= 1 e39318: b4279 - b4280 + b4288 <= 1 e39319: b4279 - b4280 + b4289 <= 1 e39320: b4279 - b4280 + b4290 <= 1 e39321: b4279 - b4280 + b4291 <= 1 e39322: b4280 - b4281 + b4282 <= 1 e39323: b4280 - b4281 + b4283 <= 1 e39324: b4280 - b4281 + b4284 <= 1 e39325: b4280 - b4281 + b4285 <= 1 e39326: b4280 - b4281 + b4286 <= 1 e39327: b4280 - b4281 + b4287 <= 1 e39328: b4280 - b4281 + b4288 <= 1 e39329: b4280 - b4281 + b4289 <= 1 e39330: b4280 - b4281 + b4290 <= 1 e39331: b4280 - b4281 + b4291 <= 1 e39332: b4280 - b4281 + b4292 <= 1 e39333: b4281 - b4282 + b4283 <= 1 e39334: b4281 - b4282 + b4284 <= 1 e39335: b4281 - b4282 + b4285 <= 1 e39336: b4281 - b4282 + b4286 <= 1 e39337: b4281 - b4282 + b4287 <= 1 e39338: b4281 - b4282 + b4288 <= 1 e39339: b4281 - b4282 + b4289 <= 1 e39340: b4281 - b4282 + b4290 <= 1 e39341: b4281 - b4282 + b4291 <= 1 e39342: b4281 - b4282 + b4292 <= 1 e39343: b4281 - b4282 + b4293 <= 1 e39344: b4282 - b4283 + b4284 <= 1 e39345: b4282 - b4283 + b4285 <= 1 e39346: b4282 - b4283 + b4286 <= 1 e39347: b4282 - b4283 + b4287 <= 1 e39348: b4282 - b4283 + b4288 <= 1 e39349: b4282 - b4283 + b4289 <= 1 e39350: b4282 - b4283 + b4290 <= 1 e39351: b4282 - b4283 + b4291 <= 1 e39352: b4282 - b4283 + b4292 <= 1 e39353: b4282 - b4283 + b4293 <= 1 e39354: b4282 - b4283 + b4294 <= 1 e39355: b4283 - b4284 + b4285 <= 1 e39356: b4283 - b4284 + b4286 <= 1 e39357: b4283 - b4284 + b4287 <= 1 e39358: b4283 - b4284 + b4288 <= 1 e39359: b4283 - b4284 + b4289 <= 1 e39360: b4283 - b4284 + b4290 <= 1 e39361: b4283 - b4284 + b4291 <= 1 e39362: b4283 - b4284 + b4292 <= 1 e39363: b4283 - b4284 + b4293 <= 1 e39364: b4283 - b4284 + b4294 <= 1 e39365: b4283 - b4284 + b4295 <= 1 e39366: b4284 - b4285 + b4286 <= 1 e39367: b4284 - b4285 + b4287 <= 1 e39368: b4284 - b4285 + b4288 <= 1 e39369: b4284 - b4285 + b4289 <= 1 e39370: b4284 - b4285 + b4290 <= 1 e39371: b4284 - b4285 + b4291 <= 1 e39372: b4284 - b4285 + b4292 <= 1 e39373: b4284 - b4285 + b4293 <= 1 e39374: b4284 - b4285 + b4294 <= 1 e39375: b4284 - b4285 + b4295 <= 1 e39376: b4284 - b4285 + b4296 <= 1 e39377: b4285 - b4286 + b4287 <= 1 e39378: b4285 - b4286 + b4288 <= 1 e39379: b4285 - b4286 + b4289 <= 1 e39380: b4285 - b4286 + b4290 <= 1 e39381: b4285 - b4286 + b4291 <= 1 e39382: b4285 - b4286 + b4292 <= 1 e39383: b4285 - b4286 + b4293 <= 1 e39384: b4285 - b4286 + b4294 <= 1 e39385: b4285 - b4286 + b4295 <= 1 e39386: b4285 - b4286 + b4296 <= 1 e39387: b4285 - b4286 + b4297 <= 1 e39388: b4286 - b4287 + b4288 <= 1 e39389: b4286 - b4287 + b4289 <= 1 e39390: b4286 - b4287 + b4290 <= 1 e39391: b4286 - b4287 + b4291 <= 1 e39392: b4286 - b4287 + b4292 <= 1 e39393: b4286 - b4287 + b4293 <= 1 e39394: b4286 - b4287 + b4294 <= 1 e39395: b4286 - b4287 + b4295 <= 1 e39396: b4286 - b4287 + b4296 <= 1 e39397: b4286 - b4287 + b4297 <= 1 e39398: b4287 - b4288 + b4289 <= 1 e39399: b4287 - b4288 + b4290 <= 1 e39400: b4287 - b4288 + b4291 <= 1 e39401: b4287 - b4288 + b4292 <= 1 e39402: b4287 - b4288 + b4293 <= 1 e39403: b4287 - b4288 + b4294 <= 1 e39404: b4287 - b4288 + b4295 <= 1 e39405: b4287 - b4288 + b4296 <= 1 e39406: b4287 - b4288 + b4297 <= 1 e39407: b4288 - b4289 + b4290 <= 1 e39408: b4288 - b4289 + b4291 <= 1 e39409: b4288 - b4289 + b4292 <= 1 e39410: b4288 - b4289 + b4293 <= 1 e39411: b4288 - b4289 + b4294 <= 1 e39412: b4288 - b4289 + b4295 <= 1 e39413: b4288 - b4289 + b4296 <= 1 e39414: b4288 - b4289 + b4297 <= 1 e39415: b4289 - b4290 + b4291 <= 1 e39416: b4289 - b4290 + b4292 <= 1 e39417: b4289 - b4290 + b4293 <= 1 e39418: b4289 - b4290 + b4294 <= 1 e39419: b4289 - b4290 + b4295 <= 1 e39420: b4289 - b4290 + b4296 <= 1 e39421: b4289 - b4290 + b4297 <= 1 e39422: b4290 - b4291 + b4292 <= 1 e39423: b4290 - b4291 + b4293 <= 1 e39424: b4290 - b4291 + b4294 <= 1 e39425: b4290 - b4291 + b4295 <= 1 e39426: b4290 - b4291 + b4296 <= 1 e39427: b4290 - b4291 + b4297 <= 1 e39428: b4291 - b4292 + b4293 <= 1 e39429: b4291 - b4292 + b4294 <= 1 e39430: b4291 - b4292 + b4295 <= 1 e39431: b4291 - b4292 + b4296 <= 1 e39432: b4291 - b4292 + b4297 <= 1 e39433: b4292 - b4293 + b4294 <= 1 e39434: b4292 - b4293 + b4295 <= 1 e39435: b4292 - b4293 + b4296 <= 1 e39436: b4292 - b4293 + b4297 <= 1 e39437: b4293 - b4294 + b4295 <= 1 e39438: b4293 - b4294 + b4296 <= 1 e39439: b4293 - b4294 + b4297 <= 1 e39440: b4294 - b4295 + b4296 <= 1 e39441: b4294 - b4295 + b4297 <= 1 e39442: b4295 - b4296 + b4297 <= 1 e39443: b4278 - b4279 + b4280 >= 0 e39444: b4278 - b4279 + b4281 >= 0 e39445: b4278 - b4279 + b4282 >= 0 e39446: b4278 - b4279 + b4283 >= 0 e39447: b4278 - b4279 + b4284 >= 0 e39448: b4278 - b4279 + b4285 >= 0 e39449: b4278 - b4279 + b4286 >= 0 e39450: b4278 - b4279 + b4287 >= 0 e39451: b4278 - b4279 + b4288 >= 0 e39452: b4278 - b4279 + b4289 >= 0 e39453: b4278 - b4279 + b4290 >= 0 e39454: b4278 - b4279 + b4291 >= 0 e39455: b4279 - b4280 + b4281 >= 0 e39456: b4279 - b4280 + b4282 >= 0 e39457: b4279 - b4280 + b4283 >= 0 e39458: b4279 - b4280 + b4284 >= 0 e39459: b4279 - b4280 + b4285 >= 0 e39460: b4279 - b4280 + b4286 >= 0 e39461: b4279 - b4280 + b4287 >= 0 e39462: b4279 - b4280 + b4288 >= 0 e39463: b4279 - b4280 + b4289 >= 0 e39464: b4279 - b4280 + b4290 >= 0 e39465: b4279 - b4280 + b4291 >= 0 e39466: b4279 - b4280 + b4292 >= 0 e39467: b4280 - b4281 + b4282 >= 0 e39468: b4280 - b4281 + b4283 >= 0 e39469: b4280 - b4281 + b4284 >= 0 e39470: b4280 - b4281 + b4285 >= 0 e39471: b4280 - b4281 + b4286 >= 0 e39472: b4280 - b4281 + b4287 >= 0 e39473: b4280 - b4281 + b4288 >= 0 e39474: b4280 - b4281 + b4289 >= 0 e39475: b4280 - b4281 + b4290 >= 0 e39476: b4280 - b4281 + b4291 >= 0 e39477: b4280 - b4281 + b4292 >= 0 e39478: b4280 - b4281 + b4293 >= 0 e39479: b4281 - b4282 + b4283 >= 0 e39480: b4281 - b4282 + b4284 >= 0 e39481: b4281 - b4282 + b4285 >= 0 e39482: b4281 - b4282 + b4286 >= 0 e39483: b4281 - b4282 + b4287 >= 0 e39484: b4281 - b4282 + b4288 >= 0 e39485: b4281 - b4282 + b4289 >= 0 e39486: b4281 - b4282 + b4290 >= 0 e39487: b4281 - b4282 + b4291 >= 0 e39488: b4281 - b4282 + b4292 >= 0 e39489: b4281 - b4282 + b4293 >= 0 e39490: b4281 - b4282 + b4294 >= 0 e39491: b4282 - b4283 + b4284 >= 0 e39492: b4282 - b4283 + b4285 >= 0 e39493: b4282 - b4283 + b4286 >= 0 e39494: b4282 - b4283 + b4287 >= 0 e39495: b4282 - b4283 + b4288 >= 0 e39496: b4282 - b4283 + b4289 >= 0 e39497: b4282 - b4283 + b4290 >= 0 e39498: b4282 - b4283 + b4291 >= 0 e39499: b4282 - b4283 + b4292 >= 0 e39500: b4282 - b4283 + b4293 >= 0 e39501: b4282 - b4283 + b4294 >= 0 e39502: b4282 - b4283 + b4295 >= 0 e39503: b4283 - b4284 + b4285 >= 0 e39504: b4283 - b4284 + b4286 >= 0 e39505: b4283 - b4284 + b4287 >= 0 e39506: b4283 - b4284 + b4288 >= 0 e39507: b4283 - b4284 + b4289 >= 0 e39508: b4283 - b4284 + b4290 >= 0 e39509: b4283 - b4284 + b4291 >= 0 e39510: b4283 - b4284 + b4292 >= 0 e39511: b4283 - b4284 + b4293 >= 0 e39512: b4283 - b4284 + b4294 >= 0 e39513: b4283 - b4284 + b4295 >= 0 e39514: b4283 - b4284 + b4296 >= 0 e39515: b4284 - b4285 + b4286 >= 0 e39516: b4284 - b4285 + b4287 >= 0 e39517: b4284 - b4285 + b4288 >= 0 e39518: b4284 - b4285 + b4289 >= 0 e39519: b4284 - b4285 + b4290 >= 0 e39520: b4284 - b4285 + b4291 >= 0 e39521: b4284 - b4285 + b4292 >= 0 e39522: b4284 - b4285 + b4293 >= 0 e39523: b4284 - b4285 + b4294 >= 0 e39524: b4284 - b4285 + b4295 >= 0 e39525: b4284 - b4285 + b4296 >= 0 e39526: b4284 - b4285 + b4297 >= 0 e39527: b4285 - b4286 + b4287 >= 0 e39528: b4285 - b4286 + b4288 >= 0 e39529: b4285 - b4286 + b4289 >= 0 e39530: b4285 - b4286 + b4290 >= 0 e39531: b4285 - b4286 + b4291 >= 0 e39532: b4285 - b4286 + b4292 >= 0 e39533: b4285 - b4286 + b4293 >= 0 e39534: b4285 - b4286 + b4294 >= 0 e39535: b4285 - b4286 + b4295 >= 0 e39536: b4285 - b4286 + b4296 >= 0 e39537: b4285 - b4286 + b4297 >= 0 e39538: b4286 - b4287 + b4288 >= 0 e39539: b4286 - b4287 + b4289 >= 0 e39540: b4286 - b4287 + b4290 >= 0 e39541: b4286 - b4287 + b4291 >= 0 e39542: b4286 - b4287 + b4292 >= 0 e39543: b4286 - b4287 + b4293 >= 0 e39544: b4286 - b4287 + b4294 >= 0 e39545: b4286 - b4287 + b4295 >= 0 e39546: b4286 - b4287 + b4296 >= 0 e39547: b4286 - b4287 + b4297 >= 0 e39548: b4287 - b4288 + b4289 >= 0 e39549: b4287 - b4288 + b4290 >= 0 e39550: b4287 - b4288 + b4291 >= 0 e39551: b4287 - b4288 + b4292 >= 0 e39552: b4287 - b4288 + b4293 >= 0 e39553: b4287 - b4288 + b4294 >= 0 e39554: b4287 - b4288 + b4295 >= 0 e39555: b4287 - b4288 + b4296 >= 0 e39556: b4287 - b4288 + b4297 >= 0 e39557: b4288 - b4289 + b4290 >= 0 e39558: b4288 - b4289 + b4291 >= 0 e39559: b4288 - b4289 + b4292 >= 0 e39560: b4288 - b4289 + b4293 >= 0 e39561: b4288 - b4289 + b4294 >= 0 e39562: b4288 - b4289 + b4295 >= 0 e39563: b4288 - b4289 + b4296 >= 0 e39564: b4288 - b4289 + b4297 >= 0 e39565: b4289 - b4290 + b4291 >= 0 e39566: b4289 - b4290 + b4292 >= 0 e39567: b4289 - b4290 + b4293 >= 0 e39568: b4289 - b4290 + b4294 >= 0 e39569: b4289 - b4290 + b4295 >= 0 e39570: b4289 - b4290 + b4296 >= 0 e39571: b4289 - b4290 + b4297 >= 0 e39572: b4290 - b4291 + b4292 >= 0 e39573: b4290 - b4291 + b4293 >= 0 e39574: b4290 - b4291 + b4294 >= 0 e39575: b4290 - b4291 + b4295 >= 0 e39576: b4290 - b4291 + b4296 >= 0 e39577: b4290 - b4291 + b4297 >= 0 e39578: b4291 - b4292 + b4293 >= 0 e39579: b4291 - b4292 + b4294 >= 0 e39580: b4291 - b4292 + b4295 >= 0 e39581: b4291 - b4292 + b4296 >= 0 e39582: b4291 - b4292 + b4297 >= 0 e39583: b4292 - b4293 + b4294 >= 0 e39584: b4292 - b4293 + b4295 >= 0 e39585: b4292 - b4293 + b4296 >= 0 e39586: b4292 - b4293 + b4297 >= 0 e39587: b4293 - b4294 + b4295 >= 0 e39588: b4293 - b4294 + b4296 >= 0 e39589: b4293 - b4294 + b4297 >= 0 e39590: b4294 - b4295 + b4296 >= 0 e39591: b4294 - b4295 + b4297 >= 0 e39592: b4295 - b4296 + b4297 >= 0 e39593: b4298 = 0 e39594: b4299 = 0 e39595: b4300 = 0 e39596: b4301 = 0 e39597: b4302 = 0 e39598: b4303 = 0 e39599: b4303 - b4304 + b4305 <= 1 e39600: b4303 - b4304 + b4306 <= 1 e39601: b4303 - b4304 + b4307 <= 1 e39602: b4303 - b4304 + b4308 <= 1 e39603: b4303 - b4304 + b4309 <= 1 e39604: b4303 - b4304 + b4310 <= 1 e39605: b4303 - b4304 + b4311 <= 1 e39606: b4303 - b4304 + b4312 <= 1 e39607: b4303 - b4304 + b4313 <= 1 e39608: b4303 - b4304 + b4314 <= 1 e39609: b4303 - b4304 + b4315 <= 1 e39610: b4303 - b4304 + b4316 <= 1 e39611: b4304 - b4305 + b4306 <= 1 e39612: b4304 - b4305 + b4307 <= 1 e39613: b4304 - b4305 + b4308 <= 1 e39614: b4304 - b4305 + b4309 <= 1 e39615: b4304 - b4305 + b4310 <= 1 e39616: b4304 - b4305 + b4311 <= 1 e39617: b4304 - b4305 + b4312 <= 1 e39618: b4304 - b4305 + b4313 <= 1 e39619: b4304 - b4305 + b4314 <= 1 e39620: b4304 - b4305 + b4315 <= 1 e39621: b4304 - b4305 + b4316 <= 1 e39622: b4304 - b4305 + b4317 <= 1 e39623: b4305 - b4306 + b4307 <= 1 e39624: b4305 - b4306 + b4308 <= 1 e39625: b4305 - b4306 + b4309 <= 1 e39626: b4305 - b4306 + b4310 <= 1 e39627: b4305 - b4306 + b4311 <= 1 e39628: b4305 - b4306 + b4312 <= 1 e39629: b4305 - b4306 + b4313 <= 1 e39630: b4305 - b4306 + b4314 <= 1 e39631: b4305 - b4306 + b4315 <= 1 e39632: b4305 - b4306 + b4316 <= 1 e39633: b4305 - b4306 + b4317 <= 1 e39634: b4305 - b4306 + b4318 <= 1 e39635: b4306 - b4307 + b4308 <= 1 e39636: b4306 - b4307 + b4309 <= 1 e39637: b4306 - b4307 + b4310 <= 1 e39638: b4306 - b4307 + b4311 <= 1 e39639: b4306 - b4307 + b4312 <= 1 e39640: b4306 - b4307 + b4313 <= 1 e39641: b4306 - b4307 + b4314 <= 1 e39642: b4306 - b4307 + b4315 <= 1 e39643: b4306 - b4307 + b4316 <= 1 e39644: b4306 - b4307 + b4317 <= 1 e39645: b4306 - b4307 + b4318 <= 1 e39646: b4306 - b4307 + b4319 <= 1 e39647: b4307 - b4308 + b4309 <= 1 e39648: b4307 - b4308 + b4310 <= 1 e39649: b4307 - b4308 + b4311 <= 1 e39650: b4307 - b4308 + b4312 <= 1 e39651: b4307 - b4308 + b4313 <= 1 e39652: b4307 - b4308 + b4314 <= 1 e39653: b4307 - b4308 + b4315 <= 1 e39654: b4307 - b4308 + b4316 <= 1 e39655: b4307 - b4308 + b4317 <= 1 e39656: b4307 - b4308 + b4318 <= 1 e39657: b4307 - b4308 + b4319 <= 1 e39658: b4307 - b4308 + b4320 <= 1 e39659: b4308 - b4309 + b4310 <= 1 e39660: b4308 - b4309 + b4311 <= 1 e39661: b4308 - b4309 + b4312 <= 1 e39662: b4308 - b4309 + b4313 <= 1 e39663: b4308 - b4309 + b4314 <= 1 e39664: b4308 - b4309 + b4315 <= 1 e39665: b4308 - b4309 + b4316 <= 1 e39666: b4308 - b4309 + b4317 <= 1 e39667: b4308 - b4309 + b4318 <= 1 e39668: b4308 - b4309 + b4319 <= 1 e39669: b4308 - b4309 + b4320 <= 1 e39670: b4308 - b4309 + b4321 <= 1 e39671: b4309 - b4310 + b4311 <= 1 e39672: b4309 - b4310 + b4312 <= 1 e39673: b4309 - b4310 + b4313 <= 1 e39674: b4309 - b4310 + b4314 <= 1 e39675: b4309 - b4310 + b4315 <= 1 e39676: b4309 - b4310 + b4316 <= 1 e39677: b4309 - b4310 + b4317 <= 1 e39678: b4309 - b4310 + b4318 <= 1 e39679: b4309 - b4310 + b4319 <= 1 e39680: b4309 - b4310 + b4320 <= 1 e39681: b4309 - b4310 + b4321 <= 1 e39682: b4310 - b4311 + b4312 <= 1 e39683: b4310 - b4311 + b4313 <= 1 e39684: b4310 - b4311 + b4314 <= 1 e39685: b4310 - b4311 + b4315 <= 1 e39686: b4310 - b4311 + b4316 <= 1 e39687: b4310 - b4311 + b4317 <= 1 e39688: b4310 - b4311 + b4318 <= 1 e39689: b4310 - b4311 + b4319 <= 1 e39690: b4310 - b4311 + b4320 <= 1 e39691: b4310 - b4311 + b4321 <= 1 e39692: b4311 - b4312 + b4313 <= 1 e39693: b4311 - b4312 + b4314 <= 1 e39694: b4311 - b4312 + b4315 <= 1 e39695: b4311 - b4312 + b4316 <= 1 e39696: b4311 - b4312 + b4317 <= 1 e39697: b4311 - b4312 + b4318 <= 1 e39698: b4311 - b4312 + b4319 <= 1 e39699: b4311 - b4312 + b4320 <= 1 e39700: b4311 - b4312 + b4321 <= 1 e39701: b4312 - b4313 + b4314 <= 1 e39702: b4312 - b4313 + b4315 <= 1 e39703: b4312 - b4313 + b4316 <= 1 e39704: b4312 - b4313 + b4317 <= 1 e39705: b4312 - b4313 + b4318 <= 1 e39706: b4312 - b4313 + b4319 <= 1 e39707: b4312 - b4313 + b4320 <= 1 e39708: b4312 - b4313 + b4321 <= 1 e39709: b4313 - b4314 + b4315 <= 1 e39710: b4313 - b4314 + b4316 <= 1 e39711: b4313 - b4314 + b4317 <= 1 e39712: b4313 - b4314 + b4318 <= 1 e39713: b4313 - b4314 + b4319 <= 1 e39714: b4313 - b4314 + b4320 <= 1 e39715: b4313 - b4314 + b4321 <= 1 e39716: b4314 - b4315 + b4316 <= 1 e39717: b4314 - b4315 + b4317 <= 1 e39718: b4314 - b4315 + b4318 <= 1 e39719: b4314 - b4315 + b4319 <= 1 e39720: b4314 - b4315 + b4320 <= 1 e39721: b4314 - b4315 + b4321 <= 1 e39722: b4315 - b4316 + b4317 <= 1 e39723: b4315 - b4316 + b4318 <= 1 e39724: b4315 - b4316 + b4319 <= 1 e39725: b4315 - b4316 + b4320 <= 1 e39726: b4315 - b4316 + b4321 <= 1 e39727: b4316 - b4317 + b4318 <= 1 e39728: b4316 - b4317 + b4319 <= 1 e39729: b4316 - b4317 + b4320 <= 1 e39730: b4316 - b4317 + b4321 <= 1 e39731: b4317 - b4318 + b4319 <= 1 e39732: b4317 - b4318 + b4320 <= 1 e39733: b4317 - b4318 + b4321 <= 1 e39734: b4318 - b4319 + b4320 <= 1 e39735: b4318 - b4319 + b4321 <= 1 e39736: b4319 - b4320 + b4321 <= 1 e39737: b4303 - b4304 + b4305 >= 0 e39738: b4303 - b4304 + b4306 >= 0 e39739: b4303 - b4304 + b4307 >= 0 e39740: b4303 - b4304 + b4308 >= 0 e39741: b4303 - b4304 + b4309 >= 0 e39742: b4303 - b4304 + b4310 >= 0 e39743: b4303 - b4304 + b4311 >= 0 e39744: b4303 - b4304 + b4312 >= 0 e39745: b4303 - b4304 + b4313 >= 0 e39746: b4303 - b4304 + b4314 >= 0 e39747: b4304 - b4305 + b4306 >= 0 e39748: b4304 - b4305 + b4307 >= 0 e39749: b4304 - b4305 + b4308 >= 0 e39750: b4304 - b4305 + b4309 >= 0 e39751: b4304 - b4305 + b4310 >= 0 e39752: b4304 - b4305 + b4311 >= 0 e39753: b4304 - b4305 + b4312 >= 0 e39754: b4304 - b4305 + b4313 >= 0 e39755: b4304 - b4305 + b4314 >= 0 e39756: b4304 - b4305 + b4315 >= 0 e39757: b4305 - b4306 + b4307 >= 0 e39758: b4305 - b4306 + b4308 >= 0 e39759: b4305 - b4306 + b4309 >= 0 e39760: b4305 - b4306 + b4310 >= 0 e39761: b4305 - b4306 + b4311 >= 0 e39762: b4305 - b4306 + b4312 >= 0 e39763: b4305 - b4306 + b4313 >= 0 e39764: b4305 - b4306 + b4314 >= 0 e39765: b4305 - b4306 + b4315 >= 0 e39766: b4305 - b4306 + b4316 >= 0 e39767: b4306 - b4307 + b4308 >= 0 e39768: b4306 - b4307 + b4309 >= 0 e39769: b4306 - b4307 + b4310 >= 0 e39770: b4306 - b4307 + b4311 >= 0 e39771: b4306 - b4307 + b4312 >= 0 e39772: b4306 - b4307 + b4313 >= 0 e39773: b4306 - b4307 + b4314 >= 0 e39774: b4306 - b4307 + b4315 >= 0 e39775: b4306 - b4307 + b4316 >= 0 e39776: b4306 - b4307 + b4317 >= 0 e39777: b4307 - b4308 + b4309 >= 0 e39778: b4307 - b4308 + b4310 >= 0 e39779: b4307 - b4308 + b4311 >= 0 e39780: b4307 - b4308 + b4312 >= 0 e39781: b4307 - b4308 + b4313 >= 0 e39782: b4307 - b4308 + b4314 >= 0 e39783: b4307 - b4308 + b4315 >= 0 e39784: b4307 - b4308 + b4316 >= 0 e39785: b4307 - b4308 + b4317 >= 0 e39786: b4307 - b4308 + b4318 >= 0 e39787: b4308 - b4309 + b4310 >= 0 e39788: b4308 - b4309 + b4311 >= 0 e39789: b4308 - b4309 + b4312 >= 0 e39790: b4308 - b4309 + b4313 >= 0 e39791: b4308 - b4309 + b4314 >= 0 e39792: b4308 - b4309 + b4315 >= 0 e39793: b4308 - b4309 + b4316 >= 0 e39794: b4308 - b4309 + b4317 >= 0 e39795: b4308 - b4309 + b4318 >= 0 e39796: b4308 - b4309 + b4319 >= 0 e39797: b4309 - b4310 + b4311 >= 0 e39798: b4309 - b4310 + b4312 >= 0 e39799: b4309 - b4310 + b4313 >= 0 e39800: b4309 - b4310 + b4314 >= 0 e39801: b4309 - b4310 + b4315 >= 0 e39802: b4309 - b4310 + b4316 >= 0 e39803: b4309 - b4310 + b4317 >= 0 e39804: b4309 - b4310 + b4318 >= 0 e39805: b4309 - b4310 + b4319 >= 0 e39806: b4309 - b4310 + b4320 >= 0 e39807: b4310 - b4311 + b4312 >= 0 e39808: b4310 - b4311 + b4313 >= 0 e39809: b4310 - b4311 + b4314 >= 0 e39810: b4310 - b4311 + b4315 >= 0 e39811: b4310 - b4311 + b4316 >= 0 e39812: b4310 - b4311 + b4317 >= 0 e39813: b4310 - b4311 + b4318 >= 0 e39814: b4310 - b4311 + b4319 >= 0 e39815: b4310 - b4311 + b4320 >= 0 e39816: b4310 - b4311 + b4321 >= 0 e39817: b4311 - b4312 + b4313 >= 0 e39818: b4311 - b4312 + b4314 >= 0 e39819: b4311 - b4312 + b4315 >= 0 e39820: b4311 - b4312 + b4316 >= 0 e39821: b4311 - b4312 + b4317 >= 0 e39822: b4311 - b4312 + b4318 >= 0 e39823: b4311 - b4312 + b4319 >= 0 e39824: b4311 - b4312 + b4320 >= 0 e39825: b4311 - b4312 + b4321 >= 0 e39826: b4312 - b4313 + b4314 >= 0 e39827: b4312 - b4313 + b4315 >= 0 e39828: b4312 - b4313 + b4316 >= 0 e39829: b4312 - b4313 + b4317 >= 0 e39830: b4312 - b4313 + b4318 >= 0 e39831: b4312 - b4313 + b4319 >= 0 e39832: b4312 - b4313 + b4320 >= 0 e39833: b4312 - b4313 + b4321 >= 0 e39834: b4313 - b4314 + b4315 >= 0 e39835: b4313 - b4314 + b4316 >= 0 e39836: b4313 - b4314 + b4317 >= 0 e39837: b4313 - b4314 + b4318 >= 0 e39838: b4313 - b4314 + b4319 >= 0 e39839: b4313 - b4314 + b4320 >= 0 e39840: b4313 - b4314 + b4321 >= 0 e39841: b4314 - b4315 + b4316 >= 0 e39842: b4314 - b4315 + b4317 >= 0 e39843: b4314 - b4315 + b4318 >= 0 e39844: b4314 - b4315 + b4319 >= 0 e39845: b4314 - b4315 + b4320 >= 0 e39846: b4314 - b4315 + b4321 >= 0 e39847: b4315 - b4316 + b4317 >= 0 e39848: b4315 - b4316 + b4318 >= 0 e39849: b4315 - b4316 + b4319 >= 0 e39850: b4315 - b4316 + b4320 >= 0 e39851: b4315 - b4316 + b4321 >= 0 e39852: b4316 - b4317 + b4318 >= 0 e39853: b4316 - b4317 + b4319 >= 0 e39854: b4316 - b4317 + b4320 >= 0 e39855: b4316 - b4317 + b4321 >= 0 e39856: b4317 - b4318 + b4319 >= 0 e39857: b4317 - b4318 + b4320 >= 0 e39858: b4317 - b4318 + b4321 >= 0 e39859: b4318 - b4319 + b4320 >= 0 e39860: b4318 - b4319 + b4321 >= 0 e39861: b4319 - b4320 + b4321 >= 0 e39862: - b4322 + b4323 + x19288 <= 1 e39863: - b4322 + b4324 + x19288 <= 1 e39864: - b4322 + b4325 + x19288 <= 1 e39865: - b4322 + b4326 + x19288 <= 1 e39866: - b4322 + b4327 + x19288 <= 1 e39867: - b4322 + b4328 + x19288 <= 1 e39868: - b4322 + b4329 + x19288 <= 1 e39869: - b4322 + b4330 + x19288 <= 1 e39870: - b4322 + b4331 + x19288 <= 1 e39871: - b4322 + b4332 + x19288 <= 1 e39872: b4322 - b4323 + b4324 <= 1 e39873: b4322 - b4323 + b4325 <= 1 e39874: b4322 - b4323 + b4326 <= 1 e39875: b4322 - b4323 + b4327 <= 1 e39876: b4322 - b4323 + b4328 <= 1 e39877: b4322 - b4323 + b4329 <= 1 e39878: b4322 - b4323 + b4330 <= 1 e39879: b4322 - b4323 + b4331 <= 1 e39880: b4322 - b4323 + b4332 <= 1 e39881: b4322 - b4323 + b4333 <= 1 e39882: b4323 - b4324 + b4325 <= 1 e39883: b4323 - b4324 + b4326 <= 1 e39884: b4323 - b4324 + b4327 <= 1 e39885: b4323 - b4324 + b4328 <= 1 e39886: b4323 - b4324 + b4329 <= 1 e39887: b4323 - b4324 + b4330 <= 1 e39888: b4323 - b4324 + b4331 <= 1 e39889: b4323 - b4324 + b4332 <= 1 e39890: b4323 - b4324 + b4333 <= 1 e39891: b4323 - b4324 + b4334 <= 1 e39892: b4324 - b4325 + b4326 <= 1 e39893: b4324 - b4325 + b4327 <= 1 e39894: b4324 - b4325 + b4328 <= 1 e39895: b4324 - b4325 + b4329 <= 1 e39896: b4324 - b4325 + b4330 <= 1 e39897: b4324 - b4325 + b4331 <= 1 e39898: b4324 - b4325 + b4332 <= 1 e39899: b4324 - b4325 + b4333 <= 1 e39900: b4324 - b4325 + b4334 <= 1 e39901: b4324 - b4325 + b4335 <= 1 e39902: b4325 - b4326 + b4327 <= 1 e39903: b4325 - b4326 + b4328 <= 1 e39904: b4325 - b4326 + b4329 <= 1 e39905: b4325 - b4326 + b4330 <= 1 e39906: b4325 - b4326 + b4331 <= 1 e39907: b4325 - b4326 + b4332 <= 1 e39908: b4325 - b4326 + b4333 <= 1 e39909: b4325 - b4326 + b4334 <= 1 e39910: b4325 - b4326 + b4335 <= 1 e39911: b4325 - b4326 + b4336 <= 1 e39912: b4326 - b4327 + b4328 <= 1 e39913: b4326 - b4327 + b4329 <= 1 e39914: b4326 - b4327 + b4330 <= 1 e39915: b4326 - b4327 + b4331 <= 1 e39916: b4326 - b4327 + b4332 <= 1 e39917: b4326 - b4327 + b4333 <= 1 e39918: b4326 - b4327 + b4334 <= 1 e39919: b4326 - b4327 + b4335 <= 1 e39920: b4326 - b4327 + b4336 <= 1 e39921: b4326 - b4327 + b4337 <= 1 e39922: b4327 - b4328 + b4329 <= 1 e39923: b4327 - b4328 + b4330 <= 1 e39924: b4327 - b4328 + b4331 <= 1 e39925: b4327 - b4328 + b4332 <= 1 e39926: b4327 - b4328 + b4333 <= 1 e39927: b4327 - b4328 + b4334 <= 1 e39928: b4327 - b4328 + b4335 <= 1 e39929: b4327 - b4328 + b4336 <= 1 e39930: b4327 - b4328 + b4337 <= 1 e39931: b4327 - b4328 + b4338 <= 1 e39932: b4328 - b4329 + b4330 <= 1 e39933: b4328 - b4329 + b4331 <= 1 e39934: b4328 - b4329 + b4332 <= 1 e39935: b4328 - b4329 + b4333 <= 1 e39936: b4328 - b4329 + b4334 <= 1 e39937: b4328 - b4329 + b4335 <= 1 e39938: b4328 - b4329 + b4336 <= 1 e39939: b4328 - b4329 + b4337 <= 1 e39940: b4328 - b4329 + b4338 <= 1 e39941: b4328 - b4329 + b4339 <= 1 e39942: b4329 - b4330 + b4331 <= 1 e39943: b4329 - b4330 + b4332 <= 1 e39944: b4329 - b4330 + b4333 <= 1 e39945: b4329 - b4330 + b4334 <= 1 e39946: b4329 - b4330 + b4335 <= 1 e39947: b4329 - b4330 + b4336 <= 1 e39948: b4329 - b4330 + b4337 <= 1 e39949: b4329 - b4330 + b4338 <= 1 e39950: b4329 - b4330 + b4339 <= 1 e39951: b4329 - b4330 + b4340 <= 1 e39952: b4330 - b4331 + b4332 <= 1 e39953: b4330 - b4331 + b4333 <= 1 e39954: b4330 - b4331 + b4334 <= 1 e39955: b4330 - b4331 + b4335 <= 1 e39956: b4330 - b4331 + b4336 <= 1 e39957: b4330 - b4331 + b4337 <= 1 e39958: b4330 - b4331 + b4338 <= 1 e39959: b4330 - b4331 + b4339 <= 1 e39960: b4330 - b4331 + b4340 <= 1 e39961: b4330 - b4331 + b4341 <= 1 e39962: b4331 - b4332 + b4333 <= 1 e39963: b4331 - b4332 + b4334 <= 1 e39964: b4331 - b4332 + b4335 <= 1 e39965: b4331 - b4332 + b4336 <= 1 e39966: b4331 - b4332 + b4337 <= 1 e39967: b4331 - b4332 + b4338 <= 1 e39968: b4331 - b4332 + b4339 <= 1 e39969: b4331 - b4332 + b4340 <= 1 e39970: b4331 - b4332 + b4341 <= 1 e39971: b4331 - b4332 + b4342 <= 1 e39972: b4332 - b4333 + b4334 <= 1 e39973: b4332 - b4333 + b4335 <= 1 e39974: b4332 - b4333 + b4336 <= 1 e39975: b4332 - b4333 + b4337 <= 1 e39976: b4332 - b4333 + b4338 <= 1 e39977: b4332 - b4333 + b4339 <= 1 e39978: b4332 - b4333 + b4340 <= 1 e39979: b4332 - b4333 + b4341 <= 1 e39980: b4332 - b4333 + b4342 <= 1 e39981: b4332 - b4333 + b4343 <= 1 e39982: b4333 - b4334 + b4335 <= 1 e39983: b4333 - b4334 + b4336 <= 1 e39984: b4333 - b4334 + b4337 <= 1 e39985: b4333 - b4334 + b4338 <= 1 e39986: b4333 - b4334 + b4339 <= 1 e39987: b4333 - b4334 + b4340 <= 1 e39988: b4333 - b4334 + b4341 <= 1 e39989: b4333 - b4334 + b4342 <= 1 e39990: b4333 - b4334 + b4343 <= 1 e39991: b4333 - b4334 + b4344 <= 1 e39992: b4334 - b4335 + b4336 <= 1 e39993: b4334 - b4335 + b4337 <= 1 e39994: b4334 - b4335 + b4338 <= 1 e39995: b4334 - b4335 + b4339 <= 1 e39996: b4334 - b4335 + b4340 <= 1 e39997: b4334 - b4335 + b4341 <= 1 e39998: b4334 - b4335 + b4342 <= 1 e39999: b4334 - b4335 + b4343 <= 1 e40000: b4334 - b4335 + b4344 <= 1 e40001: b4334 - b4335 + b4345 <= 1 e40002: b4335 - b4336 + b4337 <= 1 e40003: b4335 - b4336 + b4338 <= 1 e40004: b4335 - b4336 + b4339 <= 1 e40005: b4335 - b4336 + b4340 <= 1 e40006: b4335 - b4336 + b4341 <= 1 e40007: b4335 - b4336 + b4342 <= 1 e40008: b4335 - b4336 + b4343 <= 1 e40009: b4335 - b4336 + b4344 <= 1 e40010: b4335 - b4336 + b4345 <= 1 e40011: b4336 - b4337 + b4338 <= 1 e40012: b4336 - b4337 + b4339 <= 1 e40013: b4336 - b4337 + b4340 <= 1 e40014: b4336 - b4337 + b4341 <= 1 e40015: b4336 - b4337 + b4342 <= 1 e40016: b4336 - b4337 + b4343 <= 1 e40017: b4336 - b4337 + b4344 <= 1 e40018: b4336 - b4337 + b4345 <= 1 e40019: b4337 - b4338 + b4339 <= 1 e40020: b4337 - b4338 + b4340 <= 1 e40021: b4337 - b4338 + b4341 <= 1 e40022: b4337 - b4338 + b4342 <= 1 e40023: b4337 - b4338 + b4343 <= 1 e40024: b4337 - b4338 + b4344 <= 1 e40025: b4337 - b4338 + b4345 <= 1 e40026: b4338 - b4339 + b4340 <= 1 e40027: b4338 - b4339 + b4341 <= 1 e40028: b4338 - b4339 + b4342 <= 1 e40029: b4338 - b4339 + b4343 <= 1 e40030: b4338 - b4339 + b4344 <= 1 e40031: b4338 - b4339 + b4345 <= 1 e40032: b4339 - b4340 + b4341 <= 1 e40033: b4339 - b4340 + b4342 <= 1 e40034: b4339 - b4340 + b4343 <= 1 e40035: b4339 - b4340 + b4344 <= 1 e40036: b4339 - b4340 + b4345 <= 1 e40037: b4340 - b4341 + b4342 <= 1 e40038: b4340 - b4341 + b4343 <= 1 e40039: b4340 - b4341 + b4344 <= 1 e40040: b4340 - b4341 + b4345 <= 1 e40041: b4341 - b4342 + b4343 <= 1 e40042: b4341 - b4342 + b4344 <= 1 e40043: b4341 - b4342 + b4345 <= 1 e40044: b4342 - b4343 + b4344 <= 1 e40045: b4342 - b4343 + b4345 <= 1 e40046: b4343 - b4344 + b4345 <= 1 e40047: - b4322 + b4323 + x19288 >= 0 e40048: - b4322 + b4324 + x19288 >= 0 e40049: - b4322 + b4325 + x19288 >= 0 e40050: - b4322 + b4326 + x19288 >= 0 e40051: - b4322 + b4327 + x19288 >= 0 e40052: - b4322 + b4328 + x19288 >= 0 e40053: - b4322 + b4329 + x19288 >= 0 e40054: - b4322 + b4330 + x19288 >= 0 e40055: - b4322 + b4331 + x19288 >= 0 e40056: - b4322 + b4332 + x19288 >= 0 e40057: - b4322 + b4333 + x19288 >= 0 e40058: - b4322 + b4334 + x19288 >= 0 e40059: b4322 - b4323 + b4324 >= 0 e40060: b4322 - b4323 + b4325 >= 0 e40061: b4322 - b4323 + b4326 >= 0 e40062: b4322 - b4323 + b4327 >= 0 e40063: b4322 - b4323 + b4328 >= 0 e40064: b4322 - b4323 + b4329 >= 0 e40065: b4322 - b4323 + b4330 >= 0 e40066: b4322 - b4323 + b4331 >= 0 e40067: b4322 - b4323 + b4332 >= 0 e40068: b4322 - b4323 + b4333 >= 0 e40069: b4322 - b4323 + b4334 >= 0 e40070: b4322 - b4323 + b4335 >= 0 e40071: b4323 - b4324 + b4325 >= 0 e40072: b4323 - b4324 + b4326 >= 0 e40073: b4323 - b4324 + b4327 >= 0 e40074: b4323 - b4324 + b4328 >= 0 e40075: b4323 - b4324 + b4329 >= 0 e40076: b4323 - b4324 + b4330 >= 0 e40077: b4323 - b4324 + b4331 >= 0 e40078: b4323 - b4324 + b4332 >= 0 e40079: b4323 - b4324 + b4333 >= 0 e40080: b4323 - b4324 + b4334 >= 0 e40081: b4323 - b4324 + b4335 >= 0 e40082: b4323 - b4324 + b4336 >= 0 e40083: b4324 - b4325 + b4326 >= 0 e40084: b4324 - b4325 + b4327 >= 0 e40085: b4324 - b4325 + b4328 >= 0 e40086: b4324 - b4325 + b4329 >= 0 e40087: b4324 - b4325 + b4330 >= 0 e40088: b4324 - b4325 + b4331 >= 0 e40089: b4324 - b4325 + b4332 >= 0 e40090: b4324 - b4325 + b4333 >= 0 e40091: b4324 - b4325 + b4334 >= 0 e40092: b4324 - b4325 + b4335 >= 0 e40093: b4324 - b4325 + b4336 >= 0 e40094: b4324 - b4325 + b4337 >= 0 e40095: b4325 - b4326 + b4327 >= 0 e40096: b4325 - b4326 + b4328 >= 0 e40097: b4325 - b4326 + b4329 >= 0 e40098: b4325 - b4326 + b4330 >= 0 e40099: b4325 - b4326 + b4331 >= 0 e40100: b4325 - b4326 + b4332 >= 0 e40101: b4325 - b4326 + b4333 >= 0 e40102: b4325 - b4326 + b4334 >= 0 e40103: b4325 - b4326 + b4335 >= 0 e40104: b4325 - b4326 + b4336 >= 0 e40105: b4325 - b4326 + b4337 >= 0 e40106: b4325 - b4326 + b4338 >= 0 e40107: b4326 - b4327 + b4328 >= 0 e40108: b4326 - b4327 + b4329 >= 0 e40109: b4326 - b4327 + b4330 >= 0 e40110: b4326 - b4327 + b4331 >= 0 e40111: b4326 - b4327 + b4332 >= 0 e40112: b4326 - b4327 + b4333 >= 0 e40113: b4326 - b4327 + b4334 >= 0 e40114: b4326 - b4327 + b4335 >= 0 e40115: b4326 - b4327 + b4336 >= 0 e40116: b4326 - b4327 + b4337 >= 0 e40117: b4326 - b4327 + b4338 >= 0 e40118: b4326 - b4327 + b4339 >= 0 e40119: b4327 - b4328 + b4329 >= 0 e40120: b4327 - b4328 + b4330 >= 0 e40121: b4327 - b4328 + b4331 >= 0 e40122: b4327 - b4328 + b4332 >= 0 e40123: b4327 - b4328 + b4333 >= 0 e40124: b4327 - b4328 + b4334 >= 0 e40125: b4327 - b4328 + b4335 >= 0 e40126: b4327 - b4328 + b4336 >= 0 e40127: b4327 - b4328 + b4337 >= 0 e40128: b4327 - b4328 + b4338 >= 0 e40129: b4327 - b4328 + b4339 >= 0 e40130: b4327 - b4328 + b4340 >= 0 e40131: b4328 - b4329 + b4330 >= 0 e40132: b4328 - b4329 + b4331 >= 0 e40133: b4328 - b4329 + b4332 >= 0 e40134: b4328 - b4329 + b4333 >= 0 e40135: b4328 - b4329 + b4334 >= 0 e40136: b4328 - b4329 + b4335 >= 0 e40137: b4328 - b4329 + b4336 >= 0 e40138: b4328 - b4329 + b4337 >= 0 e40139: b4328 - b4329 + b4338 >= 0 e40140: b4328 - b4329 + b4339 >= 0 e40141: b4328 - b4329 + b4340 >= 0 e40142: b4328 - b4329 + b4341 >= 0 e40143: b4329 - b4330 + b4331 >= 0 e40144: b4329 - b4330 + b4332 >= 0 e40145: b4329 - b4330 + b4333 >= 0 e40146: b4329 - b4330 + b4334 >= 0 e40147: b4329 - b4330 + b4335 >= 0 e40148: b4329 - b4330 + b4336 >= 0 e40149: b4329 - b4330 + b4337 >= 0 e40150: b4329 - b4330 + b4338 >= 0 e40151: b4329 - b4330 + b4339 >= 0 e40152: b4329 - b4330 + b4340 >= 0 e40153: b4329 - b4330 + b4341 >= 0 e40154: b4329 - b4330 + b4342 >= 0 e40155: b4330 - b4331 + b4332 >= 0 e40156: b4330 - b4331 + b4333 >= 0 e40157: b4330 - b4331 + b4334 >= 0 e40158: b4330 - b4331 + b4335 >= 0 e40159: b4330 - b4331 + b4336 >= 0 e40160: b4330 - b4331 + b4337 >= 0 e40161: b4330 - b4331 + b4338 >= 0 e40162: b4330 - b4331 + b4339 >= 0 e40163: b4330 - b4331 + b4340 >= 0 e40164: b4330 - b4331 + b4341 >= 0 e40165: b4330 - b4331 + b4342 >= 0 e40166: b4330 - b4331 + b4343 >= 0 e40167: b4331 - b4332 + b4333 >= 0 e40168: b4331 - b4332 + b4334 >= 0 e40169: b4331 - b4332 + b4335 >= 0 e40170: b4331 - b4332 + b4336 >= 0 e40171: b4331 - b4332 + b4337 >= 0 e40172: b4331 - b4332 + b4338 >= 0 e40173: b4331 - b4332 + b4339 >= 0 e40174: b4331 - b4332 + b4340 >= 0 e40175: b4331 - b4332 + b4341 >= 0 e40176: b4331 - b4332 + b4342 >= 0 e40177: b4331 - b4332 + b4343 >= 0 e40178: b4331 - b4332 + b4344 >= 0 e40179: b4332 - b4333 + b4334 >= 0 e40180: b4332 - b4333 + b4335 >= 0 e40181: b4332 - b4333 + b4336 >= 0 e40182: b4332 - b4333 + b4337 >= 0 e40183: b4332 - b4333 + b4338 >= 0 e40184: b4332 - b4333 + b4339 >= 0 e40185: b4332 - b4333 + b4340 >= 0 e40186: b4332 - b4333 + b4341 >= 0 e40187: b4332 - b4333 + b4342 >= 0 e40188: b4332 - b4333 + b4343 >= 0 e40189: b4332 - b4333 + b4344 >= 0 e40190: b4332 - b4333 + b4345 >= 0 e40191: b4333 - b4334 + b4335 >= 0 e40192: b4333 - b4334 + b4336 >= 0 e40193: b4333 - b4334 + b4337 >= 0 e40194: b4333 - b4334 + b4338 >= 0 e40195: b4333 - b4334 + b4339 >= 0 e40196: b4333 - b4334 + b4340 >= 0 e40197: b4333 - b4334 + b4341 >= 0 e40198: b4333 - b4334 + b4342 >= 0 e40199: b4333 - b4334 + b4343 >= 0 e40200: b4333 - b4334 + b4344 >= 0 e40201: b4333 - b4334 + b4345 >= 0 e40202: b4334 - b4335 + b4336 >= 0 e40203: b4334 - b4335 + b4337 >= 0 e40204: b4334 - b4335 + b4338 >= 0 e40205: b4334 - b4335 + b4339 >= 0 e40206: b4334 - b4335 + b4340 >= 0 e40207: b4334 - b4335 + b4341 >= 0 e40208: b4334 - b4335 + b4342 >= 0 e40209: b4334 - b4335 + b4343 >= 0 e40210: b4334 - b4335 + b4344 >= 0 e40211: b4334 - b4335 + b4345 >= 0 e40212: b4335 - b4336 + b4337 >= 0 e40213: b4335 - b4336 + b4338 >= 0 e40214: b4335 - b4336 + b4339 >= 0 e40215: b4335 - b4336 + b4340 >= 0 e40216: b4335 - b4336 + b4341 >= 0 e40217: b4335 - b4336 + b4342 >= 0 e40218: b4335 - b4336 + b4343 >= 0 e40219: b4335 - b4336 + b4344 >= 0 e40220: b4335 - b4336 + b4345 >= 0 e40221: b4336 - b4337 + b4338 >= 0 e40222: b4336 - b4337 + b4339 >= 0 e40223: b4336 - b4337 + b4340 >= 0 e40224: b4336 - b4337 + b4341 >= 0 e40225: b4336 - b4337 + b4342 >= 0 e40226: b4336 - b4337 + b4343 >= 0 e40227: b4336 - b4337 + b4344 >= 0 e40228: b4336 - b4337 + b4345 >= 0 e40229: b4337 - b4338 + b4339 >= 0 e40230: b4337 - b4338 + b4340 >= 0 e40231: b4337 - b4338 + b4341 >= 0 e40232: b4337 - b4338 + b4342 >= 0 e40233: b4337 - b4338 + b4343 >= 0 e40234: b4337 - b4338 + b4344 >= 0 e40235: b4337 - b4338 + b4345 >= 0 e40236: b4338 - b4339 + b4340 >= 0 e40237: b4338 - b4339 + b4341 >= 0 e40238: b4338 - b4339 + b4342 >= 0 e40239: b4338 - b4339 + b4343 >= 0 e40240: b4338 - b4339 + b4344 >= 0 e40241: b4338 - b4339 + b4345 >= 0 e40242: b4339 - b4340 + b4341 >= 0 e40243: b4339 - b4340 + b4342 >= 0 e40244: b4339 - b4340 + b4343 >= 0 e40245: b4339 - b4340 + b4344 >= 0 e40246: b4339 - b4340 + b4345 >= 0 e40247: b4340 - b4341 + b4342 >= 0 e40248: b4340 - b4341 + b4343 >= 0 e40249: b4340 - b4341 + b4344 >= 0 e40250: b4340 - b4341 + b4345 >= 0 e40251: b4341 - b4342 + b4343 >= 0 e40252: b4341 - b4342 + b4344 >= 0 e40253: b4341 - b4342 + b4345 >= 0 e40254: b4342 - b4343 + b4344 >= 0 e40255: b4342 - b4343 + b4345 >= 0 e40256: b4343 - b4344 + b4345 >= 0 e40257: - b4346 + b4347 + x19289 <= 1 e40258: - b4346 + b4348 + x19289 <= 1 e40259: - b4346 + b4349 + x19289 <= 1 e40260: - b4346 + b4350 + x19289 <= 1 e40261: - b4346 + b4351 + x19289 <= 1 e40262: - b4346 + b4352 + x19289 <= 1 e40263: - b4346 + b4353 + x19289 <= 1 e40264: - b4346 + b4354 + x19289 <= 1 e40265: - b4346 + b4355 + x19289 <= 1 e40266: - b4346 + b4356 + x19289 <= 1 e40267: b4346 - b4347 + b4348 <= 1 e40268: b4346 - b4347 + b4349 <= 1 e40269: b4346 - b4347 + b4350 <= 1 e40270: b4346 - b4347 + b4351 <= 1 e40271: b4346 - b4347 + b4352 <= 1 e40272: b4346 - b4347 + b4353 <= 1 e40273: b4346 - b4347 + b4354 <= 1 e40274: b4346 - b4347 + b4355 <= 1 e40275: b4346 - b4347 + b4356 <= 1 e40276: b4346 - b4347 + b4357 <= 1 e40277: b4347 - b4348 + b4349 <= 1 e40278: b4347 - b4348 + b4350 <= 1 e40279: b4347 - b4348 + b4351 <= 1 e40280: b4347 - b4348 + b4352 <= 1 e40281: b4347 - b4348 + b4353 <= 1 e40282: b4347 - b4348 + b4354 <= 1 e40283: b4347 - b4348 + b4355 <= 1 e40284: b4347 - b4348 + b4356 <= 1 e40285: b4347 - b4348 + b4357 <= 1 e40286: b4347 - b4348 + b4358 <= 1 e40287: b4348 - b4349 + b4350 <= 1 e40288: b4348 - b4349 + b4351 <= 1 e40289: b4348 - b4349 + b4352 <= 1 e40290: b4348 - b4349 + b4353 <= 1 e40291: b4348 - b4349 + b4354 <= 1 e40292: b4348 - b4349 + b4355 <= 1 e40293: b4348 - b4349 + b4356 <= 1 e40294: b4348 - b4349 + b4357 <= 1 e40295: b4348 - b4349 + b4358 <= 1 e40296: b4348 - b4349 + b4359 <= 1 e40297: b4349 - b4350 + b4351 <= 1 e40298: b4349 - b4350 + b4352 <= 1 e40299: b4349 - b4350 + b4353 <= 1 e40300: b4349 - b4350 + b4354 <= 1 e40301: b4349 - b4350 + b4355 <= 1 e40302: b4349 - b4350 + b4356 <= 1 e40303: b4349 - b4350 + b4357 <= 1 e40304: b4349 - b4350 + b4358 <= 1 e40305: b4349 - b4350 + b4359 <= 1 e40306: b4349 - b4350 + b4360 <= 1 e40307: b4350 - b4351 + b4352 <= 1 e40308: b4350 - b4351 + b4353 <= 1 e40309: b4350 - b4351 + b4354 <= 1 e40310: b4350 - b4351 + b4355 <= 1 e40311: b4350 - b4351 + b4356 <= 1 e40312: b4350 - b4351 + b4357 <= 1 e40313: b4350 - b4351 + b4358 <= 1 e40314: b4350 - b4351 + b4359 <= 1 e40315: b4350 - b4351 + b4360 <= 1 e40316: b4350 - b4351 + b4361 <= 1 e40317: b4351 - b4352 + b4353 <= 1 e40318: b4351 - b4352 + b4354 <= 1 e40319: b4351 - b4352 + b4355 <= 1 e40320: b4351 - b4352 + b4356 <= 1 e40321: b4351 - b4352 + b4357 <= 1 e40322: b4351 - b4352 + b4358 <= 1 e40323: b4351 - b4352 + b4359 <= 1 e40324: b4351 - b4352 + b4360 <= 1 e40325: b4351 - b4352 + b4361 <= 1 e40326: b4351 - b4352 + b4362 <= 1 e40327: b4352 - b4353 + b4354 <= 1 e40328: b4352 - b4353 + b4355 <= 1 e40329: b4352 - b4353 + b4356 <= 1 e40330: b4352 - b4353 + b4357 <= 1 e40331: b4352 - b4353 + b4358 <= 1 e40332: b4352 - b4353 + b4359 <= 1 e40333: b4352 - b4353 + b4360 <= 1 e40334: b4352 - b4353 + b4361 <= 1 e40335: b4352 - b4353 + b4362 <= 1 e40336: b4352 - b4353 + b4363 <= 1 e40337: b4353 - b4354 + b4355 <= 1 e40338: b4353 - b4354 + b4356 <= 1 e40339: b4353 - b4354 + b4357 <= 1 e40340: b4353 - b4354 + b4358 <= 1 e40341: b4353 - b4354 + b4359 <= 1 e40342: b4353 - b4354 + b4360 <= 1 e40343: b4353 - b4354 + b4361 <= 1 e40344: b4353 - b4354 + b4362 <= 1 e40345: b4353 - b4354 + b4363 <= 1 e40346: b4353 - b4354 + b4364 <= 1 e40347: b4354 - b4355 + b4356 <= 1 e40348: b4354 - b4355 + b4357 <= 1 e40349: b4354 - b4355 + b4358 <= 1 e40350: b4354 - b4355 + b4359 <= 1 e40351: b4354 - b4355 + b4360 <= 1 e40352: b4354 - b4355 + b4361 <= 1 e40353: b4354 - b4355 + b4362 <= 1 e40354: b4354 - b4355 + b4363 <= 1 e40355: b4354 - b4355 + b4364 <= 1 e40356: b4354 - b4355 + b4365 <= 1 e40357: b4355 - b4356 + b4357 <= 1 e40358: b4355 - b4356 + b4358 <= 1 e40359: b4355 - b4356 + b4359 <= 1 e40360: b4355 - b4356 + b4360 <= 1 e40361: b4355 - b4356 + b4361 <= 1 e40362: b4355 - b4356 + b4362 <= 1 e40363: b4355 - b4356 + b4363 <= 1 e40364: b4355 - b4356 + b4364 <= 1 e40365: b4355 - b4356 + b4365 <= 1 e40366: b4355 - b4356 + b4366 <= 1 e40367: b4356 - b4357 + b4358 <= 1 e40368: b4356 - b4357 + b4359 <= 1 e40369: b4356 - b4357 + b4360 <= 1 e40370: b4356 - b4357 + b4361 <= 1 e40371: b4356 - b4357 + b4362 <= 1 e40372: b4356 - b4357 + b4363 <= 1 e40373: b4356 - b4357 + b4364 <= 1 e40374: b4356 - b4357 + b4365 <= 1 e40375: b4356 - b4357 + b4366 <= 1 e40376: b4356 - b4357 + b4367 <= 1 e40377: b4357 - b4358 + b4359 <= 1 e40378: b4357 - b4358 + b4360 <= 1 e40379: b4357 - b4358 + b4361 <= 1 e40380: b4357 - b4358 + b4362 <= 1 e40381: b4357 - b4358 + b4363 <= 1 e40382: b4357 - b4358 + b4364 <= 1 e40383: b4357 - b4358 + b4365 <= 1 e40384: b4357 - b4358 + b4366 <= 1 e40385: b4357 - b4358 + b4367 <= 1 e40386: b4357 - b4358 + b4368 <= 1 e40387: b4358 - b4359 + b4360 <= 1 e40388: b4358 - b4359 + b4361 <= 1 e40389: b4358 - b4359 + b4362 <= 1 e40390: b4358 - b4359 + b4363 <= 1 e40391: b4358 - b4359 + b4364 <= 1 e40392: b4358 - b4359 + b4365 <= 1 e40393: b4358 - b4359 + b4366 <= 1 e40394: b4358 - b4359 + b4367 <= 1 e40395: b4358 - b4359 + b4368 <= 1 e40396: b4358 - b4359 + b4369 <= 1 e40397: b4359 - b4360 + b4361 <= 1 e40398: b4359 - b4360 + b4362 <= 1 e40399: b4359 - b4360 + b4363 <= 1 e40400: b4359 - b4360 + b4364 <= 1 e40401: b4359 - b4360 + b4365 <= 1 e40402: b4359 - b4360 + b4366 <= 1 e40403: b4359 - b4360 + b4367 <= 1 e40404: b4359 - b4360 + b4368 <= 1 e40405: b4359 - b4360 + b4369 <= 1 e40406: b4360 - b4361 + b4362 <= 1 e40407: b4360 - b4361 + b4363 <= 1 e40408: b4360 - b4361 + b4364 <= 1 e40409: b4360 - b4361 + b4365 <= 1 e40410: b4360 - b4361 + b4366 <= 1 e40411: b4360 - b4361 + b4367 <= 1 e40412: b4360 - b4361 + b4368 <= 1 e40413: b4360 - b4361 + b4369 <= 1 e40414: b4361 - b4362 + b4363 <= 1 e40415: b4361 - b4362 + b4364 <= 1 e40416: b4361 - b4362 + b4365 <= 1 e40417: b4361 - b4362 + b4366 <= 1 e40418: b4361 - b4362 + b4367 <= 1 e40419: b4361 - b4362 + b4368 <= 1 e40420: b4361 - b4362 + b4369 <= 1 e40421: b4362 - b4363 + b4364 <= 1 e40422: b4362 - b4363 + b4365 <= 1 e40423: b4362 - b4363 + b4366 <= 1 e40424: b4362 - b4363 + b4367 <= 1 e40425: b4362 - b4363 + b4368 <= 1 e40426: b4362 - b4363 + b4369 <= 1 e40427: b4363 - b4364 + b4365 <= 1 e40428: b4363 - b4364 + b4366 <= 1 e40429: b4363 - b4364 + b4367 <= 1 e40430: b4363 - b4364 + b4368 <= 1 e40431: b4363 - b4364 + b4369 <= 1 e40432: b4364 - b4365 + b4366 <= 1 e40433: b4364 - b4365 + b4367 <= 1 e40434: b4364 - b4365 + b4368 <= 1 e40435: b4364 - b4365 + b4369 <= 1 e40436: b4365 - b4366 + b4367 <= 1 e40437: b4365 - b4366 + b4368 <= 1 e40438: b4365 - b4366 + b4369 <= 1 e40439: b4366 - b4367 + b4368 <= 1 e40440: b4366 - b4367 + b4369 <= 1 e40441: b4367 - b4368 + b4369 <= 1 e40442: - b4346 + b4347 + x19289 >= 0 e40443: - b4346 + b4348 + x19289 >= 0 e40444: - b4346 + b4349 + x19289 >= 0 e40445: - b4346 + b4350 + x19289 >= 0 e40446: - b4346 + b4351 + x19289 >= 0 e40447: - b4346 + b4352 + x19289 >= 0 e40448: - b4346 + b4353 + x19289 >= 0 e40449: - b4346 + b4354 + x19289 >= 0 e40450: - b4346 + b4355 + x19289 >= 0 e40451: - b4346 + b4356 + x19289 >= 0 e40452: - b4346 + b4357 + x19289 >= 0 e40453: b4346 - b4347 + b4348 >= 0 e40454: b4346 - b4347 + b4349 >= 0 e40455: b4346 - b4347 + b4350 >= 0 e40456: b4346 - b4347 + b4351 >= 0 e40457: b4346 - b4347 + b4352 >= 0 e40458: b4346 - b4347 + b4353 >= 0 e40459: b4346 - b4347 + b4354 >= 0 e40460: b4346 - b4347 + b4355 >= 0 e40461: b4346 - b4347 + b4356 >= 0 e40462: b4346 - b4347 + b4357 >= 0 e40463: b4346 - b4347 + b4358 >= 0 e40464: b4347 - b4348 + b4349 >= 0 e40465: b4347 - b4348 + b4350 >= 0 e40466: b4347 - b4348 + b4351 >= 0 e40467: b4347 - b4348 + b4352 >= 0 e40468: b4347 - b4348 + b4353 >= 0 e40469: b4347 - b4348 + b4354 >= 0 e40470: b4347 - b4348 + b4355 >= 0 e40471: b4347 - b4348 + b4356 >= 0 e40472: b4347 - b4348 + b4357 >= 0 e40473: b4347 - b4348 + b4358 >= 0 e40474: b4347 - b4348 + b4359 >= 0 e40475: b4348 - b4349 + b4350 >= 0 e40476: b4348 - b4349 + b4351 >= 0 e40477: b4348 - b4349 + b4352 >= 0 e40478: b4348 - b4349 + b4353 >= 0 e40479: b4348 - b4349 + b4354 >= 0 e40480: b4348 - b4349 + b4355 >= 0 e40481: b4348 - b4349 + b4356 >= 0 e40482: b4348 - b4349 + b4357 >= 0 e40483: b4348 - b4349 + b4358 >= 0 e40484: b4348 - b4349 + b4359 >= 0 e40485: b4348 - b4349 + b4360 >= 0 e40486: b4349 - b4350 + b4351 >= 0 e40487: b4349 - b4350 + b4352 >= 0 e40488: b4349 - b4350 + b4353 >= 0 e40489: b4349 - b4350 + b4354 >= 0 e40490: b4349 - b4350 + b4355 >= 0 e40491: b4349 - b4350 + b4356 >= 0 e40492: b4349 - b4350 + b4357 >= 0 e40493: b4349 - b4350 + b4358 >= 0 e40494: b4349 - b4350 + b4359 >= 0 e40495: b4349 - b4350 + b4360 >= 0 e40496: b4349 - b4350 + b4361 >= 0 e40497: b4350 - b4351 + b4352 >= 0 e40498: b4350 - b4351 + b4353 >= 0 e40499: b4350 - b4351 + b4354 >= 0 e40500: b4350 - b4351 + b4355 >= 0 e40501: b4350 - b4351 + b4356 >= 0 e40502: b4350 - b4351 + b4357 >= 0 e40503: b4350 - b4351 + b4358 >= 0 e40504: b4350 - b4351 + b4359 >= 0 e40505: b4350 - b4351 + b4360 >= 0 e40506: b4350 - b4351 + b4361 >= 0 e40507: b4350 - b4351 + b4362 >= 0 e40508: b4351 - b4352 + b4353 >= 0 e40509: b4351 - b4352 + b4354 >= 0 e40510: b4351 - b4352 + b4355 >= 0 e40511: b4351 - b4352 + b4356 >= 0 e40512: b4351 - b4352 + b4357 >= 0 e40513: b4351 - b4352 + b4358 >= 0 e40514: b4351 - b4352 + b4359 >= 0 e40515: b4351 - b4352 + b4360 >= 0 e40516: b4351 - b4352 + b4361 >= 0 e40517: b4351 - b4352 + b4362 >= 0 e40518: b4351 - b4352 + b4363 >= 0 e40519: b4352 - b4353 + b4354 >= 0 e40520: b4352 - b4353 + b4355 >= 0 e40521: b4352 - b4353 + b4356 >= 0 e40522: b4352 - b4353 + b4357 >= 0 e40523: b4352 - b4353 + b4358 >= 0 e40524: b4352 - b4353 + b4359 >= 0 e40525: b4352 - b4353 + b4360 >= 0 e40526: b4352 - b4353 + b4361 >= 0 e40527: b4352 - b4353 + b4362 >= 0 e40528: b4352 - b4353 + b4363 >= 0 e40529: b4352 - b4353 + b4364 >= 0 e40530: b4353 - b4354 + b4355 >= 0 e40531: b4353 - b4354 + b4356 >= 0 e40532: b4353 - b4354 + b4357 >= 0 e40533: b4353 - b4354 + b4358 >= 0 e40534: b4353 - b4354 + b4359 >= 0 e40535: b4353 - b4354 + b4360 >= 0 e40536: b4353 - b4354 + b4361 >= 0 e40537: b4353 - b4354 + b4362 >= 0 e40538: b4353 - b4354 + b4363 >= 0 e40539: b4353 - b4354 + b4364 >= 0 e40540: b4353 - b4354 + b4365 >= 0 e40541: b4354 - b4355 + b4356 >= 0 e40542: b4354 - b4355 + b4357 >= 0 e40543: b4354 - b4355 + b4358 >= 0 e40544: b4354 - b4355 + b4359 >= 0 e40545: b4354 - b4355 + b4360 >= 0 e40546: b4354 - b4355 + b4361 >= 0 e40547: b4354 - b4355 + b4362 >= 0 e40548: b4354 - b4355 + b4363 >= 0 e40549: b4354 - b4355 + b4364 >= 0 e40550: b4354 - b4355 + b4365 >= 0 e40551: b4354 - b4355 + b4366 >= 0 e40552: b4355 - b4356 + b4357 >= 0 e40553: b4355 - b4356 + b4358 >= 0 e40554: b4355 - b4356 + b4359 >= 0 e40555: b4355 - b4356 + b4360 >= 0 e40556: b4355 - b4356 + b4361 >= 0 e40557: b4355 - b4356 + b4362 >= 0 e40558: b4355 - b4356 + b4363 >= 0 e40559: b4355 - b4356 + b4364 >= 0 e40560: b4355 - b4356 + b4365 >= 0 e40561: b4355 - b4356 + b4366 >= 0 e40562: b4355 - b4356 + b4367 >= 0 e40563: b4356 - b4357 + b4358 >= 0 e40564: b4356 - b4357 + b4359 >= 0 e40565: b4356 - b4357 + b4360 >= 0 e40566: b4356 - b4357 + b4361 >= 0 e40567: b4356 - b4357 + b4362 >= 0 e40568: b4356 - b4357 + b4363 >= 0 e40569: b4356 - b4357 + b4364 >= 0 e40570: b4356 - b4357 + b4365 >= 0 e40571: b4356 - b4357 + b4366 >= 0 e40572: b4356 - b4357 + b4367 >= 0 e40573: b4356 - b4357 + b4368 >= 0 e40574: b4357 - b4358 + b4359 >= 0 e40575: b4357 - b4358 + b4360 >= 0 e40576: b4357 - b4358 + b4361 >= 0 e40577: b4357 - b4358 + b4362 >= 0 e40578: b4357 - b4358 + b4363 >= 0 e40579: b4357 - b4358 + b4364 >= 0 e40580: b4357 - b4358 + b4365 >= 0 e40581: b4357 - b4358 + b4366 >= 0 e40582: b4357 - b4358 + b4367 >= 0 e40583: b4357 - b4358 + b4368 >= 0 e40584: b4357 - b4358 + b4369 >= 0 e40585: b4358 - b4359 + b4360 >= 0 e40586: b4358 - b4359 + b4361 >= 0 e40587: b4358 - b4359 + b4362 >= 0 e40588: b4358 - b4359 + b4363 >= 0 e40589: b4358 - b4359 + b4364 >= 0 e40590: b4358 - b4359 + b4365 >= 0 e40591: b4358 - b4359 + b4366 >= 0 e40592: b4358 - b4359 + b4367 >= 0 e40593: b4358 - b4359 + b4368 >= 0 e40594: b4358 - b4359 + b4369 >= 0 e40595: b4359 - b4360 + b4361 >= 0 e40596: b4359 - b4360 + b4362 >= 0 e40597: b4359 - b4360 + b4363 >= 0 e40598: b4359 - b4360 + b4364 >= 0 e40599: b4359 - b4360 + b4365 >= 0 e40600: b4359 - b4360 + b4366 >= 0 e40601: b4359 - b4360 + b4367 >= 0 e40602: b4359 - b4360 + b4368 >= 0 e40603: b4359 - b4360 + b4369 >= 0 e40604: b4360 - b4361 + b4362 >= 0 e40605: b4360 - b4361 + b4363 >= 0 e40606: b4360 - b4361 + b4364 >= 0 e40607: b4360 - b4361 + b4365 >= 0 e40608: b4360 - b4361 + b4366 >= 0 e40609: b4360 - b4361 + b4367 >= 0 e40610: b4360 - b4361 + b4368 >= 0 e40611: b4360 - b4361 + b4369 >= 0 e40612: b4361 - b4362 + b4363 >= 0 e40613: b4361 - b4362 + b4364 >= 0 e40614: b4361 - b4362 + b4365 >= 0 e40615: b4361 - b4362 + b4366 >= 0 e40616: b4361 - b4362 + b4367 >= 0 e40617: b4361 - b4362 + b4368 >= 0 e40618: b4361 - b4362 + b4369 >= 0 e40619: b4362 - b4363 + b4364 >= 0 e40620: b4362 - b4363 + b4365 >= 0 e40621: b4362 - b4363 + b4366 >= 0 e40622: b4362 - b4363 + b4367 >= 0 e40623: b4362 - b4363 + b4368 >= 0 e40624: b4362 - b4363 + b4369 >= 0 e40625: b4363 - b4364 + b4365 >= 0 e40626: b4363 - b4364 + b4366 >= 0 e40627: b4363 - b4364 + b4367 >= 0 e40628: b4363 - b4364 + b4368 >= 0 e40629: b4363 - b4364 + b4369 >= 0 e40630: b4364 - b4365 + b4366 >= 0 e40631: b4364 - b4365 + b4367 >= 0 e40632: b4364 - b4365 + b4368 >= 0 e40633: b4364 - b4365 + b4369 >= 0 e40634: b4365 - b4366 + b4367 >= 0 e40635: b4365 - b4366 + b4368 >= 0 e40636: b4365 - b4366 + b4369 >= 0 e40637: b4366 - b4367 + b4368 >= 0 e40638: b4366 - b4367 + b4369 >= 0 e40639: b4367 - b4368 + b4369 >= 0 e40640: b4370 = 0 e40641: b4371 = 0 e40642: b4371 - b4372 + b4373 <= 1 e40643: b4371 - b4372 + b4374 <= 1 e40644: b4371 - b4372 + b4375 <= 1 e40645: b4371 - b4372 + b4376 <= 1 e40646: b4371 - b4372 + b4377 <= 1 e40647: b4371 - b4372 + b4378 <= 1 e40648: b4371 - b4372 + b4379 <= 1 e40649: b4371 - b4372 + b4380 <= 1 e40650: b4371 - b4372 + b4381 <= 1 e40651: b4371 - b4372 + b4382 <= 1 e40652: b4371 - b4372 + b4383 <= 1 e40653: b4372 - b4373 + b4374 <= 1 e40654: b4372 - b4373 + b4375 <= 1 e40655: b4372 - b4373 + b4376 <= 1 e40656: b4372 - b4373 + b4377 <= 1 e40657: b4372 - b4373 + b4378 <= 1 e40658: b4372 - b4373 + b4379 <= 1 e40659: b4372 - b4373 + b4380 <= 1 e40660: b4372 - b4373 + b4381 <= 1 e40661: b4372 - b4373 + b4382 <= 1 e40662: b4372 - b4373 + b4383 <= 1 e40663: b4372 - b4373 + b4384 <= 1 e40664: b4373 - b4374 + b4375 <= 1 e40665: b4373 - b4374 + b4376 <= 1 e40666: b4373 - b4374 + b4377 <= 1 e40667: b4373 - b4374 + b4378 <= 1 e40668: b4373 - b4374 + b4379 <= 1 e40669: b4373 - b4374 + b4380 <= 1 e40670: b4373 - b4374 + b4381 <= 1 e40671: b4373 - b4374 + b4382 <= 1 e40672: b4373 - b4374 + b4383 <= 1 e40673: b4373 - b4374 + b4384 <= 1 e40674: b4373 - b4374 + b4385 <= 1 e40675: b4374 - b4375 + b4376 <= 1 e40676: b4374 - b4375 + b4377 <= 1 e40677: b4374 - b4375 + b4378 <= 1 e40678: b4374 - b4375 + b4379 <= 1 e40679: b4374 - b4375 + b4380 <= 1 e40680: b4374 - b4375 + b4381 <= 1 e40681: b4374 - b4375 + b4382 <= 1 e40682: b4374 - b4375 + b4383 <= 1 e40683: b4374 - b4375 + b4384 <= 1 e40684: b4374 - b4375 + b4385 <= 1 e40685: b4374 - b4375 + b4386 <= 1 e40686: b4375 - b4376 + b4377 <= 1 e40687: b4375 - b4376 + b4378 <= 1 e40688: b4375 - b4376 + b4379 <= 1 e40689: b4375 - b4376 + b4380 <= 1 e40690: b4375 - b4376 + b4381 <= 1 e40691: b4375 - b4376 + b4382 <= 1 e40692: b4375 - b4376 + b4383 <= 1 e40693: b4375 - b4376 + b4384 <= 1 e40694: b4375 - b4376 + b4385 <= 1 e40695: b4375 - b4376 + b4386 <= 1 e40696: b4375 - b4376 + b4387 <= 1 e40697: b4376 - b4377 + b4378 <= 1 e40698: b4376 - b4377 + b4379 <= 1 e40699: b4376 - b4377 + b4380 <= 1 e40700: b4376 - b4377 + b4381 <= 1 e40701: b4376 - b4377 + b4382 <= 1 e40702: b4376 - b4377 + b4383 <= 1 e40703: b4376 - b4377 + b4384 <= 1 e40704: b4376 - b4377 + b4385 <= 1 e40705: b4376 - b4377 + b4386 <= 1 e40706: b4376 - b4377 + b4387 <= 1 e40707: b4376 - b4377 + b4388 <= 1 e40708: b4377 - b4378 + b4379 <= 1 e40709: b4377 - b4378 + b4380 <= 1 e40710: b4377 - b4378 + b4381 <= 1 e40711: b4377 - b4378 + b4382 <= 1 e40712: b4377 - b4378 + b4383 <= 1 e40713: b4377 - b4378 + b4384 <= 1 e40714: b4377 - b4378 + b4385 <= 1 e40715: b4377 - b4378 + b4386 <= 1 e40716: b4377 - b4378 + b4387 <= 1 e40717: b4377 - b4378 + b4388 <= 1 e40718: b4377 - b4378 + b4389 <= 1 e40719: b4378 - b4379 + b4380 <= 1 e40720: b4378 - b4379 + b4381 <= 1 e40721: b4378 - b4379 + b4382 <= 1 e40722: b4378 - b4379 + b4383 <= 1 e40723: b4378 - b4379 + b4384 <= 1 e40724: b4378 - b4379 + b4385 <= 1 e40725: b4378 - b4379 + b4386 <= 1 e40726: b4378 - b4379 + b4387 <= 1 e40727: b4378 - b4379 + b4388 <= 1 e40728: b4378 - b4379 + b4389 <= 1 e40729: b4378 - b4379 + b4390 <= 1 e40730: b4379 - b4380 + b4381 <= 1 e40731: b4379 - b4380 + b4382 <= 1 e40732: b4379 - b4380 + b4383 <= 1 e40733: b4379 - b4380 + b4384 <= 1 e40734: b4379 - b4380 + b4385 <= 1 e40735: b4379 - b4380 + b4386 <= 1 e40736: b4379 - b4380 + b4387 <= 1 e40737: b4379 - b4380 + b4388 <= 1 e40738: b4379 - b4380 + b4389 <= 1 e40739: b4379 - b4380 + b4390 <= 1 e40740: b4379 - b4380 + b4391 <= 1 e40741: b4380 - b4381 + b4382 <= 1 e40742: b4380 - b4381 + b4383 <= 1 e40743: b4380 - b4381 + b4384 <= 1 e40744: b4380 - b4381 + b4385 <= 1 e40745: b4380 - b4381 + b4386 <= 1 e40746: b4380 - b4381 + b4387 <= 1 e40747: b4380 - b4381 + b4388 <= 1 e40748: b4380 - b4381 + b4389 <= 1 e40749: b4380 - b4381 + b4390 <= 1 e40750: b4380 - b4381 + b4391 <= 1 e40751: b4380 - b4381 + b4392 <= 1 e40752: b4381 - b4382 + b4383 <= 1 e40753: b4381 - b4382 + b4384 <= 1 e40754: b4381 - b4382 + b4385 <= 1 e40755: b4381 - b4382 + b4386 <= 1 e40756: b4381 - b4382 + b4387 <= 1 e40757: b4381 - b4382 + b4388 <= 1 e40758: b4381 - b4382 + b4389 <= 1 e40759: b4381 - b4382 + b4390 <= 1 e40760: b4381 - b4382 + b4391 <= 1 e40761: b4381 - b4382 + b4392 <= 1 e40762: b4381 - b4382 + b4393 <= 1 e40763: b4382 - b4383 + b4384 <= 1 e40764: b4382 - b4383 + b4385 <= 1 e40765: b4382 - b4383 + b4386 <= 1 e40766: b4382 - b4383 + b4387 <= 1 e40767: b4382 - b4383 + b4388 <= 1 e40768: b4382 - b4383 + b4389 <= 1 e40769: b4382 - b4383 + b4390 <= 1 e40770: b4382 - b4383 + b4391 <= 1 e40771: b4382 - b4383 + b4392 <= 1 e40772: b4382 - b4383 + b4393 <= 1 e40773: b4383 - b4384 + b4385 <= 1 e40774: b4383 - b4384 + b4386 <= 1 e40775: b4383 - b4384 + b4387 <= 1 e40776: b4383 - b4384 + b4388 <= 1 e40777: b4383 - b4384 + b4389 <= 1 e40778: b4383 - b4384 + b4390 <= 1 e40779: b4383 - b4384 + b4391 <= 1 e40780: b4383 - b4384 + b4392 <= 1 e40781: b4383 - b4384 + b4393 <= 1 e40782: b4384 - b4385 + b4386 <= 1 e40783: b4384 - b4385 + b4387 <= 1 e40784: b4384 - b4385 + b4388 <= 1 e40785: b4384 - b4385 + b4389 <= 1 e40786: b4384 - b4385 + b4390 <= 1 e40787: b4384 - b4385 + b4391 <= 1 e40788: b4384 - b4385 + b4392 <= 1 e40789: b4384 - b4385 + b4393 <= 1 e40790: b4385 - b4386 + b4387 <= 1 e40791: b4385 - b4386 + b4388 <= 1 e40792: b4385 - b4386 + b4389 <= 1 e40793: b4385 - b4386 + b4390 <= 1 e40794: b4385 - b4386 + b4391 <= 1 e40795: b4385 - b4386 + b4392 <= 1 e40796: b4385 - b4386 + b4393 <= 1 e40797: b4386 - b4387 + b4388 <= 1 e40798: b4386 - b4387 + b4389 <= 1 e40799: b4386 - b4387 + b4390 <= 1 e40800: b4386 - b4387 + b4391 <= 1 e40801: b4386 - b4387 + b4392 <= 1 e40802: b4386 - b4387 + b4393 <= 1 e40803: b4387 - b4388 + b4389 <= 1 e40804: b4387 - b4388 + b4390 <= 1 e40805: b4387 - b4388 + b4391 <= 1 e40806: b4387 - b4388 + b4392 <= 1 e40807: b4387 - b4388 + b4393 <= 1 e40808: b4388 - b4389 + b4390 <= 1 e40809: b4388 - b4389 + b4391 <= 1 e40810: b4388 - b4389 + b4392 <= 1 e40811: b4388 - b4389 + b4393 <= 1 e40812: b4389 - b4390 + b4391 <= 1 e40813: b4389 - b4390 + b4392 <= 1 e40814: b4389 - b4390 + b4393 <= 1 e40815: b4390 - b4391 + b4392 <= 1 e40816: b4390 - b4391 + b4393 <= 1 e40817: b4391 - b4392 + b4393 <= 1 e40818: b4371 - b4372 + b4373 >= 0 e40819: b4371 - b4372 + b4374 >= 0 e40820: b4371 - b4372 + b4375 >= 0 e40821: b4371 - b4372 + b4376 >= 0 e40822: b4371 - b4372 + b4377 >= 0 e40823: b4371 - b4372 + b4378 >= 0 e40824: b4371 - b4372 + b4379 >= 0 e40825: b4371 - b4372 + b4380 >= 0 e40826: b4371 - b4372 + b4381 >= 0 e40827: b4372 - b4373 + b4374 >= 0 e40828: b4372 - b4373 + b4375 >= 0 e40829: b4372 - b4373 + b4376 >= 0 e40830: b4372 - b4373 + b4377 >= 0 e40831: b4372 - b4373 + b4378 >= 0 e40832: b4372 - b4373 + b4379 >= 0 e40833: b4372 - b4373 + b4380 >= 0 e40834: b4372 - b4373 + b4381 >= 0 e40835: b4372 - b4373 + b4382 >= 0 e40836: b4373 - b4374 + b4375 >= 0 e40837: b4373 - b4374 + b4376 >= 0 e40838: b4373 - b4374 + b4377 >= 0 e40839: b4373 - b4374 + b4378 >= 0 e40840: b4373 - b4374 + b4379 >= 0 e40841: b4373 - b4374 + b4380 >= 0 e40842: b4373 - b4374 + b4381 >= 0 e40843: b4373 - b4374 + b4382 >= 0 e40844: b4373 - b4374 + b4383 >= 0 e40845: b4374 - b4375 + b4376 >= 0 e40846: b4374 - b4375 + b4377 >= 0 e40847: b4374 - b4375 + b4378 >= 0 e40848: b4374 - b4375 + b4379 >= 0 e40849: b4374 - b4375 + b4380 >= 0 e40850: b4374 - b4375 + b4381 >= 0 e40851: b4374 - b4375 + b4382 >= 0 e40852: b4374 - b4375 + b4383 >= 0 e40853: b4374 - b4375 + b4384 >= 0 e40854: b4375 - b4376 + b4377 >= 0 e40855: b4375 - b4376 + b4378 >= 0 e40856: b4375 - b4376 + b4379 >= 0 e40857: b4375 - b4376 + b4380 >= 0 e40858: b4375 - b4376 + b4381 >= 0 e40859: b4375 - b4376 + b4382 >= 0 e40860: b4375 - b4376 + b4383 >= 0 e40861: b4375 - b4376 + b4384 >= 0 e40862: b4375 - b4376 + b4385 >= 0 e40863: b4376 - b4377 + b4378 >= 0 e40864: b4376 - b4377 + b4379 >= 0 e40865: b4376 - b4377 + b4380 >= 0 e40866: b4376 - b4377 + b4381 >= 0 e40867: b4376 - b4377 + b4382 >= 0 e40868: b4376 - b4377 + b4383 >= 0 e40869: b4376 - b4377 + b4384 >= 0 e40870: b4376 - b4377 + b4385 >= 0 e40871: b4376 - b4377 + b4386 >= 0 e40872: b4377 - b4378 + b4379 >= 0 e40873: b4377 - b4378 + b4380 >= 0 e40874: b4377 - b4378 + b4381 >= 0 e40875: b4377 - b4378 + b4382 >= 0 e40876: b4377 - b4378 + b4383 >= 0 e40877: b4377 - b4378 + b4384 >= 0 e40878: b4377 - b4378 + b4385 >= 0 e40879: b4377 - b4378 + b4386 >= 0 e40880: b4377 - b4378 + b4387 >= 0 e40881: b4378 - b4379 + b4380 >= 0 e40882: b4378 - b4379 + b4381 >= 0 e40883: b4378 - b4379 + b4382 >= 0 e40884: b4378 - b4379 + b4383 >= 0 e40885: b4378 - b4379 + b4384 >= 0 e40886: b4378 - b4379 + b4385 >= 0 e40887: b4378 - b4379 + b4386 >= 0 e40888: b4378 - b4379 + b4387 >= 0 e40889: b4378 - b4379 + b4388 >= 0 e40890: b4379 - b4380 + b4381 >= 0 e40891: b4379 - b4380 + b4382 >= 0 e40892: b4379 - b4380 + b4383 >= 0 e40893: b4379 - b4380 + b4384 >= 0 e40894: b4379 - b4380 + b4385 >= 0 e40895: b4379 - b4380 + b4386 >= 0 e40896: b4379 - b4380 + b4387 >= 0 e40897: b4379 - b4380 + b4388 >= 0 e40898: b4379 - b4380 + b4389 >= 0 e40899: b4380 - b4381 + b4382 >= 0 e40900: b4380 - b4381 + b4383 >= 0 e40901: b4380 - b4381 + b4384 >= 0 e40902: b4380 - b4381 + b4385 >= 0 e40903: b4380 - b4381 + b4386 >= 0 e40904: b4380 - b4381 + b4387 >= 0 e40905: b4380 - b4381 + b4388 >= 0 e40906: b4380 - b4381 + b4389 >= 0 e40907: b4380 - b4381 + b4390 >= 0 e40908: b4381 - b4382 + b4383 >= 0 e40909: b4381 - b4382 + b4384 >= 0 e40910: b4381 - b4382 + b4385 >= 0 e40911: b4381 - b4382 + b4386 >= 0 e40912: b4381 - b4382 + b4387 >= 0 e40913: b4381 - b4382 + b4388 >= 0 e40914: b4381 - b4382 + b4389 >= 0 e40915: b4381 - b4382 + b4390 >= 0 e40916: b4381 - b4382 + b4391 >= 0 e40917: b4382 - b4383 + b4384 >= 0 e40918: b4382 - b4383 + b4385 >= 0 e40919: b4382 - b4383 + b4386 >= 0 e40920: b4382 - b4383 + b4387 >= 0 e40921: b4382 - b4383 + b4388 >= 0 e40922: b4382 - b4383 + b4389 >= 0 e40923: b4382 - b4383 + b4390 >= 0 e40924: b4382 - b4383 + b4391 >= 0 e40925: b4382 - b4383 + b4392 >= 0 e40926: b4383 - b4384 + b4385 >= 0 e40927: b4383 - b4384 + b4386 >= 0 e40928: b4383 - b4384 + b4387 >= 0 e40929: b4383 - b4384 + b4388 >= 0 e40930: b4383 - b4384 + b4389 >= 0 e40931: b4383 - b4384 + b4390 >= 0 e40932: b4383 - b4384 + b4391 >= 0 e40933: b4383 - b4384 + b4392 >= 0 e40934: b4383 - b4384 + b4393 >= 0 e40935: b4384 - b4385 + b4386 >= 0 e40936: b4384 - b4385 + b4387 >= 0 e40937: b4384 - b4385 + b4388 >= 0 e40938: b4384 - b4385 + b4389 >= 0 e40939: b4384 - b4385 + b4390 >= 0 e40940: b4384 - b4385 + b4391 >= 0 e40941: b4384 - b4385 + b4392 >= 0 e40942: b4384 - b4385 + b4393 >= 0 e40943: b4385 - b4386 + b4387 >= 0 e40944: b4385 - b4386 + b4388 >= 0 e40945: b4385 - b4386 + b4389 >= 0 e40946: b4385 - b4386 + b4390 >= 0 e40947: b4385 - b4386 + b4391 >= 0 e40948: b4385 - b4386 + b4392 >= 0 e40949: b4385 - b4386 + b4393 >= 0 e40950: b4386 - b4387 + b4388 >= 0 e40951: b4386 - b4387 + b4389 >= 0 e40952: b4386 - b4387 + b4390 >= 0 e40953: b4386 - b4387 + b4391 >= 0 e40954: b4386 - b4387 + b4392 >= 0 e40955: b4386 - b4387 + b4393 >= 0 e40956: b4387 - b4388 + b4389 >= 0 e40957: b4387 - b4388 + b4390 >= 0 e40958: b4387 - b4388 + b4391 >= 0 e40959: b4387 - b4388 + b4392 >= 0 e40960: b4387 - b4388 + b4393 >= 0 e40961: b4388 - b4389 + b4390 >= 0 e40962: b4388 - b4389 + b4391 >= 0 e40963: b4388 - b4389 + b4392 >= 0 e40964: b4388 - b4389 + b4393 >= 0 e40965: b4389 - b4390 + b4391 >= 0 e40966: b4389 - b4390 + b4392 >= 0 e40967: b4389 - b4390 + b4393 >= 0 e40968: b4390 - b4391 + b4392 >= 0 e40969: b4390 - b4391 + b4393 >= 0 e40970: b4391 - b4392 + b4393 >= 0 e40971: b4394 = 1 e40972: b4395 = 1 e40973: b4396 = 1 e40974: b4397 = 1 e40975: b4398 = 1 e40976: b4399 = 1 e40977: b4400 = 1 e40978: b4401 = 1 e40979: b4402 = 1 e40980: b4402 - b4403 + b4404 <= 1 e40981: b4402 - b4403 + b4405 <= 1 e40982: b4402 - b4403 + b4406 <= 1 e40983: b4402 - b4403 + b4407 <= 1 e40984: b4402 - b4403 + b4408 <= 1 e40985: b4402 - b4403 + b4409 <= 1 e40986: b4402 - b4403 + b4410 <= 1 e40987: b4402 - b4403 + b4411 <= 1 e40988: b4402 - b4403 + b4412 <= 1 e40989: b4403 - b4404 + b4405 <= 1 e40990: b4403 - b4404 + b4406 <= 1 e40991: b4403 - b4404 + b4407 <= 1 e40992: b4403 - b4404 + b4408 <= 1 e40993: b4403 - b4404 + b4409 <= 1 e40994: b4403 - b4404 + b4410 <= 1 e40995: b4403 - b4404 + b4411 <= 1 e40996: b4403 - b4404 + b4412 <= 1 e40997: b4403 - b4404 + b4413 <= 1 e40998: b4404 - b4405 + b4406 <= 1 e40999: b4404 - b4405 + b4407 <= 1 e41000: b4404 - b4405 + b4408 <= 1 e41001: b4404 - b4405 + b4409 <= 1 e41002: b4404 - b4405 + b4410 <= 1 e41003: b4404 - b4405 + b4411 <= 1 e41004: b4404 - b4405 + b4412 <= 1 e41005: b4404 - b4405 + b4413 <= 1 e41006: b4404 - b4405 + b4414 <= 1 e41007: b4405 - b4406 + b4407 <= 1 e41008: b4405 - b4406 + b4408 <= 1 e41009: b4405 - b4406 + b4409 <= 1 e41010: b4405 - b4406 + b4410 <= 1 e41011: b4405 - b4406 + b4411 <= 1 e41012: b4405 - b4406 + b4412 <= 1 e41013: b4405 - b4406 + b4413 <= 1 e41014: b4405 - b4406 + b4414 <= 1 e41015: b4405 - b4406 + b4415 <= 1 e41016: b4406 - b4407 + b4408 <= 1 e41017: b4406 - b4407 + b4409 <= 1 e41018: b4406 - b4407 + b4410 <= 1 e41019: b4406 - b4407 + b4411 <= 1 e41020: b4406 - b4407 + b4412 <= 1 e41021: b4406 - b4407 + b4413 <= 1 e41022: b4406 - b4407 + b4414 <= 1 e41023: b4406 - b4407 + b4415 <= 1 e41024: b4406 - b4407 + b4416 <= 1 e41025: b4407 - b4408 + b4409 <= 1 e41026: b4407 - b4408 + b4410 <= 1 e41027: b4407 - b4408 + b4411 <= 1 e41028: b4407 - b4408 + b4412 <= 1 e41029: b4407 - b4408 + b4413 <= 1 e41030: b4407 - b4408 + b4414 <= 1 e41031: b4407 - b4408 + b4415 <= 1 e41032: b4407 - b4408 + b4416 <= 1 e41033: b4407 - b4408 + b4417 <= 1 e41034: b4408 - b4409 + b4410 <= 1 e41035: b4408 - b4409 + b4411 <= 1 e41036: b4408 - b4409 + b4412 <= 1 e41037: b4408 - b4409 + b4413 <= 1 e41038: b4408 - b4409 + b4414 <= 1 e41039: b4408 - b4409 + b4415 <= 1 e41040: b4408 - b4409 + b4416 <= 1 e41041: b4408 - b4409 + b4417 <= 1 e41042: b4409 - b4410 + b4411 <= 1 e41043: b4409 - b4410 + b4412 <= 1 e41044: b4409 - b4410 + b4413 <= 1 e41045: b4409 - b4410 + b4414 <= 1 e41046: b4409 - b4410 + b4415 <= 1 e41047: b4409 - b4410 + b4416 <= 1 e41048: b4409 - b4410 + b4417 <= 1 e41049: b4410 - b4411 + b4412 <= 1 e41050: b4410 - b4411 + b4413 <= 1 e41051: b4410 - b4411 + b4414 <= 1 e41052: b4410 - b4411 + b4415 <= 1 e41053: b4410 - b4411 + b4416 <= 1 e41054: b4410 - b4411 + b4417 <= 1 e41055: b4411 - b4412 + b4413 <= 1 e41056: b4411 - b4412 + b4414 <= 1 e41057: b4411 - b4412 + b4415 <= 1 e41058: b4411 - b4412 + b4416 <= 1 e41059: b4411 - b4412 + b4417 <= 1 e41060: b4412 - b4413 + b4414 <= 1 e41061: b4412 - b4413 + b4415 <= 1 e41062: b4412 - b4413 + b4416 <= 1 e41063: b4412 - b4413 + b4417 <= 1 e41064: b4413 - b4414 + b4415 <= 1 e41065: b4413 - b4414 + b4416 <= 1 e41066: b4413 - b4414 + b4417 <= 1 e41067: b4414 - b4415 + b4416 <= 1 e41068: b4414 - b4415 + b4417 <= 1 e41069: b4415 - b4416 + b4417 <= 1 e41070: b4402 - b4403 + b4404 >= 0 e41071: b4402 - b4403 + b4405 >= 0 e41072: b4402 - b4403 + b4406 >= 0 e41073: b4402 - b4403 + b4407 >= 0 e41074: b4402 - b4403 + b4408 >= 0 e41075: b4402 - b4403 + b4409 >= 0 e41076: b4402 - b4403 + b4410 >= 0 e41077: b4402 - b4403 + b4411 >= 0 e41078: b4402 - b4403 + b4412 >= 0 e41079: b4402 - b4403 + b4413 >= 0 e41080: b4402 - b4403 + b4414 >= 0 e41081: b4402 - b4403 + b4415 >= 0 e41082: b4402 - b4403 + b4416 >= 0 e41083: b4403 - b4404 + b4405 >= 0 e41084: b4403 - b4404 + b4406 >= 0 e41085: b4403 - b4404 + b4407 >= 0 e41086: b4403 - b4404 + b4408 >= 0 e41087: b4403 - b4404 + b4409 >= 0 e41088: b4403 - b4404 + b4410 >= 0 e41089: b4403 - b4404 + b4411 >= 0 e41090: b4403 - b4404 + b4412 >= 0 e41091: b4403 - b4404 + b4413 >= 0 e41092: b4403 - b4404 + b4414 >= 0 e41093: b4403 - b4404 + b4415 >= 0 e41094: b4403 - b4404 + b4416 >= 0 e41095: b4403 - b4404 + b4417 >= 0 e41096: b4404 - b4405 + b4406 >= 0 e41097: b4404 - b4405 + b4407 >= 0 e41098: b4404 - b4405 + b4408 >= 0 e41099: b4404 - b4405 + b4409 >= 0 e41100: b4404 - b4405 + b4410 >= 0 e41101: b4404 - b4405 + b4411 >= 0 e41102: b4404 - b4405 + b4412 >= 0 e41103: b4404 - b4405 + b4413 >= 0 e41104: b4404 - b4405 + b4414 >= 0 e41105: b4404 - b4405 + b4415 >= 0 e41106: b4404 - b4405 + b4416 >= 0 e41107: b4404 - b4405 + b4417 >= 0 e41108: b4405 - b4406 + b4407 >= 0 e41109: b4405 - b4406 + b4408 >= 0 e41110: b4405 - b4406 + b4409 >= 0 e41111: b4405 - b4406 + b4410 >= 0 e41112: b4405 - b4406 + b4411 >= 0 e41113: b4405 - b4406 + b4412 >= 0 e41114: b4405 - b4406 + b4413 >= 0 e41115: b4405 - b4406 + b4414 >= 0 e41116: b4405 - b4406 + b4415 >= 0 e41117: b4405 - b4406 + b4416 >= 0 e41118: b4405 - b4406 + b4417 >= 0 e41119: b4406 - b4407 + b4408 >= 0 e41120: b4406 - b4407 + b4409 >= 0 e41121: b4406 - b4407 + b4410 >= 0 e41122: b4406 - b4407 + b4411 >= 0 e41123: b4406 - b4407 + b4412 >= 0 e41124: b4406 - b4407 + b4413 >= 0 e41125: b4406 - b4407 + b4414 >= 0 e41126: b4406 - b4407 + b4415 >= 0 e41127: b4406 - b4407 + b4416 >= 0 e41128: b4406 - b4407 + b4417 >= 0 e41129: b4407 - b4408 + b4409 >= 0 e41130: b4407 - b4408 + b4410 >= 0 e41131: b4407 - b4408 + b4411 >= 0 e41132: b4407 - b4408 + b4412 >= 0 e41133: b4407 - b4408 + b4413 >= 0 e41134: b4407 - b4408 + b4414 >= 0 e41135: b4407 - b4408 + b4415 >= 0 e41136: b4407 - b4408 + b4416 >= 0 e41137: b4407 - b4408 + b4417 >= 0 e41138: b4408 - b4409 + b4410 >= 0 e41139: b4408 - b4409 + b4411 >= 0 e41140: b4408 - b4409 + b4412 >= 0 e41141: b4408 - b4409 + b4413 >= 0 e41142: b4408 - b4409 + b4414 >= 0 e41143: b4408 - b4409 + b4415 >= 0 e41144: b4408 - b4409 + b4416 >= 0 e41145: b4408 - b4409 + b4417 >= 0 e41146: b4409 - b4410 + b4411 >= 0 e41147: b4409 - b4410 + b4412 >= 0 e41148: b4409 - b4410 + b4413 >= 0 e41149: b4409 - b4410 + b4414 >= 0 e41150: b4409 - b4410 + b4415 >= 0 e41151: b4409 - b4410 + b4416 >= 0 e41152: b4409 - b4410 + b4417 >= 0 e41153: b4410 - b4411 + b4412 >= 0 e41154: b4410 - b4411 + b4413 >= 0 e41155: b4410 - b4411 + b4414 >= 0 e41156: b4410 - b4411 + b4415 >= 0 e41157: b4410 - b4411 + b4416 >= 0 e41158: b4410 - b4411 + b4417 >= 0 e41159: b4411 - b4412 + b4413 >= 0 e41160: b4411 - b4412 + b4414 >= 0 e41161: b4411 - b4412 + b4415 >= 0 e41162: b4411 - b4412 + b4416 >= 0 e41163: b4411 - b4412 + b4417 >= 0 e41164: b4412 - b4413 + b4414 >= 0 e41165: b4412 - b4413 + b4415 >= 0 e41166: b4412 - b4413 + b4416 >= 0 e41167: b4412 - b4413 + b4417 >= 0 e41168: b4413 - b4414 + b4415 >= 0 e41169: b4413 - b4414 + b4416 >= 0 e41170: b4413 - b4414 + b4417 >= 0 e41171: b4414 - b4415 + b4416 >= 0 e41172: b4414 - b4415 + b4417 >= 0 e41173: b4415 - b4416 + b4417 >= 0 e41174: b4418 = 1 e41175: b4419 = 1 e41176: b4420 = 1 e41177: b4421 = 1 e41178: b4422 = 1 e41179: b4423 = 1 e41180: b4424 = 1 e41181: b4424 - b4425 + b4426 <= 1 e41182: b4424 - b4425 + b4427 <= 1 e41183: b4424 - b4425 + b4428 <= 1 e41184: b4424 - b4425 + b4429 <= 1 e41185: b4424 - b4425 + b4430 <= 1 e41186: b4424 - b4425 + b4431 <= 1 e41187: b4424 - b4425 + b4432 <= 1 e41188: b4424 - b4425 + b4433 <= 1 e41189: b4424 - b4425 + b4434 <= 1 e41190: b4424 - b4425 + b4435 <= 1 e41191: b4424 - b4425 + b4436 <= 1 e41192: b4424 - b4425 + b4437 <= 1 e41193: b4425 - b4426 + b4427 <= 1 e41194: b4425 - b4426 + b4428 <= 1 e41195: b4425 - b4426 + b4429 <= 1 e41196: b4425 - b4426 + b4430 <= 1 e41197: b4425 - b4426 + b4431 <= 1 e41198: b4425 - b4426 + b4432 <= 1 e41199: b4425 - b4426 + b4433 <= 1 e41200: b4425 - b4426 + b4434 <= 1 e41201: b4425 - b4426 + b4435 <= 1 e41202: b4425 - b4426 + b4436 <= 1 e41203: b4425 - b4426 + b4437 <= 1 e41204: b4425 - b4426 + b4438 <= 1 e41205: b4426 - b4427 + b4428 <= 1 e41206: b4426 - b4427 + b4429 <= 1 e41207: b4426 - b4427 + b4430 <= 1 e41208: b4426 - b4427 + b4431 <= 1 e41209: b4426 - b4427 + b4432 <= 1 e41210: b4426 - b4427 + b4433 <= 1 e41211: b4426 - b4427 + b4434 <= 1 e41212: b4426 - b4427 + b4435 <= 1 e41213: b4426 - b4427 + b4436 <= 1 e41214: b4426 - b4427 + b4437 <= 1 e41215: b4426 - b4427 + b4438 <= 1 e41216: b4426 - b4427 + b4439 <= 1 e41217: b4427 - b4428 + b4429 <= 1 e41218: b4427 - b4428 + b4430 <= 1 e41219: b4427 - b4428 + b4431 <= 1 e41220: b4427 - b4428 + b4432 <= 1 e41221: b4427 - b4428 + b4433 <= 1 e41222: b4427 - b4428 + b4434 <= 1 e41223: b4427 - b4428 + b4435 <= 1 e41224: b4427 - b4428 + b4436 <= 1 e41225: b4427 - b4428 + b4437 <= 1 e41226: b4427 - b4428 + b4438 <= 1 e41227: b4427 - b4428 + b4439 <= 1 e41228: b4427 - b4428 + b4440 <= 1 e41229: b4428 - b4429 + b4430 <= 1 e41230: b4428 - b4429 + b4431 <= 1 e41231: b4428 - b4429 + b4432 <= 1 e41232: b4428 - b4429 + b4433 <= 1 e41233: b4428 - b4429 + b4434 <= 1 e41234: b4428 - b4429 + b4435 <= 1 e41235: b4428 - b4429 + b4436 <= 1 e41236: b4428 - b4429 + b4437 <= 1 e41237: b4428 - b4429 + b4438 <= 1 e41238: b4428 - b4429 + b4439 <= 1 e41239: b4428 - b4429 + b4440 <= 1 e41240: b4428 - b4429 + b4441 <= 1 e41241: b4429 - b4430 + b4431 <= 1 e41242: b4429 - b4430 + b4432 <= 1 e41243: b4429 - b4430 + b4433 <= 1 e41244: b4429 - b4430 + b4434 <= 1 e41245: b4429 - b4430 + b4435 <= 1 e41246: b4429 - b4430 + b4436 <= 1 e41247: b4429 - b4430 + b4437 <= 1 e41248: b4429 - b4430 + b4438 <= 1 e41249: b4429 - b4430 + b4439 <= 1 e41250: b4429 - b4430 + b4440 <= 1 e41251: b4429 - b4430 + b4441 <= 1 e41252: b4430 - b4431 + b4432 <= 1 e41253: b4430 - b4431 + b4433 <= 1 e41254: b4430 - b4431 + b4434 <= 1 e41255: b4430 - b4431 + b4435 <= 1 e41256: b4430 - b4431 + b4436 <= 1 e41257: b4430 - b4431 + b4437 <= 1 e41258: b4430 - b4431 + b4438 <= 1 e41259: b4430 - b4431 + b4439 <= 1 e41260: b4430 - b4431 + b4440 <= 1 e41261: b4430 - b4431 + b4441 <= 1 e41262: b4431 - b4432 + b4433 <= 1 e41263: b4431 - b4432 + b4434 <= 1 e41264: b4431 - b4432 + b4435 <= 1 e41265: b4431 - b4432 + b4436 <= 1 e41266: b4431 - b4432 + b4437 <= 1 e41267: b4431 - b4432 + b4438 <= 1 e41268: b4431 - b4432 + b4439 <= 1 e41269: b4431 - b4432 + b4440 <= 1 e41270: b4431 - b4432 + b4441 <= 1 e41271: b4432 - b4433 + b4434 <= 1 e41272: b4432 - b4433 + b4435 <= 1 e41273: b4432 - b4433 + b4436 <= 1 e41274: b4432 - b4433 + b4437 <= 1 e41275: b4432 - b4433 + b4438 <= 1 e41276: b4432 - b4433 + b4439 <= 1 e41277: b4432 - b4433 + b4440 <= 1 e41278: b4432 - b4433 + b4441 <= 1 e41279: b4433 - b4434 + b4435 <= 1 e41280: b4433 - b4434 + b4436 <= 1 e41281: b4433 - b4434 + b4437 <= 1 e41282: b4433 - b4434 + b4438 <= 1 e41283: b4433 - b4434 + b4439 <= 1 e41284: b4433 - b4434 + b4440 <= 1 e41285: b4433 - b4434 + b4441 <= 1 e41286: b4434 - b4435 + b4436 <= 1 e41287: b4434 - b4435 + b4437 <= 1 e41288: b4434 - b4435 + b4438 <= 1 e41289: b4434 - b4435 + b4439 <= 1 e41290: b4434 - b4435 + b4440 <= 1 e41291: b4434 - b4435 + b4441 <= 1 e41292: b4435 - b4436 + b4437 <= 1 e41293: b4435 - b4436 + b4438 <= 1 e41294: b4435 - b4436 + b4439 <= 1 e41295: b4435 - b4436 + b4440 <= 1 e41296: b4435 - b4436 + b4441 <= 1 e41297: b4436 - b4437 + b4438 <= 1 e41298: b4436 - b4437 + b4439 <= 1 e41299: b4436 - b4437 + b4440 <= 1 e41300: b4436 - b4437 + b4441 <= 1 e41301: b4437 - b4438 + b4439 <= 1 e41302: b4437 - b4438 + b4440 <= 1 e41303: b4437 - b4438 + b4441 <= 1 e41304: b4438 - b4439 + b4440 <= 1 e41305: b4438 - b4439 + b4441 <= 1 e41306: b4439 - b4440 + b4441 <= 1 e41307: b4424 - b4425 + b4426 >= 0 e41308: b4424 - b4425 + b4427 >= 0 e41309: b4424 - b4425 + b4428 >= 0 e41310: b4424 - b4425 + b4429 >= 0 e41311: b4424 - b4425 + b4430 >= 0 e41312: b4424 - b4425 + b4431 >= 0 e41313: b4424 - b4425 + b4432 >= 0 e41314: b4424 - b4425 + b4433 >= 0 e41315: b4424 - b4425 + b4434 >= 0 e41316: b4424 - b4425 + b4435 >= 0 e41317: b4425 - b4426 + b4427 >= 0 e41318: b4425 - b4426 + b4428 >= 0 e41319: b4425 - b4426 + b4429 >= 0 e41320: b4425 - b4426 + b4430 >= 0 e41321: b4425 - b4426 + b4431 >= 0 e41322: b4425 - b4426 + b4432 >= 0 e41323: b4425 - b4426 + b4433 >= 0 e41324: b4425 - b4426 + b4434 >= 0 e41325: b4425 - b4426 + b4435 >= 0 e41326: b4425 - b4426 + b4436 >= 0 e41327: b4426 - b4427 + b4428 >= 0 e41328: b4426 - b4427 + b4429 >= 0 e41329: b4426 - b4427 + b4430 >= 0 e41330: b4426 - b4427 + b4431 >= 0 e41331: b4426 - b4427 + b4432 >= 0 e41332: b4426 - b4427 + b4433 >= 0 e41333: b4426 - b4427 + b4434 >= 0 e41334: b4426 - b4427 + b4435 >= 0 e41335: b4426 - b4427 + b4436 >= 0 e41336: b4426 - b4427 + b4437 >= 0 e41337: b4427 - b4428 + b4429 >= 0 e41338: b4427 - b4428 + b4430 >= 0 e41339: b4427 - b4428 + b4431 >= 0 e41340: b4427 - b4428 + b4432 >= 0 e41341: b4427 - b4428 + b4433 >= 0 e41342: b4427 - b4428 + b4434 >= 0 e41343: b4427 - b4428 + b4435 >= 0 e41344: b4427 - b4428 + b4436 >= 0 e41345: b4427 - b4428 + b4437 >= 0 e41346: b4427 - b4428 + b4438 >= 0 e41347: b4428 - b4429 + b4430 >= 0 e41348: b4428 - b4429 + b4431 >= 0 e41349: b4428 - b4429 + b4432 >= 0 e41350: b4428 - b4429 + b4433 >= 0 e41351: b4428 - b4429 + b4434 >= 0 e41352: b4428 - b4429 + b4435 >= 0 e41353: b4428 - b4429 + b4436 >= 0 e41354: b4428 - b4429 + b4437 >= 0 e41355: b4428 - b4429 + b4438 >= 0 e41356: b4428 - b4429 + b4439 >= 0 e41357: b4429 - b4430 + b4431 >= 0 e41358: b4429 - b4430 + b4432 >= 0 e41359: b4429 - b4430 + b4433 >= 0 e41360: b4429 - b4430 + b4434 >= 0 e41361: b4429 - b4430 + b4435 >= 0 e41362: b4429 - b4430 + b4436 >= 0 e41363: b4429 - b4430 + b4437 >= 0 e41364: b4429 - b4430 + b4438 >= 0 e41365: b4429 - b4430 + b4439 >= 0 e41366: b4429 - b4430 + b4440 >= 0 e41367: b4430 - b4431 + b4432 >= 0 e41368: b4430 - b4431 + b4433 >= 0 e41369: b4430 - b4431 + b4434 >= 0 e41370: b4430 - b4431 + b4435 >= 0 e41371: b4430 - b4431 + b4436 >= 0 e41372: b4430 - b4431 + b4437 >= 0 e41373: b4430 - b4431 + b4438 >= 0 e41374: b4430 - b4431 + b4439 >= 0 e41375: b4430 - b4431 + b4440 >= 0 e41376: b4430 - b4431 + b4441 >= 0 e41377: b4431 - b4432 + b4433 >= 0 e41378: b4431 - b4432 + b4434 >= 0 e41379: b4431 - b4432 + b4435 >= 0 e41380: b4431 - b4432 + b4436 >= 0 e41381: b4431 - b4432 + b4437 >= 0 e41382: b4431 - b4432 + b4438 >= 0 e41383: b4431 - b4432 + b4439 >= 0 e41384: b4431 - b4432 + b4440 >= 0 e41385: b4431 - b4432 + b4441 >= 0 e41386: b4432 - b4433 + b4434 >= 0 e41387: b4432 - b4433 + b4435 >= 0 e41388: b4432 - b4433 + b4436 >= 0 e41389: b4432 - b4433 + b4437 >= 0 e41390: b4432 - b4433 + b4438 >= 0 e41391: b4432 - b4433 + b4439 >= 0 e41392: b4432 - b4433 + b4440 >= 0 e41393: b4432 - b4433 + b4441 >= 0 e41394: b4433 - b4434 + b4435 >= 0 e41395: b4433 - b4434 + b4436 >= 0 e41396: b4433 - b4434 + b4437 >= 0 e41397: b4433 - b4434 + b4438 >= 0 e41398: b4433 - b4434 + b4439 >= 0 e41399: b4433 - b4434 + b4440 >= 0 e41400: b4433 - b4434 + b4441 >= 0 e41401: b4434 - b4435 + b4436 >= 0 e41402: b4434 - b4435 + b4437 >= 0 e41403: b4434 - b4435 + b4438 >= 0 e41404: b4434 - b4435 + b4439 >= 0 e41405: b4434 - b4435 + b4440 >= 0 e41406: b4434 - b4435 + b4441 >= 0 e41407: b4435 - b4436 + b4437 >= 0 e41408: b4435 - b4436 + b4438 >= 0 e41409: b4435 - b4436 + b4439 >= 0 e41410: b4435 - b4436 + b4440 >= 0 e41411: b4435 - b4436 + b4441 >= 0 e41412: b4436 - b4437 + b4438 >= 0 e41413: b4436 - b4437 + b4439 >= 0 e41414: b4436 - b4437 + b4440 >= 0 e41415: b4436 - b4437 + b4441 >= 0 e41416: b4437 - b4438 + b4439 >= 0 e41417: b4437 - b4438 + b4440 >= 0 e41418: b4437 - b4438 + b4441 >= 0 e41419: b4438 - b4439 + b4440 >= 0 e41420: b4438 - b4439 + b4441 >= 0 e41421: b4439 - b4440 + b4441 >= 0 e41422: b4442 = 0 e41423: b4443 = 0 e41424: b4444 = 0 e41425: b4445 = 0 e41426: b4446 = 0 e41427: b4446 - b4447 + b4448 <= 1 e41428: b4446 - b4447 + b4449 <= 1 e41429: b4446 - b4447 + b4450 <= 1 e41430: b4446 - b4447 + b4451 <= 1 e41431: b4446 - b4447 + b4452 <= 1 e41432: b4446 - b4447 + b4453 <= 1 e41433: b4446 - b4447 + b4454 <= 1 e41434: b4446 - b4447 + b4455 <= 1 e41435: b4446 - b4447 + b4456 <= 1 e41436: b4446 - b4447 + b4457 <= 1 e41437: b4446 - b4447 + b4458 <= 1 e41438: b4447 - b4448 + b4449 <= 1 e41439: b4447 - b4448 + b4450 <= 1 e41440: b4447 - b4448 + b4451 <= 1 e41441: b4447 - b4448 + b4452 <= 1 e41442: b4447 - b4448 + b4453 <= 1 e41443: b4447 - b4448 + b4454 <= 1 e41444: b4447 - b4448 + b4455 <= 1 e41445: b4447 - b4448 + b4456 <= 1 e41446: b4447 - b4448 + b4457 <= 1 e41447: b4447 - b4448 + b4458 <= 1 e41448: b4447 - b4448 + b4459 <= 1 e41449: b4448 - b4449 + b4450 <= 1 e41450: b4448 - b4449 + b4451 <= 1 e41451: b4448 - b4449 + b4452 <= 1 e41452: b4448 - b4449 + b4453 <= 1 e41453: b4448 - b4449 + b4454 <= 1 e41454: b4448 - b4449 + b4455 <= 1 e41455: b4448 - b4449 + b4456 <= 1 e41456: b4448 - b4449 + b4457 <= 1 e41457: b4448 - b4449 + b4458 <= 1 e41458: b4448 - b4449 + b4459 <= 1 e41459: b4448 - b4449 + b4460 <= 1 e41460: b4449 - b4450 + b4451 <= 1 e41461: b4449 - b4450 + b4452 <= 1 e41462: b4449 - b4450 + b4453 <= 1 e41463: b4449 - b4450 + b4454 <= 1 e41464: b4449 - b4450 + b4455 <= 1 e41465: b4449 - b4450 + b4456 <= 1 e41466: b4449 - b4450 + b4457 <= 1 e41467: b4449 - b4450 + b4458 <= 1 e41468: b4449 - b4450 + b4459 <= 1 e41469: b4449 - b4450 + b4460 <= 1 e41470: b4449 - b4450 + b4461 <= 1 e41471: b4450 - b4451 + b4452 <= 1 e41472: b4450 - b4451 + b4453 <= 1 e41473: b4450 - b4451 + b4454 <= 1 e41474: b4450 - b4451 + b4455 <= 1 e41475: b4450 - b4451 + b4456 <= 1 e41476: b4450 - b4451 + b4457 <= 1 e41477: b4450 - b4451 + b4458 <= 1 e41478: b4450 - b4451 + b4459 <= 1 e41479: b4450 - b4451 + b4460 <= 1 e41480: b4450 - b4451 + b4461 <= 1 e41481: b4450 - b4451 + b4462 <= 1 e41482: b4451 - b4452 + b4453 <= 1 e41483: b4451 - b4452 + b4454 <= 1 e41484: b4451 - b4452 + b4455 <= 1 e41485: b4451 - b4452 + b4456 <= 1 e41486: b4451 - b4452 + b4457 <= 1 e41487: b4451 - b4452 + b4458 <= 1 e41488: b4451 - b4452 + b4459 <= 1 e41489: b4451 - b4452 + b4460 <= 1 e41490: b4451 - b4452 + b4461 <= 1 e41491: b4451 - b4452 + b4462 <= 1 e41492: b4451 - b4452 + b4463 <= 1 e41493: b4452 - b4453 + b4454 <= 1 e41494: b4452 - b4453 + b4455 <= 1 e41495: b4452 - b4453 + b4456 <= 1 e41496: b4452 - b4453 + b4457 <= 1 e41497: b4452 - b4453 + b4458 <= 1 e41498: b4452 - b4453 + b4459 <= 1 e41499: b4452 - b4453 + b4460 <= 1 e41500: b4452 - b4453 + b4461 <= 1 e41501: b4452 - b4453 + b4462 <= 1 e41502: b4452 - b4453 + b4463 <= 1 e41503: b4452 - b4453 + b4464 <= 1 e41504: b4453 - b4454 + b4455 <= 1 e41505: b4453 - b4454 + b4456 <= 1 e41506: b4453 - b4454 + b4457 <= 1 e41507: b4453 - b4454 + b4458 <= 1 e41508: b4453 - b4454 + b4459 <= 1 e41509: b4453 - b4454 + b4460 <= 1 e41510: b4453 - b4454 + b4461 <= 1 e41511: b4453 - b4454 + b4462 <= 1 e41512: b4453 - b4454 + b4463 <= 1 e41513: b4453 - b4454 + b4464 <= 1 e41514: b4453 - b4454 + b4465 <= 1 e41515: b4454 - b4455 + b4456 <= 1 e41516: b4454 - b4455 + b4457 <= 1 e41517: b4454 - b4455 + b4458 <= 1 e41518: b4454 - b4455 + b4459 <= 1 e41519: b4454 - b4455 + b4460 <= 1 e41520: b4454 - b4455 + b4461 <= 1 e41521: b4454 - b4455 + b4462 <= 1 e41522: b4454 - b4455 + b4463 <= 1 e41523: b4454 - b4455 + b4464 <= 1 e41524: b4454 - b4455 + b4465 <= 1 e41525: b4455 - b4456 + b4457 <= 1 e41526: b4455 - b4456 + b4458 <= 1 e41527: b4455 - b4456 + b4459 <= 1 e41528: b4455 - b4456 + b4460 <= 1 e41529: b4455 - b4456 + b4461 <= 1 e41530: b4455 - b4456 + b4462 <= 1 e41531: b4455 - b4456 + b4463 <= 1 e41532: b4455 - b4456 + b4464 <= 1 e41533: b4455 - b4456 + b4465 <= 1 e41534: b4456 - b4457 + b4458 <= 1 e41535: b4456 - b4457 + b4459 <= 1 e41536: b4456 - b4457 + b4460 <= 1 e41537: b4456 - b4457 + b4461 <= 1 e41538: b4456 - b4457 + b4462 <= 1 e41539: b4456 - b4457 + b4463 <= 1 e41540: b4456 - b4457 + b4464 <= 1 e41541: b4456 - b4457 + b4465 <= 1 e41542: b4457 - b4458 + b4459 <= 1 e41543: b4457 - b4458 + b4460 <= 1 e41544: b4457 - b4458 + b4461 <= 1 e41545: b4457 - b4458 + b4462 <= 1 e41546: b4457 - b4458 + b4463 <= 1 e41547: b4457 - b4458 + b4464 <= 1 e41548: b4457 - b4458 + b4465 <= 1 e41549: b4458 - b4459 + b4460 <= 1 e41550: b4458 - b4459 + b4461 <= 1 e41551: b4458 - b4459 + b4462 <= 1 e41552: b4458 - b4459 + b4463 <= 1 e41553: b4458 - b4459 + b4464 <= 1 e41554: b4458 - b4459 + b4465 <= 1 e41555: b4459 - b4460 + b4461 <= 1 e41556: b4459 - b4460 + b4462 <= 1 e41557: b4459 - b4460 + b4463 <= 1 e41558: b4459 - b4460 + b4464 <= 1 e41559: b4459 - b4460 + b4465 <= 1 e41560: b4460 - b4461 + b4462 <= 1 e41561: b4460 - b4461 + b4463 <= 1 e41562: b4460 - b4461 + b4464 <= 1 e41563: b4460 - b4461 + b4465 <= 1 e41564: b4461 - b4462 + b4463 <= 1 e41565: b4461 - b4462 + b4464 <= 1 e41566: b4461 - b4462 + b4465 <= 1 e41567: b4462 - b4463 + b4464 <= 1 e41568: b4462 - b4463 + b4465 <= 1 e41569: b4463 - b4464 + b4465 <= 1 e41570: b4446 - b4447 + b4448 >= 0 e41571: b4446 - b4447 + b4449 >= 0 e41572: b4446 - b4447 + b4450 >= 0 e41573: b4446 - b4447 + b4451 >= 0 e41574: b4446 - b4447 + b4452 >= 0 e41575: b4446 - b4447 + b4453 >= 0 e41576: b4446 - b4447 + b4454 >= 0 e41577: b4446 - b4447 + b4455 >= 0 e41578: b4446 - b4447 + b4456 >= 0 e41579: b4446 - b4447 + b4457 >= 0 e41580: b4447 - b4448 + b4449 >= 0 e41581: b4447 - b4448 + b4450 >= 0 e41582: b4447 - b4448 + b4451 >= 0 e41583: b4447 - b4448 + b4452 >= 0 e41584: b4447 - b4448 + b4453 >= 0 e41585: b4447 - b4448 + b4454 >= 0 e41586: b4447 - b4448 + b4455 >= 0 e41587: b4447 - b4448 + b4456 >= 0 e41588: b4447 - b4448 + b4457 >= 0 e41589: b4447 - b4448 + b4458 >= 0 e41590: b4448 - b4449 + b4450 >= 0 e41591: b4448 - b4449 + b4451 >= 0 e41592: b4448 - b4449 + b4452 >= 0 e41593: b4448 - b4449 + b4453 >= 0 e41594: b4448 - b4449 + b4454 >= 0 e41595: b4448 - b4449 + b4455 >= 0 e41596: b4448 - b4449 + b4456 >= 0 e41597: b4448 - b4449 + b4457 >= 0 e41598: b4448 - b4449 + b4458 >= 0 e41599: b4448 - b4449 + b4459 >= 0 e41600: b4449 - b4450 + b4451 >= 0 e41601: b4449 - b4450 + b4452 >= 0 e41602: b4449 - b4450 + b4453 >= 0 e41603: b4449 - b4450 + b4454 >= 0 e41604: b4449 - b4450 + b4455 >= 0 e41605: b4449 - b4450 + b4456 >= 0 e41606: b4449 - b4450 + b4457 >= 0 e41607: b4449 - b4450 + b4458 >= 0 e41608: b4449 - b4450 + b4459 >= 0 e41609: b4449 - b4450 + b4460 >= 0 e41610: b4450 - b4451 + b4452 >= 0 e41611: b4450 - b4451 + b4453 >= 0 e41612: b4450 - b4451 + b4454 >= 0 e41613: b4450 - b4451 + b4455 >= 0 e41614: b4450 - b4451 + b4456 >= 0 e41615: b4450 - b4451 + b4457 >= 0 e41616: b4450 - b4451 + b4458 >= 0 e41617: b4450 - b4451 + b4459 >= 0 e41618: b4450 - b4451 + b4460 >= 0 e41619: b4450 - b4451 + b4461 >= 0 e41620: b4451 - b4452 + b4453 >= 0 e41621: b4451 - b4452 + b4454 >= 0 e41622: b4451 - b4452 + b4455 >= 0 e41623: b4451 - b4452 + b4456 >= 0 e41624: b4451 - b4452 + b4457 >= 0 e41625: b4451 - b4452 + b4458 >= 0 e41626: b4451 - b4452 + b4459 >= 0 e41627: b4451 - b4452 + b4460 >= 0 e41628: b4451 - b4452 + b4461 >= 0 e41629: b4451 - b4452 + b4462 >= 0 e41630: b4452 - b4453 + b4454 >= 0 e41631: b4452 - b4453 + b4455 >= 0 e41632: b4452 - b4453 + b4456 >= 0 e41633: b4452 - b4453 + b4457 >= 0 e41634: b4452 - b4453 + b4458 >= 0 e41635: b4452 - b4453 + b4459 >= 0 e41636: b4452 - b4453 + b4460 >= 0 e41637: b4452 - b4453 + b4461 >= 0 e41638: b4452 - b4453 + b4462 >= 0 e41639: b4452 - b4453 + b4463 >= 0 e41640: b4453 - b4454 + b4455 >= 0 e41641: b4453 - b4454 + b4456 >= 0 e41642: b4453 - b4454 + b4457 >= 0 e41643: b4453 - b4454 + b4458 >= 0 e41644: b4453 - b4454 + b4459 >= 0 e41645: b4453 - b4454 + b4460 >= 0 e41646: b4453 - b4454 + b4461 >= 0 e41647: b4453 - b4454 + b4462 >= 0 e41648: b4453 - b4454 + b4463 >= 0 e41649: b4453 - b4454 + b4464 >= 0 e41650: b4454 - b4455 + b4456 >= 0 e41651: b4454 - b4455 + b4457 >= 0 e41652: b4454 - b4455 + b4458 >= 0 e41653: b4454 - b4455 + b4459 >= 0 e41654: b4454 - b4455 + b4460 >= 0 e41655: b4454 - b4455 + b4461 >= 0 e41656: b4454 - b4455 + b4462 >= 0 e41657: b4454 - b4455 + b4463 >= 0 e41658: b4454 - b4455 + b4464 >= 0 e41659: b4454 - b4455 + b4465 >= 0 e41660: b4455 - b4456 + b4457 >= 0 e41661: b4455 - b4456 + b4458 >= 0 e41662: b4455 - b4456 + b4459 >= 0 e41663: b4455 - b4456 + b4460 >= 0 e41664: b4455 - b4456 + b4461 >= 0 e41665: b4455 - b4456 + b4462 >= 0 e41666: b4455 - b4456 + b4463 >= 0 e41667: b4455 - b4456 + b4464 >= 0 e41668: b4455 - b4456 + b4465 >= 0 e41669: b4456 - b4457 + b4458 >= 0 e41670: b4456 - b4457 + b4459 >= 0 e41671: b4456 - b4457 + b4460 >= 0 e41672: b4456 - b4457 + b4461 >= 0 e41673: b4456 - b4457 + b4462 >= 0 e41674: b4456 - b4457 + b4463 >= 0 e41675: b4456 - b4457 + b4464 >= 0 e41676: b4456 - b4457 + b4465 >= 0 e41677: b4457 - b4458 + b4459 >= 0 e41678: b4457 - b4458 + b4460 >= 0 e41679: b4457 - b4458 + b4461 >= 0 e41680: b4457 - b4458 + b4462 >= 0 e41681: b4457 - b4458 + b4463 >= 0 e41682: b4457 - b4458 + b4464 >= 0 e41683: b4457 - b4458 + b4465 >= 0 e41684: b4458 - b4459 + b4460 >= 0 e41685: b4458 - b4459 + b4461 >= 0 e41686: b4458 - b4459 + b4462 >= 0 e41687: b4458 - b4459 + b4463 >= 0 e41688: b4458 - b4459 + b4464 >= 0 e41689: b4458 - b4459 + b4465 >= 0 e41690: b4459 - b4460 + b4461 >= 0 e41691: b4459 - b4460 + b4462 >= 0 e41692: b4459 - b4460 + b4463 >= 0 e41693: b4459 - b4460 + b4464 >= 0 e41694: b4459 - b4460 + b4465 >= 0 e41695: b4460 - b4461 + b4462 >= 0 e41696: b4460 - b4461 + b4463 >= 0 e41697: b4460 - b4461 + b4464 >= 0 e41698: b4460 - b4461 + b4465 >= 0 e41699: b4461 - b4462 + b4463 >= 0 e41700: b4461 - b4462 + b4464 >= 0 e41701: b4461 - b4462 + b4465 >= 0 e41702: b4462 - b4463 + b4464 >= 0 e41703: b4462 - b4463 + b4465 >= 0 e41704: b4463 - b4464 + b4465 >= 0 e41705: b4466 = 0 e41706: b4467 = 0 e41707: b4468 = 0 e41708: b4469 = 0 e41709: b4470 = 0 e41710: b4471 = 0 e41711: b4471 - b4472 + b4473 <= 1 e41712: b4471 - b4472 + b4474 <= 1 e41713: b4471 - b4472 + b4475 <= 1 e41714: b4471 - b4472 + b4476 <= 1 e41715: b4471 - b4472 + b4477 <= 1 e41716: b4471 - b4472 + b4478 <= 1 e41717: b4471 - b4472 + b4479 <= 1 e41718: b4471 - b4472 + b4480 <= 1 e41719: b4471 - b4472 + b4481 <= 1 e41720: b4471 - b4472 + b4482 <= 1 e41721: b4471 - b4472 + b4483 <= 1 e41722: b4471 - b4472 + b4484 <= 1 e41723: b4471 - b4472 + b4485 <= 1 e41724: b4472 - b4473 + b4474 <= 1 e41725: b4472 - b4473 + b4475 <= 1 e41726: b4472 - b4473 + b4476 <= 1 e41727: b4472 - b4473 + b4477 <= 1 e41728: b4472 - b4473 + b4478 <= 1 e41729: b4472 - b4473 + b4479 <= 1 e41730: b4472 - b4473 + b4480 <= 1 e41731: b4472 - b4473 + b4481 <= 1 e41732: b4472 - b4473 + b4482 <= 1 e41733: b4472 - b4473 + b4483 <= 1 e41734: b4472 - b4473 + b4484 <= 1 e41735: b4472 - b4473 + b4485 <= 1 e41736: b4472 - b4473 + b4486 <= 1 e41737: b4473 - b4474 + b4475 <= 1 e41738: b4473 - b4474 + b4476 <= 1 e41739: b4473 - b4474 + b4477 <= 1 e41740: b4473 - b4474 + b4478 <= 1 e41741: b4473 - b4474 + b4479 <= 1 e41742: b4473 - b4474 + b4480 <= 1 e41743: b4473 - b4474 + b4481 <= 1 e41744: b4473 - b4474 + b4482 <= 1 e41745: b4473 - b4474 + b4483 <= 1 e41746: b4473 - b4474 + b4484 <= 1 e41747: b4473 - b4474 + b4485 <= 1 e41748: b4473 - b4474 + b4486 <= 1 e41749: b4473 - b4474 + b4487 <= 1 e41750: b4474 - b4475 + b4476 <= 1 e41751: b4474 - b4475 + b4477 <= 1 e41752: b4474 - b4475 + b4478 <= 1 e41753: b4474 - b4475 + b4479 <= 1 e41754: b4474 - b4475 + b4480 <= 1 e41755: b4474 - b4475 + b4481 <= 1 e41756: b4474 - b4475 + b4482 <= 1 e41757: b4474 - b4475 + b4483 <= 1 e41758: b4474 - b4475 + b4484 <= 1 e41759: b4474 - b4475 + b4485 <= 1 e41760: b4474 - b4475 + b4486 <= 1 e41761: b4474 - b4475 + b4487 <= 1 e41762: b4474 - b4475 + b4488 <= 1 e41763: b4475 - b4476 + b4477 <= 1 e41764: b4475 - b4476 + b4478 <= 1 e41765: b4475 - b4476 + b4479 <= 1 e41766: b4475 - b4476 + b4480 <= 1 e41767: b4475 - b4476 + b4481 <= 1 e41768: b4475 - b4476 + b4482 <= 1 e41769: b4475 - b4476 + b4483 <= 1 e41770: b4475 - b4476 + b4484 <= 1 e41771: b4475 - b4476 + b4485 <= 1 e41772: b4475 - b4476 + b4486 <= 1 e41773: b4475 - b4476 + b4487 <= 1 e41774: b4475 - b4476 + b4488 <= 1 e41775: b4475 - b4476 + b4489 <= 1 e41776: b4476 - b4477 + b4478 <= 1 e41777: b4476 - b4477 + b4479 <= 1 e41778: b4476 - b4477 + b4480 <= 1 e41779: b4476 - b4477 + b4481 <= 1 e41780: b4476 - b4477 + b4482 <= 1 e41781: b4476 - b4477 + b4483 <= 1 e41782: b4476 - b4477 + b4484 <= 1 e41783: b4476 - b4477 + b4485 <= 1 e41784: b4476 - b4477 + b4486 <= 1 e41785: b4476 - b4477 + b4487 <= 1 e41786: b4476 - b4477 + b4488 <= 1 e41787: b4476 - b4477 + b4489 <= 1 e41788: b4477 - b4478 + b4479 <= 1 e41789: b4477 - b4478 + b4480 <= 1 e41790: b4477 - b4478 + b4481 <= 1 e41791: b4477 - b4478 + b4482 <= 1 e41792: b4477 - b4478 + b4483 <= 1 e41793: b4477 - b4478 + b4484 <= 1 e41794: b4477 - b4478 + b4485 <= 1 e41795: b4477 - b4478 + b4486 <= 1 e41796: b4477 - b4478 + b4487 <= 1 e41797: b4477 - b4478 + b4488 <= 1 e41798: b4477 - b4478 + b4489 <= 1 e41799: b4478 - b4479 + b4480 <= 1 e41800: b4478 - b4479 + b4481 <= 1 e41801: b4478 - b4479 + b4482 <= 1 e41802: b4478 - b4479 + b4483 <= 1 e41803: b4478 - b4479 + b4484 <= 1 e41804: b4478 - b4479 + b4485 <= 1 e41805: b4478 - b4479 + b4486 <= 1 e41806: b4478 - b4479 + b4487 <= 1 e41807: b4478 - b4479 + b4488 <= 1 e41808: b4478 - b4479 + b4489 <= 1 e41809: b4479 - b4480 + b4481 <= 1 e41810: b4479 - b4480 + b4482 <= 1 e41811: b4479 - b4480 + b4483 <= 1 e41812: b4479 - b4480 + b4484 <= 1 e41813: b4479 - b4480 + b4485 <= 1 e41814: b4479 - b4480 + b4486 <= 1 e41815: b4479 - b4480 + b4487 <= 1 e41816: b4479 - b4480 + b4488 <= 1 e41817: b4479 - b4480 + b4489 <= 1 e41818: b4480 - b4481 + b4482 <= 1 e41819: b4480 - b4481 + b4483 <= 1 e41820: b4480 - b4481 + b4484 <= 1 e41821: b4480 - b4481 + b4485 <= 1 e41822: b4480 - b4481 + b4486 <= 1 e41823: b4480 - b4481 + b4487 <= 1 e41824: b4480 - b4481 + b4488 <= 1 e41825: b4480 - b4481 + b4489 <= 1 e41826: b4481 - b4482 + b4483 <= 1 e41827: b4481 - b4482 + b4484 <= 1 e41828: b4481 - b4482 + b4485 <= 1 e41829: b4481 - b4482 + b4486 <= 1 e41830: b4481 - b4482 + b4487 <= 1 e41831: b4481 - b4482 + b4488 <= 1 e41832: b4481 - b4482 + b4489 <= 1 e41833: b4482 - b4483 + b4484 <= 1 e41834: b4482 - b4483 + b4485 <= 1 e41835: b4482 - b4483 + b4486 <= 1 e41836: b4482 - b4483 + b4487 <= 1 e41837: b4482 - b4483 + b4488 <= 1 e41838: b4482 - b4483 + b4489 <= 1 e41839: b4483 - b4484 + b4485 <= 1 e41840: b4483 - b4484 + b4486 <= 1 e41841: b4483 - b4484 + b4487 <= 1 e41842: b4483 - b4484 + b4488 <= 1 e41843: b4483 - b4484 + b4489 <= 1 e41844: b4484 - b4485 + b4486 <= 1 e41845: b4484 - b4485 + b4487 <= 1 e41846: b4484 - b4485 + b4488 <= 1 e41847: b4484 - b4485 + b4489 <= 1 e41848: b4485 - b4486 + b4487 <= 1 e41849: b4485 - b4486 + b4488 <= 1 e41850: b4485 - b4486 + b4489 <= 1 e41851: b4486 - b4487 + b4488 <= 1 e41852: b4486 - b4487 + b4489 <= 1 e41853: b4487 - b4488 + b4489 <= 1 e41854: b4471 - b4472 + b4473 >= 0 e41855: b4471 - b4472 + b4474 >= 0 e41856: b4471 - b4472 + b4475 >= 0 e41857: b4471 - b4472 + b4476 >= 0 e41858: b4471 - b4472 + b4477 >= 0 e41859: b4471 - b4472 + b4478 >= 0 e41860: b4471 - b4472 + b4479 >= 0 e41861: b4471 - b4472 + b4480 >= 0 e41862: b4471 - b4472 + b4481 >= 0 e41863: b4471 - b4472 + b4482 >= 0 e41864: b4472 - b4473 + b4474 >= 0 e41865: b4472 - b4473 + b4475 >= 0 e41866: b4472 - b4473 + b4476 >= 0 e41867: b4472 - b4473 + b4477 >= 0 e41868: b4472 - b4473 + b4478 >= 0 e41869: b4472 - b4473 + b4479 >= 0 e41870: b4472 - b4473 + b4480 >= 0 e41871: b4472 - b4473 + b4481 >= 0 e41872: b4472 - b4473 + b4482 >= 0 e41873: b4472 - b4473 + b4483 >= 0 e41874: b4473 - b4474 + b4475 >= 0 e41875: b4473 - b4474 + b4476 >= 0 e41876: b4473 - b4474 + b4477 >= 0 e41877: b4473 - b4474 + b4478 >= 0 e41878: b4473 - b4474 + b4479 >= 0 e41879: b4473 - b4474 + b4480 >= 0 e41880: b4473 - b4474 + b4481 >= 0 e41881: b4473 - b4474 + b4482 >= 0 e41882: b4473 - b4474 + b4483 >= 0 e41883: b4473 - b4474 + b4484 >= 0 e41884: b4474 - b4475 + b4476 >= 0 e41885: b4474 - b4475 + b4477 >= 0 e41886: b4474 - b4475 + b4478 >= 0 e41887: b4474 - b4475 + b4479 >= 0 e41888: b4474 - b4475 + b4480 >= 0 e41889: b4474 - b4475 + b4481 >= 0 e41890: b4474 - b4475 + b4482 >= 0 e41891: b4474 - b4475 + b4483 >= 0 e41892: b4474 - b4475 + b4484 >= 0 e41893: b4474 - b4475 + b4485 >= 0 e41894: b4475 - b4476 + b4477 >= 0 e41895: b4475 - b4476 + b4478 >= 0 e41896: b4475 - b4476 + b4479 >= 0 e41897: b4475 - b4476 + b4480 >= 0 e41898: b4475 - b4476 + b4481 >= 0 e41899: b4475 - b4476 + b4482 >= 0 e41900: b4475 - b4476 + b4483 >= 0 e41901: b4475 - b4476 + b4484 >= 0 e41902: b4475 - b4476 + b4485 >= 0 e41903: b4475 - b4476 + b4486 >= 0 e41904: b4476 - b4477 + b4478 >= 0 e41905: b4476 - b4477 + b4479 >= 0 e41906: b4476 - b4477 + b4480 >= 0 e41907: b4476 - b4477 + b4481 >= 0 e41908: b4476 - b4477 + b4482 >= 0 e41909: b4476 - b4477 + b4483 >= 0 e41910: b4476 - b4477 + b4484 >= 0 e41911: b4476 - b4477 + b4485 >= 0 e41912: b4476 - b4477 + b4486 >= 0 e41913: b4476 - b4477 + b4487 >= 0 e41914: b4477 - b4478 + b4479 >= 0 e41915: b4477 - b4478 + b4480 >= 0 e41916: b4477 - b4478 + b4481 >= 0 e41917: b4477 - b4478 + b4482 >= 0 e41918: b4477 - b4478 + b4483 >= 0 e41919: b4477 - b4478 + b4484 >= 0 e41920: b4477 - b4478 + b4485 >= 0 e41921: b4477 - b4478 + b4486 >= 0 e41922: b4477 - b4478 + b4487 >= 0 e41923: b4477 - b4478 + b4488 >= 0 e41924: b4478 - b4479 + b4480 >= 0 e41925: b4478 - b4479 + b4481 >= 0 e41926: b4478 - b4479 + b4482 >= 0 e41927: b4478 - b4479 + b4483 >= 0 e41928: b4478 - b4479 + b4484 >= 0 e41929: b4478 - b4479 + b4485 >= 0 e41930: b4478 - b4479 + b4486 >= 0 e41931: b4478 - b4479 + b4487 >= 0 e41932: b4478 - b4479 + b4488 >= 0 e41933: b4478 - b4479 + b4489 >= 0 e41934: b4479 - b4480 + b4481 >= 0 e41935: b4479 - b4480 + b4482 >= 0 e41936: b4479 - b4480 + b4483 >= 0 e41937: b4479 - b4480 + b4484 >= 0 e41938: b4479 - b4480 + b4485 >= 0 e41939: b4479 - b4480 + b4486 >= 0 e41940: b4479 - b4480 + b4487 >= 0 e41941: b4479 - b4480 + b4488 >= 0 e41942: b4479 - b4480 + b4489 >= 0 e41943: b4480 - b4481 + b4482 >= 0 e41944: b4480 - b4481 + b4483 >= 0 e41945: b4480 - b4481 + b4484 >= 0 e41946: b4480 - b4481 + b4485 >= 0 e41947: b4480 - b4481 + b4486 >= 0 e41948: b4480 - b4481 + b4487 >= 0 e41949: b4480 - b4481 + b4488 >= 0 e41950: b4480 - b4481 + b4489 >= 0 e41951: b4481 - b4482 + b4483 >= 0 e41952: b4481 - b4482 + b4484 >= 0 e41953: b4481 - b4482 + b4485 >= 0 e41954: b4481 - b4482 + b4486 >= 0 e41955: b4481 - b4482 + b4487 >= 0 e41956: b4481 - b4482 + b4488 >= 0 e41957: b4481 - b4482 + b4489 >= 0 e41958: b4482 - b4483 + b4484 >= 0 e41959: b4482 - b4483 + b4485 >= 0 e41960: b4482 - b4483 + b4486 >= 0 e41961: b4482 - b4483 + b4487 >= 0 e41962: b4482 - b4483 + b4488 >= 0 e41963: b4482 - b4483 + b4489 >= 0 e41964: b4483 - b4484 + b4485 >= 0 e41965: b4483 - b4484 + b4486 >= 0 e41966: b4483 - b4484 + b4487 >= 0 e41967: b4483 - b4484 + b4488 >= 0 e41968: b4483 - b4484 + b4489 >= 0 e41969: b4484 - b4485 + b4486 >= 0 e41970: b4484 - b4485 + b4487 >= 0 e41971: b4484 - b4485 + b4488 >= 0 e41972: b4484 - b4485 + b4489 >= 0 e41973: b4485 - b4486 + b4487 >= 0 e41974: b4485 - b4486 + b4488 >= 0 e41975: b4485 - b4486 + b4489 >= 0 e41976: b4486 - b4487 + b4488 >= 0 e41977: b4486 - b4487 + b4489 >= 0 e41978: b4487 - b4488 + b4489 >= 0 e41979: b4490 = 0 e41980: b4491 = 0 e41981: b4492 = 0 e41982: b4493 = 0 e41983: b4494 = 0 e41984: b4495 = 0 e41985: b4496 = 0 e41986: b4497 = 0 e41987: b4497 - b4498 + b4499 <= 1 e41988: b4497 - b4498 + b4500 <= 1 e41989: b4497 - b4498 + b4501 <= 1 e41990: b4497 - b4498 + b4502 <= 1 e41991: b4497 - b4498 + b4503 <= 1 e41992: b4497 - b4498 + b4504 <= 1 e41993: b4497 - b4498 + b4505 <= 1 e41994: b4497 - b4498 + b4506 <= 1 e41995: b4497 - b4498 + b4507 <= 1 e41996: b4497 - b4498 + b4508 <= 1 e41997: b4497 - b4498 + b4509 <= 1 e41998: b4498 - b4499 + b4500 <= 1 e41999: b4498 - b4499 + b4501 <= 1 e42000: b4498 - b4499 + b4502 <= 1 e42001: b4498 - b4499 + b4503 <= 1 e42002: b4498 - b4499 + b4504 <= 1 e42003: b4498 - b4499 + b4505 <= 1 e42004: b4498 - b4499 + b4506 <= 1 e42005: b4498 - b4499 + b4507 <= 1 e42006: b4498 - b4499 + b4508 <= 1 e42007: b4498 - b4499 + b4509 <= 1 e42008: b4498 - b4499 + b4510 <= 1 e42009: b4499 - b4500 + b4501 <= 1 e42010: b4499 - b4500 + b4502 <= 1 e42011: b4499 - b4500 + b4503 <= 1 e42012: b4499 - b4500 + b4504 <= 1 e42013: b4499 - b4500 + b4505 <= 1 e42014: b4499 - b4500 + b4506 <= 1 e42015: b4499 - b4500 + b4507 <= 1 e42016: b4499 - b4500 + b4508 <= 1 e42017: b4499 - b4500 + b4509 <= 1 e42018: b4499 - b4500 + b4510 <= 1 e42019: b4499 - b4500 + b4511 <= 1 e42020: b4500 - b4501 + b4502 <= 1 e42021: b4500 - b4501 + b4503 <= 1 e42022: b4500 - b4501 + b4504 <= 1 e42023: b4500 - b4501 + b4505 <= 1 e42024: b4500 - b4501 + b4506 <= 1 e42025: b4500 - b4501 + b4507 <= 1 e42026: b4500 - b4501 + b4508 <= 1 e42027: b4500 - b4501 + b4509 <= 1 e42028: b4500 - b4501 + b4510 <= 1 e42029: b4500 - b4501 + b4511 <= 1 e42030: b4500 - b4501 + b4512 <= 1 e42031: b4501 - b4502 + b4503 <= 1 e42032: b4501 - b4502 + b4504 <= 1 e42033: b4501 - b4502 + b4505 <= 1 e42034: b4501 - b4502 + b4506 <= 1 e42035: b4501 - b4502 + b4507 <= 1 e42036: b4501 - b4502 + b4508 <= 1 e42037: b4501 - b4502 + b4509 <= 1 e42038: b4501 - b4502 + b4510 <= 1 e42039: b4501 - b4502 + b4511 <= 1 e42040: b4501 - b4502 + b4512 <= 1 e42041: b4501 - b4502 + b4513 <= 1 e42042: b4502 - b4503 + b4504 <= 1 e42043: b4502 - b4503 + b4505 <= 1 e42044: b4502 - b4503 + b4506 <= 1 e42045: b4502 - b4503 + b4507 <= 1 e42046: b4502 - b4503 + b4508 <= 1 e42047: b4502 - b4503 + b4509 <= 1 e42048: b4502 - b4503 + b4510 <= 1 e42049: b4502 - b4503 + b4511 <= 1 e42050: b4502 - b4503 + b4512 <= 1 e42051: b4502 - b4503 + b4513 <= 1 e42052: b4503 - b4504 + b4505 <= 1 e42053: b4503 - b4504 + b4506 <= 1 e42054: b4503 - b4504 + b4507 <= 1 e42055: b4503 - b4504 + b4508 <= 1 e42056: b4503 - b4504 + b4509 <= 1 e42057: b4503 - b4504 + b4510 <= 1 e42058: b4503 - b4504 + b4511 <= 1 e42059: b4503 - b4504 + b4512 <= 1 e42060: b4503 - b4504 + b4513 <= 1 e42061: b4504 - b4505 + b4506 <= 1 e42062: b4504 - b4505 + b4507 <= 1 e42063: b4504 - b4505 + b4508 <= 1 e42064: b4504 - b4505 + b4509 <= 1 e42065: b4504 - b4505 + b4510 <= 1 e42066: b4504 - b4505 + b4511 <= 1 e42067: b4504 - b4505 + b4512 <= 1 e42068: b4504 - b4505 + b4513 <= 1 e42069: b4505 - b4506 + b4507 <= 1 e42070: b4505 - b4506 + b4508 <= 1 e42071: b4505 - b4506 + b4509 <= 1 e42072: b4505 - b4506 + b4510 <= 1 e42073: b4505 - b4506 + b4511 <= 1 e42074: b4505 - b4506 + b4512 <= 1 e42075: b4505 - b4506 + b4513 <= 1 e42076: b4506 - b4507 + b4508 <= 1 e42077: b4506 - b4507 + b4509 <= 1 e42078: b4506 - b4507 + b4510 <= 1 e42079: b4506 - b4507 + b4511 <= 1 e42080: b4506 - b4507 + b4512 <= 1 e42081: b4506 - b4507 + b4513 <= 1 e42082: b4507 - b4508 + b4509 <= 1 e42083: b4507 - b4508 + b4510 <= 1 e42084: b4507 - b4508 + b4511 <= 1 e42085: b4507 - b4508 + b4512 <= 1 e42086: b4507 - b4508 + b4513 <= 1 e42087: b4508 - b4509 + b4510 <= 1 e42088: b4508 - b4509 + b4511 <= 1 e42089: b4508 - b4509 + b4512 <= 1 e42090: b4508 - b4509 + b4513 <= 1 e42091: b4509 - b4510 + b4511 <= 1 e42092: b4509 - b4510 + b4512 <= 1 e42093: b4509 - b4510 + b4513 <= 1 e42094: b4510 - b4511 + b4512 <= 1 e42095: b4510 - b4511 + b4513 <= 1 e42096: b4511 - b4512 + b4513 <= 1 e42097: b4497 - b4498 + b4499 >= 0 e42098: b4497 - b4498 + b4500 >= 0 e42099: b4497 - b4498 + b4501 >= 0 e42100: b4497 - b4498 + b4502 >= 0 e42101: b4497 - b4498 + b4503 >= 0 e42102: b4497 - b4498 + b4504 >= 0 e42103: b4497 - b4498 + b4505 >= 0 e42104: b4497 - b4498 + b4506 >= 0 e42105: b4497 - b4498 + b4507 >= 0 e42106: b4497 - b4498 + b4508 >= 0 e42107: b4498 - b4499 + b4500 >= 0 e42108: b4498 - b4499 + b4501 >= 0 e42109: b4498 - b4499 + b4502 >= 0 e42110: b4498 - b4499 + b4503 >= 0 e42111: b4498 - b4499 + b4504 >= 0 e42112: b4498 - b4499 + b4505 >= 0 e42113: b4498 - b4499 + b4506 >= 0 e42114: b4498 - b4499 + b4507 >= 0 e42115: b4498 - b4499 + b4508 >= 0 e42116: b4498 - b4499 + b4509 >= 0 e42117: b4499 - b4500 + b4501 >= 0 e42118: b4499 - b4500 + b4502 >= 0 e42119: b4499 - b4500 + b4503 >= 0 e42120: b4499 - b4500 + b4504 >= 0 e42121: b4499 - b4500 + b4505 >= 0 e42122: b4499 - b4500 + b4506 >= 0 e42123: b4499 - b4500 + b4507 >= 0 e42124: b4499 - b4500 + b4508 >= 0 e42125: b4499 - b4500 + b4509 >= 0 e42126: b4499 - b4500 + b4510 >= 0 e42127: b4500 - b4501 + b4502 >= 0 e42128: b4500 - b4501 + b4503 >= 0 e42129: b4500 - b4501 + b4504 >= 0 e42130: b4500 - b4501 + b4505 >= 0 e42131: b4500 - b4501 + b4506 >= 0 e42132: b4500 - b4501 + b4507 >= 0 e42133: b4500 - b4501 + b4508 >= 0 e42134: b4500 - b4501 + b4509 >= 0 e42135: b4500 - b4501 + b4510 >= 0 e42136: b4500 - b4501 + b4511 >= 0 e42137: b4501 - b4502 + b4503 >= 0 e42138: b4501 - b4502 + b4504 >= 0 e42139: b4501 - b4502 + b4505 >= 0 e42140: b4501 - b4502 + b4506 >= 0 e42141: b4501 - b4502 + b4507 >= 0 e42142: b4501 - b4502 + b4508 >= 0 e42143: b4501 - b4502 + b4509 >= 0 e42144: b4501 - b4502 + b4510 >= 0 e42145: b4501 - b4502 + b4511 >= 0 e42146: b4501 - b4502 + b4512 >= 0 e42147: b4502 - b4503 + b4504 >= 0 e42148: b4502 - b4503 + b4505 >= 0 e42149: b4502 - b4503 + b4506 >= 0 e42150: b4502 - b4503 + b4507 >= 0 e42151: b4502 - b4503 + b4508 >= 0 e42152: b4502 - b4503 + b4509 >= 0 e42153: b4502 - b4503 + b4510 >= 0 e42154: b4502 - b4503 + b4511 >= 0 e42155: b4502 - b4503 + b4512 >= 0 e42156: b4502 - b4503 + b4513 >= 0 e42157: b4503 - b4504 + b4505 >= 0 e42158: b4503 - b4504 + b4506 >= 0 e42159: b4503 - b4504 + b4507 >= 0 e42160: b4503 - b4504 + b4508 >= 0 e42161: b4503 - b4504 + b4509 >= 0 e42162: b4503 - b4504 + b4510 >= 0 e42163: b4503 - b4504 + b4511 >= 0 e42164: b4503 - b4504 + b4512 >= 0 e42165: b4503 - b4504 + b4513 >= 0 e42166: b4504 - b4505 + b4506 >= 0 e42167: b4504 - b4505 + b4507 >= 0 e42168: b4504 - b4505 + b4508 >= 0 e42169: b4504 - b4505 + b4509 >= 0 e42170: b4504 - b4505 + b4510 >= 0 e42171: b4504 - b4505 + b4511 >= 0 e42172: b4504 - b4505 + b4512 >= 0 e42173: b4504 - b4505 + b4513 >= 0 e42174: b4505 - b4506 + b4507 >= 0 e42175: b4505 - b4506 + b4508 >= 0 e42176: b4505 - b4506 + b4509 >= 0 e42177: b4505 - b4506 + b4510 >= 0 e42178: b4505 - b4506 + b4511 >= 0 e42179: b4505 - b4506 + b4512 >= 0 e42180: b4505 - b4506 + b4513 >= 0 e42181: b4506 - b4507 + b4508 >= 0 e42182: b4506 - b4507 + b4509 >= 0 e42183: b4506 - b4507 + b4510 >= 0 e42184: b4506 - b4507 + b4511 >= 0 e42185: b4506 - b4507 + b4512 >= 0 e42186: b4506 - b4507 + b4513 >= 0 e42187: b4507 - b4508 + b4509 >= 0 e42188: b4507 - b4508 + b4510 >= 0 e42189: b4507 - b4508 + b4511 >= 0 e42190: b4507 - b4508 + b4512 >= 0 e42191: b4507 - b4508 + b4513 >= 0 e42192: b4508 - b4509 + b4510 >= 0 e42193: b4508 - b4509 + b4511 >= 0 e42194: b4508 - b4509 + b4512 >= 0 e42195: b4508 - b4509 + b4513 >= 0 e42196: b4509 - b4510 + b4511 >= 0 e42197: b4509 - b4510 + b4512 >= 0 e42198: b4509 - b4510 + b4513 >= 0 e42199: b4510 - b4511 + b4512 >= 0 e42200: b4510 - b4511 + b4513 >= 0 e42201: b4511 - b4512 + b4513 >= 0 e42202: b4514 = 0 e42203: b4515 = 0 e42204: b4516 = 0 e42205: b4517 = 0 e42206: b4518 = 0 e42207: b4518 - b4519 + b4520 <= 1 e42208: b4518 - b4519 + b4521 <= 1 e42209: b4518 - b4519 + b4522 <= 1 e42210: b4518 - b4519 + b4523 <= 1 e42211: b4518 - b4519 + b4524 <= 1 e42212: b4518 - b4519 + b4525 <= 1 e42213: b4518 - b4519 + b4526 <= 1 e42214: b4518 - b4519 + b4527 <= 1 e42215: b4518 - b4519 + b4528 <= 1 e42216: b4518 - b4519 + b4529 <= 1 e42217: b4518 - b4519 + b4530 <= 1 e42218: b4518 - b4519 + b4531 <= 1 e42219: b4519 - b4520 + b4521 <= 1 e42220: b4519 - b4520 + b4522 <= 1 e42221: b4519 - b4520 + b4523 <= 1 e42222: b4519 - b4520 + b4524 <= 1 e42223: b4519 - b4520 + b4525 <= 1 e42224: b4519 - b4520 + b4526 <= 1 e42225: b4519 - b4520 + b4527 <= 1 e42226: b4519 - b4520 + b4528 <= 1 e42227: b4519 - b4520 + b4529 <= 1 e42228: b4519 - b4520 + b4530 <= 1 e42229: b4519 - b4520 + b4531 <= 1 e42230: b4519 - b4520 + b4532 <= 1 e42231: b4520 - b4521 + b4522 <= 1 e42232: b4520 - b4521 + b4523 <= 1 e42233: b4520 - b4521 + b4524 <= 1 e42234: b4520 - b4521 + b4525 <= 1 e42235: b4520 - b4521 + b4526 <= 1 e42236: b4520 - b4521 + b4527 <= 1 e42237: b4520 - b4521 + b4528 <= 1 e42238: b4520 - b4521 + b4529 <= 1 e42239: b4520 - b4521 + b4530 <= 1 e42240: b4520 - b4521 + b4531 <= 1 e42241: b4520 - b4521 + b4532 <= 1 e42242: b4520 - b4521 + b4533 <= 1 e42243: b4521 - b4522 + b4523 <= 1 e42244: b4521 - b4522 + b4524 <= 1 e42245: b4521 - b4522 + b4525 <= 1 e42246: b4521 - b4522 + b4526 <= 1 e42247: b4521 - b4522 + b4527 <= 1 e42248: b4521 - b4522 + b4528 <= 1 e42249: b4521 - b4522 + b4529 <= 1 e42250: b4521 - b4522 + b4530 <= 1 e42251: b4521 - b4522 + b4531 <= 1 e42252: b4521 - b4522 + b4532 <= 1 e42253: b4521 - b4522 + b4533 <= 1 e42254: b4521 - b4522 + b4534 <= 1 e42255: b4522 - b4523 + b4524 <= 1 e42256: b4522 - b4523 + b4525 <= 1 e42257: b4522 - b4523 + b4526 <= 1 e42258: b4522 - b4523 + b4527 <= 1 e42259: b4522 - b4523 + b4528 <= 1 e42260: b4522 - b4523 + b4529 <= 1 e42261: b4522 - b4523 + b4530 <= 1 e42262: b4522 - b4523 + b4531 <= 1 e42263: b4522 - b4523 + b4532 <= 1 e42264: b4522 - b4523 + b4533 <= 1 e42265: b4522 - b4523 + b4534 <= 1 e42266: b4522 - b4523 + b4535 <= 1 e42267: b4523 - b4524 + b4525 <= 1 e42268: b4523 - b4524 + b4526 <= 1 e42269: b4523 - b4524 + b4527 <= 1 e42270: b4523 - b4524 + b4528 <= 1 e42271: b4523 - b4524 + b4529 <= 1 e42272: b4523 - b4524 + b4530 <= 1 e42273: b4523 - b4524 + b4531 <= 1 e42274: b4523 - b4524 + b4532 <= 1 e42275: b4523 - b4524 + b4533 <= 1 e42276: b4523 - b4524 + b4534 <= 1 e42277: b4523 - b4524 + b4535 <= 1 e42278: b4523 - b4524 + b4536 <= 1 e42279: b4524 - b4525 + b4526 <= 1 e42280: b4524 - b4525 + b4527 <= 1 e42281: b4524 - b4525 + b4528 <= 1 e42282: b4524 - b4525 + b4529 <= 1 e42283: b4524 - b4525 + b4530 <= 1 e42284: b4524 - b4525 + b4531 <= 1 e42285: b4524 - b4525 + b4532 <= 1 e42286: b4524 - b4525 + b4533 <= 1 e42287: b4524 - b4525 + b4534 <= 1 e42288: b4524 - b4525 + b4535 <= 1 e42289: b4524 - b4525 + b4536 <= 1 e42290: b4524 - b4525 + b4537 <= 1 e42291: b4525 - b4526 + b4527 <= 1 e42292: b4525 - b4526 + b4528 <= 1 e42293: b4525 - b4526 + b4529 <= 1 e42294: b4525 - b4526 + b4530 <= 1 e42295: b4525 - b4526 + b4531 <= 1 e42296: b4525 - b4526 + b4532 <= 1 e42297: b4525 - b4526 + b4533 <= 1 e42298: b4525 - b4526 + b4534 <= 1 e42299: b4525 - b4526 + b4535 <= 1 e42300: b4525 - b4526 + b4536 <= 1 e42301: b4525 - b4526 + b4537 <= 1 e42302: b4526 - b4527 + b4528 <= 1 e42303: b4526 - b4527 + b4529 <= 1 e42304: b4526 - b4527 + b4530 <= 1 e42305: b4526 - b4527 + b4531 <= 1 e42306: b4526 - b4527 + b4532 <= 1 e42307: b4526 - b4527 + b4533 <= 1 e42308: b4526 - b4527 + b4534 <= 1 e42309: b4526 - b4527 + b4535 <= 1 e42310: b4526 - b4527 + b4536 <= 1 e42311: b4526 - b4527 + b4537 <= 1 e42312: b4527 - b4528 + b4529 <= 1 e42313: b4527 - b4528 + b4530 <= 1 e42314: b4527 - b4528 + b4531 <= 1 e42315: b4527 - b4528 + b4532 <= 1 e42316: b4527 - b4528 + b4533 <= 1 e42317: b4527 - b4528 + b4534 <= 1 e42318: b4527 - b4528 + b4535 <= 1 e42319: b4527 - b4528 + b4536 <= 1 e42320: b4527 - b4528 + b4537 <= 1 e42321: b4528 - b4529 + b4530 <= 1 e42322: b4528 - b4529 + b4531 <= 1 e42323: b4528 - b4529 + b4532 <= 1 e42324: b4528 - b4529 + b4533 <= 1 e42325: b4528 - b4529 + b4534 <= 1 e42326: b4528 - b4529 + b4535 <= 1 e42327: b4528 - b4529 + b4536 <= 1 e42328: b4528 - b4529 + b4537 <= 1 e42329: b4529 - b4530 + b4531 <= 1 e42330: b4529 - b4530 + b4532 <= 1 e42331: b4529 - b4530 + b4533 <= 1 e42332: b4529 - b4530 + b4534 <= 1 e42333: b4529 - b4530 + b4535 <= 1 e42334: b4529 - b4530 + b4536 <= 1 e42335: b4529 - b4530 + b4537 <= 1 e42336: b4530 - b4531 + b4532 <= 1 e42337: b4530 - b4531 + b4533 <= 1 e42338: b4530 - b4531 + b4534 <= 1 e42339: b4530 - b4531 + b4535 <= 1 e42340: b4530 - b4531 + b4536 <= 1 e42341: b4530 - b4531 + b4537 <= 1 e42342: b4531 - b4532 + b4533 <= 1 e42343: b4531 - b4532 + b4534 <= 1 e42344: b4531 - b4532 + b4535 <= 1 e42345: b4531 - b4532 + b4536 <= 1 e42346: b4531 - b4532 + b4537 <= 1 e42347: b4532 - b4533 + b4534 <= 1 e42348: b4532 - b4533 + b4535 <= 1 e42349: b4532 - b4533 + b4536 <= 1 e42350: b4532 - b4533 + b4537 <= 1 e42351: b4533 - b4534 + b4535 <= 1 e42352: b4533 - b4534 + b4536 <= 1 e42353: b4533 - b4534 + b4537 <= 1 e42354: b4534 - b4535 + b4536 <= 1 e42355: b4534 - b4535 + b4537 <= 1 e42356: b4535 - b4536 + b4537 <= 1 e42357: b4518 - b4519 + b4520 >= 0 e42358: b4518 - b4519 + b4521 >= 0 e42359: b4518 - b4519 + b4522 >= 0 e42360: b4518 - b4519 + b4523 >= 0 e42361: b4518 - b4519 + b4524 >= 0 e42362: b4518 - b4519 + b4525 >= 0 e42363: b4518 - b4519 + b4526 >= 0 e42364: b4518 - b4519 + b4527 >= 0 e42365: b4518 - b4519 + b4528 >= 0 e42366: b4518 - b4519 + b4529 >= 0 e42367: b4518 - b4519 + b4530 >= 0 e42368: b4519 - b4520 + b4521 >= 0 e42369: b4519 - b4520 + b4522 >= 0 e42370: b4519 - b4520 + b4523 >= 0 e42371: b4519 - b4520 + b4524 >= 0 e42372: b4519 - b4520 + b4525 >= 0 e42373: b4519 - b4520 + b4526 >= 0 e42374: b4519 - b4520 + b4527 >= 0 e42375: b4519 - b4520 + b4528 >= 0 e42376: b4519 - b4520 + b4529 >= 0 e42377: b4519 - b4520 + b4530 >= 0 e42378: b4519 - b4520 + b4531 >= 0 e42379: b4520 - b4521 + b4522 >= 0 e42380: b4520 - b4521 + b4523 >= 0 e42381: b4520 - b4521 + b4524 >= 0 e42382: b4520 - b4521 + b4525 >= 0 e42383: b4520 - b4521 + b4526 >= 0 e42384: b4520 - b4521 + b4527 >= 0 e42385: b4520 - b4521 + b4528 >= 0 e42386: b4520 - b4521 + b4529 >= 0 e42387: b4520 - b4521 + b4530 >= 0 e42388: b4520 - b4521 + b4531 >= 0 e42389: b4520 - b4521 + b4532 >= 0 e42390: b4521 - b4522 + b4523 >= 0 e42391: b4521 - b4522 + b4524 >= 0 e42392: b4521 - b4522 + b4525 >= 0 e42393: b4521 - b4522 + b4526 >= 0 e42394: b4521 - b4522 + b4527 >= 0 e42395: b4521 - b4522 + b4528 >= 0 e42396: b4521 - b4522 + b4529 >= 0 e42397: b4521 - b4522 + b4530 >= 0 e42398: b4521 - b4522 + b4531 >= 0 e42399: b4521 - b4522 + b4532 >= 0 e42400: b4521 - b4522 + b4533 >= 0 e42401: b4522 - b4523 + b4524 >= 0 e42402: b4522 - b4523 + b4525 >= 0 e42403: b4522 - b4523 + b4526 >= 0 e42404: b4522 - b4523 + b4527 >= 0 e42405: b4522 - b4523 + b4528 >= 0 e42406: b4522 - b4523 + b4529 >= 0 e42407: b4522 - b4523 + b4530 >= 0 e42408: b4522 - b4523 + b4531 >= 0 e42409: b4522 - b4523 + b4532 >= 0 e42410: b4522 - b4523 + b4533 >= 0 e42411: b4522 - b4523 + b4534 >= 0 e42412: b4523 - b4524 + b4525 >= 0 e42413: b4523 - b4524 + b4526 >= 0 e42414: b4523 - b4524 + b4527 >= 0 e42415: b4523 - b4524 + b4528 >= 0 e42416: b4523 - b4524 + b4529 >= 0 e42417: b4523 - b4524 + b4530 >= 0 e42418: b4523 - b4524 + b4531 >= 0 e42419: b4523 - b4524 + b4532 >= 0 e42420: b4523 - b4524 + b4533 >= 0 e42421: b4523 - b4524 + b4534 >= 0 e42422: b4523 - b4524 + b4535 >= 0 e42423: b4524 - b4525 + b4526 >= 0 e42424: b4524 - b4525 + b4527 >= 0 e42425: b4524 - b4525 + b4528 >= 0 e42426: b4524 - b4525 + b4529 >= 0 e42427: b4524 - b4525 + b4530 >= 0 e42428: b4524 - b4525 + b4531 >= 0 e42429: b4524 - b4525 + b4532 >= 0 e42430: b4524 - b4525 + b4533 >= 0 e42431: b4524 - b4525 + b4534 >= 0 e42432: b4524 - b4525 + b4535 >= 0 e42433: b4524 - b4525 + b4536 >= 0 e42434: b4525 - b4526 + b4527 >= 0 e42435: b4525 - b4526 + b4528 >= 0 e42436: b4525 - b4526 + b4529 >= 0 e42437: b4525 - b4526 + b4530 >= 0 e42438: b4525 - b4526 + b4531 >= 0 e42439: b4525 - b4526 + b4532 >= 0 e42440: b4525 - b4526 + b4533 >= 0 e42441: b4525 - b4526 + b4534 >= 0 e42442: b4525 - b4526 + b4535 >= 0 e42443: b4525 - b4526 + b4536 >= 0 e42444: b4525 - b4526 + b4537 >= 0 e42445: b4526 - b4527 + b4528 >= 0 e42446: b4526 - b4527 + b4529 >= 0 e42447: b4526 - b4527 + b4530 >= 0 e42448: b4526 - b4527 + b4531 >= 0 e42449: b4526 - b4527 + b4532 >= 0 e42450: b4526 - b4527 + b4533 >= 0 e42451: b4526 - b4527 + b4534 >= 0 e42452: b4526 - b4527 + b4535 >= 0 e42453: b4526 - b4527 + b4536 >= 0 e42454: b4526 - b4527 + b4537 >= 0 e42455: b4527 - b4528 + b4529 >= 0 e42456: b4527 - b4528 + b4530 >= 0 e42457: b4527 - b4528 + b4531 >= 0 e42458: b4527 - b4528 + b4532 >= 0 e42459: b4527 - b4528 + b4533 >= 0 e42460: b4527 - b4528 + b4534 >= 0 e42461: b4527 - b4528 + b4535 >= 0 e42462: b4527 - b4528 + b4536 >= 0 e42463: b4527 - b4528 + b4537 >= 0 e42464: b4528 - b4529 + b4530 >= 0 e42465: b4528 - b4529 + b4531 >= 0 e42466: b4528 - b4529 + b4532 >= 0 e42467: b4528 - b4529 + b4533 >= 0 e42468: b4528 - b4529 + b4534 >= 0 e42469: b4528 - b4529 + b4535 >= 0 e42470: b4528 - b4529 + b4536 >= 0 e42471: b4528 - b4529 + b4537 >= 0 e42472: b4529 - b4530 + b4531 >= 0 e42473: b4529 - b4530 + b4532 >= 0 e42474: b4529 - b4530 + b4533 >= 0 e42475: b4529 - b4530 + b4534 >= 0 e42476: b4529 - b4530 + b4535 >= 0 e42477: b4529 - b4530 + b4536 >= 0 e42478: b4529 - b4530 + b4537 >= 0 e42479: b4530 - b4531 + b4532 >= 0 e42480: b4530 - b4531 + b4533 >= 0 e42481: b4530 - b4531 + b4534 >= 0 e42482: b4530 - b4531 + b4535 >= 0 e42483: b4530 - b4531 + b4536 >= 0 e42484: b4530 - b4531 + b4537 >= 0 e42485: b4531 - b4532 + b4533 >= 0 e42486: b4531 - b4532 + b4534 >= 0 e42487: b4531 - b4532 + b4535 >= 0 e42488: b4531 - b4532 + b4536 >= 0 e42489: b4531 - b4532 + b4537 >= 0 e42490: b4532 - b4533 + b4534 >= 0 e42491: b4532 - b4533 + b4535 >= 0 e42492: b4532 - b4533 + b4536 >= 0 e42493: b4532 - b4533 + b4537 >= 0 e42494: b4533 - b4534 + b4535 >= 0 e42495: b4533 - b4534 + b4536 >= 0 e42496: b4533 - b4534 + b4537 >= 0 e42497: b4534 - b4535 + b4536 >= 0 e42498: b4534 - b4535 + b4537 >= 0 e42499: b4535 - b4536 + b4537 >= 0 e42500: b4538 = 1 e42501: b4539 = 1 e42502: b4540 = 1 e42503: b4541 = 1 e42504: b4542 = 1 e42505: b4543 = 1 e42506: b4543 - b4544 + b4545 <= 1 e42507: b4543 - b4544 + b4546 <= 1 e42508: b4543 - b4544 + b4547 <= 1 e42509: b4543 - b4544 + b4548 <= 1 e42510: b4543 - b4544 + b4549 <= 1 e42511: b4543 - b4544 + b4550 <= 1 e42512: b4543 - b4544 + b4551 <= 1 e42513: b4543 - b4544 + b4552 <= 1 e42514: b4543 - b4544 + b4553 <= 1 e42515: b4544 - b4545 + b4546 <= 1 e42516: b4544 - b4545 + b4547 <= 1 e42517: b4544 - b4545 + b4548 <= 1 e42518: b4544 - b4545 + b4549 <= 1 e42519: b4544 - b4545 + b4550 <= 1 e42520: b4544 - b4545 + b4551 <= 1 e42521: b4544 - b4545 + b4552 <= 1 e42522: b4544 - b4545 + b4553 <= 1 e42523: b4544 - b4545 + b4554 <= 1 e42524: b4545 - b4546 + b4547 <= 1 e42525: b4545 - b4546 + b4548 <= 1 e42526: b4545 - b4546 + b4549 <= 1 e42527: b4545 - b4546 + b4550 <= 1 e42528: b4545 - b4546 + b4551 <= 1 e42529: b4545 - b4546 + b4552 <= 1 e42530: b4545 - b4546 + b4553 <= 1 e42531: b4545 - b4546 + b4554 <= 1 e42532: b4545 - b4546 + b4555 <= 1 e42533: b4546 - b4547 + b4548 <= 1 e42534: b4546 - b4547 + b4549 <= 1 e42535: b4546 - b4547 + b4550 <= 1 e42536: b4546 - b4547 + b4551 <= 1 e42537: b4546 - b4547 + b4552 <= 1 e42538: b4546 - b4547 + b4553 <= 1 e42539: b4546 - b4547 + b4554 <= 1 e42540: b4546 - b4547 + b4555 <= 1 e42541: b4546 - b4547 + b4556 <= 1 e42542: b4547 - b4548 + b4549 <= 1 e42543: b4547 - b4548 + b4550 <= 1 e42544: b4547 - b4548 + b4551 <= 1 e42545: b4547 - b4548 + b4552 <= 1 e42546: b4547 - b4548 + b4553 <= 1 e42547: b4547 - b4548 + b4554 <= 1 e42548: b4547 - b4548 + b4555 <= 1 e42549: b4547 - b4548 + b4556 <= 1 e42550: b4547 - b4548 + b4557 <= 1 e42551: b4548 - b4549 + b4550 <= 1 e42552: b4548 - b4549 + b4551 <= 1 e42553: b4548 - b4549 + b4552 <= 1 e42554: b4548 - b4549 + b4553 <= 1 e42555: b4548 - b4549 + b4554 <= 1 e42556: b4548 - b4549 + b4555 <= 1 e42557: b4548 - b4549 + b4556 <= 1 e42558: b4548 - b4549 + b4557 <= 1 e42559: b4548 - b4549 + b4558 <= 1 e42560: b4549 - b4550 + b4551 <= 1 e42561: b4549 - b4550 + b4552 <= 1 e42562: b4549 - b4550 + b4553 <= 1 e42563: b4549 - b4550 + b4554 <= 1 e42564: b4549 - b4550 + b4555 <= 1 e42565: b4549 - b4550 + b4556 <= 1 e42566: b4549 - b4550 + b4557 <= 1 e42567: b4549 - b4550 + b4558 <= 1 e42568: b4549 - b4550 + b4559 <= 1 e42569: b4550 - b4551 + b4552 <= 1 e42570: b4550 - b4551 + b4553 <= 1 e42571: b4550 - b4551 + b4554 <= 1 e42572: b4550 - b4551 + b4555 <= 1 e42573: b4550 - b4551 + b4556 <= 1 e42574: b4550 - b4551 + b4557 <= 1 e42575: b4550 - b4551 + b4558 <= 1 e42576: b4550 - b4551 + b4559 <= 1 e42577: b4550 - b4551 + b4560 <= 1 e42578: b4551 - b4552 + b4553 <= 1 e42579: b4551 - b4552 + b4554 <= 1 e42580: b4551 - b4552 + b4555 <= 1 e42581: b4551 - b4552 + b4556 <= 1 e42582: b4551 - b4552 + b4557 <= 1 e42583: b4551 - b4552 + b4558 <= 1 e42584: b4551 - b4552 + b4559 <= 1 e42585: b4551 - b4552 + b4560 <= 1 e42586: b4551 - b4552 + b4561 <= 1 e42587: b4552 - b4553 + b4554 <= 1 e42588: b4552 - b4553 + b4555 <= 1 e42589: b4552 - b4553 + b4556 <= 1 e42590: b4552 - b4553 + b4557 <= 1 e42591: b4552 - b4553 + b4558 <= 1 e42592: b4552 - b4553 + b4559 <= 1 e42593: b4552 - b4553 + b4560 <= 1 e42594: b4552 - b4553 + b4561 <= 1 e42595: b4553 - b4554 + b4555 <= 1 e42596: b4553 - b4554 + b4556 <= 1 e42597: b4553 - b4554 + b4557 <= 1 e42598: b4553 - b4554 + b4558 <= 1 e42599: b4553 - b4554 + b4559 <= 1 e42600: b4553 - b4554 + b4560 <= 1 e42601: b4553 - b4554 + b4561 <= 1 e42602: b4554 - b4555 + b4556 <= 1 e42603: b4554 - b4555 + b4557 <= 1 e42604: b4554 - b4555 + b4558 <= 1 e42605: b4554 - b4555 + b4559 <= 1 e42606: b4554 - b4555 + b4560 <= 1 e42607: b4554 - b4555 + b4561 <= 1 e42608: b4555 - b4556 + b4557 <= 1 e42609: b4555 - b4556 + b4558 <= 1 e42610: b4555 - b4556 + b4559 <= 1 e42611: b4555 - b4556 + b4560 <= 1 e42612: b4555 - b4556 + b4561 <= 1 e42613: b4556 - b4557 + b4558 <= 1 e42614: b4556 - b4557 + b4559 <= 1 e42615: b4556 - b4557 + b4560 <= 1 e42616: b4556 - b4557 + b4561 <= 1 e42617: b4557 - b4558 + b4559 <= 1 e42618: b4557 - b4558 + b4560 <= 1 e42619: b4557 - b4558 + b4561 <= 1 e42620: b4558 - b4559 + b4560 <= 1 e42621: b4558 - b4559 + b4561 <= 1 e42622: b4559 - b4560 + b4561 <= 1 e42623: b4543 - b4544 + b4545 >= 0 e42624: b4543 - b4544 + b4546 >= 0 e42625: b4543 - b4544 + b4547 >= 0 e42626: b4543 - b4544 + b4548 >= 0 e42627: b4543 - b4544 + b4549 >= 0 e42628: b4543 - b4544 + b4550 >= 0 e42629: b4543 - b4544 + b4551 >= 0 e42630: b4543 - b4544 + b4552 >= 0 e42631: b4543 - b4544 + b4553 >= 0 e42632: b4544 - b4545 + b4546 >= 0 e42633: b4544 - b4545 + b4547 >= 0 e42634: b4544 - b4545 + b4548 >= 0 e42635: b4544 - b4545 + b4549 >= 0 e42636: b4544 - b4545 + b4550 >= 0 e42637: b4544 - b4545 + b4551 >= 0 e42638: b4544 - b4545 + b4552 >= 0 e42639: b4544 - b4545 + b4553 >= 0 e42640: b4544 - b4545 + b4554 >= 0 e42641: b4545 - b4546 + b4547 >= 0 e42642: b4545 - b4546 + b4548 >= 0 e42643: b4545 - b4546 + b4549 >= 0 e42644: b4545 - b4546 + b4550 >= 0 e42645: b4545 - b4546 + b4551 >= 0 e42646: b4545 - b4546 + b4552 >= 0 e42647: b4545 - b4546 + b4553 >= 0 e42648: b4545 - b4546 + b4554 >= 0 e42649: b4545 - b4546 + b4555 >= 0 e42650: b4546 - b4547 + b4548 >= 0 e42651: b4546 - b4547 + b4549 >= 0 e42652: b4546 - b4547 + b4550 >= 0 e42653: b4546 - b4547 + b4551 >= 0 e42654: b4546 - b4547 + b4552 >= 0 e42655: b4546 - b4547 + b4553 >= 0 e42656: b4546 - b4547 + b4554 >= 0 e42657: b4546 - b4547 + b4555 >= 0 e42658: b4546 - b4547 + b4556 >= 0 e42659: b4547 - b4548 + b4549 >= 0 e42660: b4547 - b4548 + b4550 >= 0 e42661: b4547 - b4548 + b4551 >= 0 e42662: b4547 - b4548 + b4552 >= 0 e42663: b4547 - b4548 + b4553 >= 0 e42664: b4547 - b4548 + b4554 >= 0 e42665: b4547 - b4548 + b4555 >= 0 e42666: b4547 - b4548 + b4556 >= 0 e42667: b4547 - b4548 + b4557 >= 0 e42668: b4548 - b4549 + b4550 >= 0 e42669: b4548 - b4549 + b4551 >= 0 e42670: b4548 - b4549 + b4552 >= 0 e42671: b4548 - b4549 + b4553 >= 0 e42672: b4548 - b4549 + b4554 >= 0 e42673: b4548 - b4549 + b4555 >= 0 e42674: b4548 - b4549 + b4556 >= 0 e42675: b4548 - b4549 + b4557 >= 0 e42676: b4548 - b4549 + b4558 >= 0 e42677: b4549 - b4550 + b4551 >= 0 e42678: b4549 - b4550 + b4552 >= 0 e42679: b4549 - b4550 + b4553 >= 0 e42680: b4549 - b4550 + b4554 >= 0 e42681: b4549 - b4550 + b4555 >= 0 e42682: b4549 - b4550 + b4556 >= 0 e42683: b4549 - b4550 + b4557 >= 0 e42684: b4549 - b4550 + b4558 >= 0 e42685: b4549 - b4550 + b4559 >= 0 e42686: b4550 - b4551 + b4552 >= 0 e42687: b4550 - b4551 + b4553 >= 0 e42688: b4550 - b4551 + b4554 >= 0 e42689: b4550 - b4551 + b4555 >= 0 e42690: b4550 - b4551 + b4556 >= 0 e42691: b4550 - b4551 + b4557 >= 0 e42692: b4550 - b4551 + b4558 >= 0 e42693: b4550 - b4551 + b4559 >= 0 e42694: b4550 - b4551 + b4560 >= 0 e42695: b4551 - b4552 + b4553 >= 0 e42696: b4551 - b4552 + b4554 >= 0 e42697: b4551 - b4552 + b4555 >= 0 e42698: b4551 - b4552 + b4556 >= 0 e42699: b4551 - b4552 + b4557 >= 0 e42700: b4551 - b4552 + b4558 >= 0 e42701: b4551 - b4552 + b4559 >= 0 e42702: b4551 - b4552 + b4560 >= 0 e42703: b4551 - b4552 + b4561 >= 0 e42704: b4552 - b4553 + b4554 >= 0 e42705: b4552 - b4553 + b4555 >= 0 e42706: b4552 - b4553 + b4556 >= 0 e42707: b4552 - b4553 + b4557 >= 0 e42708: b4552 - b4553 + b4558 >= 0 e42709: b4552 - b4553 + b4559 >= 0 e42710: b4552 - b4553 + b4560 >= 0 e42711: b4552 - b4553 + b4561 >= 0 e42712: b4553 - b4554 + b4555 >= 0 e42713: b4553 - b4554 + b4556 >= 0 e42714: b4553 - b4554 + b4557 >= 0 e42715: b4553 - b4554 + b4558 >= 0 e42716: b4553 - b4554 + b4559 >= 0 e42717: b4553 - b4554 + b4560 >= 0 e42718: b4553 - b4554 + b4561 >= 0 e42719: b4554 - b4555 + b4556 >= 0 e42720: b4554 - b4555 + b4557 >= 0 e42721: b4554 - b4555 + b4558 >= 0 e42722: b4554 - b4555 + b4559 >= 0 e42723: b4554 - b4555 + b4560 >= 0 e42724: b4554 - b4555 + b4561 >= 0 e42725: b4555 - b4556 + b4557 >= 0 e42726: b4555 - b4556 + b4558 >= 0 e42727: b4555 - b4556 + b4559 >= 0 e42728: b4555 - b4556 + b4560 >= 0 e42729: b4555 - b4556 + b4561 >= 0 e42730: b4556 - b4557 + b4558 >= 0 e42731: b4556 - b4557 + b4559 >= 0 e42732: b4556 - b4557 + b4560 >= 0 e42733: b4556 - b4557 + b4561 >= 0 e42734: b4557 - b4558 + b4559 >= 0 e42735: b4557 - b4558 + b4560 >= 0 e42736: b4557 - b4558 + b4561 >= 0 e42737: b4558 - b4559 + b4560 >= 0 e42738: b4558 - b4559 + b4561 >= 0 e42739: b4559 - b4560 + b4561 >= 0 e42740: b4562 = 0 e42741: b4562 - b4563 + b4564 <= 1 e42742: b4562 - b4563 + b4565 <= 1 e42743: b4562 - b4563 + b4566 <= 1 e42744: b4562 - b4563 + b4567 <= 1 e42745: b4562 - b4563 + b4568 <= 1 e42746: b4562 - b4563 + b4569 <= 1 e42747: b4562 - b4563 + b4570 <= 1 e42748: b4562 - b4563 + b4571 <= 1 e42749: b4562 - b4563 + b4572 <= 1 e42750: b4562 - b4563 + b4573 <= 1 e42751: b4563 - b4564 + b4565 <= 1 e42752: b4563 - b4564 + b4566 <= 1 e42753: b4563 - b4564 + b4567 <= 1 e42754: b4563 - b4564 + b4568 <= 1 e42755: b4563 - b4564 + b4569 <= 1 e42756: b4563 - b4564 + b4570 <= 1 e42757: b4563 - b4564 + b4571 <= 1 e42758: b4563 - b4564 + b4572 <= 1 e42759: b4563 - b4564 + b4573 <= 1 e42760: b4563 - b4564 + b4574 <= 1 e42761: b4564 - b4565 + b4566 <= 1 e42762: b4564 - b4565 + b4567 <= 1 e42763: b4564 - b4565 + b4568 <= 1 e42764: b4564 - b4565 + b4569 <= 1 e42765: b4564 - b4565 + b4570 <= 1 e42766: b4564 - b4565 + b4571 <= 1 e42767: b4564 - b4565 + b4572 <= 1 e42768: b4564 - b4565 + b4573 <= 1 e42769: b4564 - b4565 + b4574 <= 1 e42770: b4564 - b4565 + b4575 <= 1 e42771: b4565 - b4566 + b4567 <= 1 e42772: b4565 - b4566 + b4568 <= 1 e42773: b4565 - b4566 + b4569 <= 1 e42774: b4565 - b4566 + b4570 <= 1 e42775: b4565 - b4566 + b4571 <= 1 e42776: b4565 - b4566 + b4572 <= 1 e42777: b4565 - b4566 + b4573 <= 1 e42778: b4565 - b4566 + b4574 <= 1 e42779: b4565 - b4566 + b4575 <= 1 e42780: b4565 - b4566 + b4576 <= 1 e42781: b4566 - b4567 + b4568 <= 1 e42782: b4566 - b4567 + b4569 <= 1 e42783: b4566 - b4567 + b4570 <= 1 e42784: b4566 - b4567 + b4571 <= 1 e42785: b4566 - b4567 + b4572 <= 1 e42786: b4566 - b4567 + b4573 <= 1 e42787: b4566 - b4567 + b4574 <= 1 e42788: b4566 - b4567 + b4575 <= 1 e42789: b4566 - b4567 + b4576 <= 1 e42790: b4566 - b4567 + b4577 <= 1 e42791: b4567 - b4568 + b4569 <= 1 e42792: b4567 - b4568 + b4570 <= 1 e42793: b4567 - b4568 + b4571 <= 1 e42794: b4567 - b4568 + b4572 <= 1 e42795: b4567 - b4568 + b4573 <= 1 e42796: b4567 - b4568 + b4574 <= 1 e42797: b4567 - b4568 + b4575 <= 1 e42798: b4567 - b4568 + b4576 <= 1 e42799: b4567 - b4568 + b4577 <= 1 e42800: b4567 - b4568 + b4578 <= 1 e42801: b4568 - b4569 + b4570 <= 1 e42802: b4568 - b4569 + b4571 <= 1 e42803: b4568 - b4569 + b4572 <= 1 e42804: b4568 - b4569 + b4573 <= 1 e42805: b4568 - b4569 + b4574 <= 1 e42806: b4568 - b4569 + b4575 <= 1 e42807: b4568 - b4569 + b4576 <= 1 e42808: b4568 - b4569 + b4577 <= 1 e42809: b4568 - b4569 + b4578 <= 1 e42810: b4568 - b4569 + b4579 <= 1 e42811: b4569 - b4570 + b4571 <= 1 e42812: b4569 - b4570 + b4572 <= 1 e42813: b4569 - b4570 + b4573 <= 1 e42814: b4569 - b4570 + b4574 <= 1 e42815: b4569 - b4570 + b4575 <= 1 e42816: b4569 - b4570 + b4576 <= 1 e42817: b4569 - b4570 + b4577 <= 1 e42818: b4569 - b4570 + b4578 <= 1 e42819: b4569 - b4570 + b4579 <= 1 e42820: b4569 - b4570 + b4580 <= 1 e42821: b4570 - b4571 + b4572 <= 1 e42822: b4570 - b4571 + b4573 <= 1 e42823: b4570 - b4571 + b4574 <= 1 e42824: b4570 - b4571 + b4575 <= 1 e42825: b4570 - b4571 + b4576 <= 1 e42826: b4570 - b4571 + b4577 <= 1 e42827: b4570 - b4571 + b4578 <= 1 e42828: b4570 - b4571 + b4579 <= 1 e42829: b4570 - b4571 + b4580 <= 1 e42830: b4570 - b4571 + b4581 <= 1 e42831: b4571 - b4572 + b4573 <= 1 e42832: b4571 - b4572 + b4574 <= 1 e42833: b4571 - b4572 + b4575 <= 1 e42834: b4571 - b4572 + b4576 <= 1 e42835: b4571 - b4572 + b4577 <= 1 e42836: b4571 - b4572 + b4578 <= 1 e42837: b4571 - b4572 + b4579 <= 1 e42838: b4571 - b4572 + b4580 <= 1 e42839: b4571 - b4572 + b4581 <= 1 e42840: b4571 - b4572 + b4582 <= 1 e42841: b4572 - b4573 + b4574 <= 1 e42842: b4572 - b4573 + b4575 <= 1 e42843: b4572 - b4573 + b4576 <= 1 e42844: b4572 - b4573 + b4577 <= 1 e42845: b4572 - b4573 + b4578 <= 1 e42846: b4572 - b4573 + b4579 <= 1 e42847: b4572 - b4573 + b4580 <= 1 e42848: b4572 - b4573 + b4581 <= 1 e42849: b4572 - b4573 + b4582 <= 1 e42850: b4572 - b4573 + b4583 <= 1 e42851: b4573 - b4574 + b4575 <= 1 e42852: b4573 - b4574 + b4576 <= 1 e42853: b4573 - b4574 + b4577 <= 1 e42854: b4573 - b4574 + b4578 <= 1 e42855: b4573 - b4574 + b4579 <= 1 e42856: b4573 - b4574 + b4580 <= 1 e42857: b4573 - b4574 + b4581 <= 1 e42858: b4573 - b4574 + b4582 <= 1 e42859: b4573 - b4574 + b4583 <= 1 e42860: b4573 - b4574 + b4584 <= 1 e42861: b4574 - b4575 + b4576 <= 1 e42862: b4574 - b4575 + b4577 <= 1 e42863: b4574 - b4575 + b4578 <= 1 e42864: b4574 - b4575 + b4579 <= 1 e42865: b4574 - b4575 + b4580 <= 1 e42866: b4574 - b4575 + b4581 <= 1 e42867: b4574 - b4575 + b4582 <= 1 e42868: b4574 - b4575 + b4583 <= 1 e42869: b4574 - b4575 + b4584 <= 1 e42870: b4574 - b4575 + b4585 <= 1 e42871: b4575 - b4576 + b4577 <= 1 e42872: b4575 - b4576 + b4578 <= 1 e42873: b4575 - b4576 + b4579 <= 1 e42874: b4575 - b4576 + b4580 <= 1 e42875: b4575 - b4576 + b4581 <= 1 e42876: b4575 - b4576 + b4582 <= 1 e42877: b4575 - b4576 + b4583 <= 1 e42878: b4575 - b4576 + b4584 <= 1 e42879: b4575 - b4576 + b4585 <= 1 e42880: b4576 - b4577 + b4578 <= 1 e42881: b4576 - b4577 + b4579 <= 1 e42882: b4576 - b4577 + b4580 <= 1 e42883: b4576 - b4577 + b4581 <= 1 e42884: b4576 - b4577 + b4582 <= 1 e42885: b4576 - b4577 + b4583 <= 1 e42886: b4576 - b4577 + b4584 <= 1 e42887: b4576 - b4577 + b4585 <= 1 e42888: b4577 - b4578 + b4579 <= 1 e42889: b4577 - b4578 + b4580 <= 1 e42890: b4577 - b4578 + b4581 <= 1 e42891: b4577 - b4578 + b4582 <= 1 e42892: b4577 - b4578 + b4583 <= 1 e42893: b4577 - b4578 + b4584 <= 1 e42894: b4577 - b4578 + b4585 <= 1 e42895: b4578 - b4579 + b4580 <= 1 e42896: b4578 - b4579 + b4581 <= 1 e42897: b4578 - b4579 + b4582 <= 1 e42898: b4578 - b4579 + b4583 <= 1 e42899: b4578 - b4579 + b4584 <= 1 e42900: b4578 - b4579 + b4585 <= 1 e42901: b4579 - b4580 + b4581 <= 1 e42902: b4579 - b4580 + b4582 <= 1 e42903: b4579 - b4580 + b4583 <= 1 e42904: b4579 - b4580 + b4584 <= 1 e42905: b4579 - b4580 + b4585 <= 1 e42906: b4580 - b4581 + b4582 <= 1 e42907: b4580 - b4581 + b4583 <= 1 e42908: b4580 - b4581 + b4584 <= 1 e42909: b4580 - b4581 + b4585 <= 1 e42910: b4581 - b4582 + b4583 <= 1 e42911: b4581 - b4582 + b4584 <= 1 e42912: b4581 - b4582 + b4585 <= 1 e42913: b4582 - b4583 + b4584 <= 1 e42914: b4582 - b4583 + b4585 <= 1 e42915: b4583 - b4584 + b4585 <= 1 e42916: b4562 - b4563 + b4564 >= 0 e42917: b4562 - b4563 + b4565 >= 0 e42918: b4562 - b4563 + b4566 >= 0 e42919: b4562 - b4563 + b4567 >= 0 e42920: b4562 - b4563 + b4568 >= 0 e42921: b4562 - b4563 + b4569 >= 0 e42922: b4562 - b4563 + b4570 >= 0 e42923: b4562 - b4563 + b4571 >= 0 e42924: b4562 - b4563 + b4572 >= 0 e42925: b4562 - b4563 + b4573 >= 0 e42926: b4562 - b4563 + b4574 >= 0 e42927: b4563 - b4564 + b4565 >= 0 e42928: b4563 - b4564 + b4566 >= 0 e42929: b4563 - b4564 + b4567 >= 0 e42930: b4563 - b4564 + b4568 >= 0 e42931: b4563 - b4564 + b4569 >= 0 e42932: b4563 - b4564 + b4570 >= 0 e42933: b4563 - b4564 + b4571 >= 0 e42934: b4563 - b4564 + b4572 >= 0 e42935: b4563 - b4564 + b4573 >= 0 e42936: b4563 - b4564 + b4574 >= 0 e42937: b4563 - b4564 + b4575 >= 0 e42938: b4564 - b4565 + b4566 >= 0 e42939: b4564 - b4565 + b4567 >= 0 e42940: b4564 - b4565 + b4568 >= 0 e42941: b4564 - b4565 + b4569 >= 0 e42942: b4564 - b4565 + b4570 >= 0 e42943: b4564 - b4565 + b4571 >= 0 e42944: b4564 - b4565 + b4572 >= 0 e42945: b4564 - b4565 + b4573 >= 0 e42946: b4564 - b4565 + b4574 >= 0 e42947: b4564 - b4565 + b4575 >= 0 e42948: b4564 - b4565 + b4576 >= 0 e42949: b4565 - b4566 + b4567 >= 0 e42950: b4565 - b4566 + b4568 >= 0 e42951: b4565 - b4566 + b4569 >= 0 e42952: b4565 - b4566 + b4570 >= 0 e42953: b4565 - b4566 + b4571 >= 0 e42954: b4565 - b4566 + b4572 >= 0 e42955: b4565 - b4566 + b4573 >= 0 e42956: b4565 - b4566 + b4574 >= 0 e42957: b4565 - b4566 + b4575 >= 0 e42958: b4565 - b4566 + b4576 >= 0 e42959: b4565 - b4566 + b4577 >= 0 e42960: b4566 - b4567 + b4568 >= 0 e42961: b4566 - b4567 + b4569 >= 0 e42962: b4566 - b4567 + b4570 >= 0 e42963: b4566 - b4567 + b4571 >= 0 e42964: b4566 - b4567 + b4572 >= 0 e42965: b4566 - b4567 + b4573 >= 0 e42966: b4566 - b4567 + b4574 >= 0 e42967: b4566 - b4567 + b4575 >= 0 e42968: b4566 - b4567 + b4576 >= 0 e42969: b4566 - b4567 + b4577 >= 0 e42970: b4566 - b4567 + b4578 >= 0 e42971: b4567 - b4568 + b4569 >= 0 e42972: b4567 - b4568 + b4570 >= 0 e42973: b4567 - b4568 + b4571 >= 0 e42974: b4567 - b4568 + b4572 >= 0 e42975: b4567 - b4568 + b4573 >= 0 e42976: b4567 - b4568 + b4574 >= 0 e42977: b4567 - b4568 + b4575 >= 0 e42978: b4567 - b4568 + b4576 >= 0 e42979: b4567 - b4568 + b4577 >= 0 e42980: b4567 - b4568 + b4578 >= 0 e42981: b4567 - b4568 + b4579 >= 0 e42982: b4568 - b4569 + b4570 >= 0 e42983: b4568 - b4569 + b4571 >= 0 e42984: b4568 - b4569 + b4572 >= 0 e42985: b4568 - b4569 + b4573 >= 0 e42986: b4568 - b4569 + b4574 >= 0 e42987: b4568 - b4569 + b4575 >= 0 e42988: b4568 - b4569 + b4576 >= 0 e42989: b4568 - b4569 + b4577 >= 0 e42990: b4568 - b4569 + b4578 >= 0 e42991: b4568 - b4569 + b4579 >= 0 e42992: b4568 - b4569 + b4580 >= 0 e42993: b4569 - b4570 + b4571 >= 0 e42994: b4569 - b4570 + b4572 >= 0 e42995: b4569 - b4570 + b4573 >= 0 e42996: b4569 - b4570 + b4574 >= 0 e42997: b4569 - b4570 + b4575 >= 0 e42998: b4569 - b4570 + b4576 >= 0 e42999: b4569 - b4570 + b4577 >= 0 e43000: b4569 - b4570 + b4578 >= 0 e43001: b4569 - b4570 + b4579 >= 0 e43002: b4569 - b4570 + b4580 >= 0 e43003: b4569 - b4570 + b4581 >= 0 e43004: b4570 - b4571 + b4572 >= 0 e43005: b4570 - b4571 + b4573 >= 0 e43006: b4570 - b4571 + b4574 >= 0 e43007: b4570 - b4571 + b4575 >= 0 e43008: b4570 - b4571 + b4576 >= 0 e43009: b4570 - b4571 + b4577 >= 0 e43010: b4570 - b4571 + b4578 >= 0 e43011: b4570 - b4571 + b4579 >= 0 e43012: b4570 - b4571 + b4580 >= 0 e43013: b4570 - b4571 + b4581 >= 0 e43014: b4570 - b4571 + b4582 >= 0 e43015: b4571 - b4572 + b4573 >= 0 e43016: b4571 - b4572 + b4574 >= 0 e43017: b4571 - b4572 + b4575 >= 0 e43018: b4571 - b4572 + b4576 >= 0 e43019: b4571 - b4572 + b4577 >= 0 e43020: b4571 - b4572 + b4578 >= 0 e43021: b4571 - b4572 + b4579 >= 0 e43022: b4571 - b4572 + b4580 >= 0 e43023: b4571 - b4572 + b4581 >= 0 e43024: b4571 - b4572 + b4582 >= 0 e43025: b4571 - b4572 + b4583 >= 0 e43026: b4572 - b4573 + b4574 >= 0 e43027: b4572 - b4573 + b4575 >= 0 e43028: b4572 - b4573 + b4576 >= 0 e43029: b4572 - b4573 + b4577 >= 0 e43030: b4572 - b4573 + b4578 >= 0 e43031: b4572 - b4573 + b4579 >= 0 e43032: b4572 - b4573 + b4580 >= 0 e43033: b4572 - b4573 + b4581 >= 0 e43034: b4572 - b4573 + b4582 >= 0 e43035: b4572 - b4573 + b4583 >= 0 e43036: b4572 - b4573 + b4584 >= 0 e43037: b4573 - b4574 + b4575 >= 0 e43038: b4573 - b4574 + b4576 >= 0 e43039: b4573 - b4574 + b4577 >= 0 e43040: b4573 - b4574 + b4578 >= 0 e43041: b4573 - b4574 + b4579 >= 0 e43042: b4573 - b4574 + b4580 >= 0 e43043: b4573 - b4574 + b4581 >= 0 e43044: b4573 - b4574 + b4582 >= 0 e43045: b4573 - b4574 + b4583 >= 0 e43046: b4573 - b4574 + b4584 >= 0 e43047: b4573 - b4574 + b4585 >= 0 e43048: b4574 - b4575 + b4576 >= 0 e43049: b4574 - b4575 + b4577 >= 0 e43050: b4574 - b4575 + b4578 >= 0 e43051: b4574 - b4575 + b4579 >= 0 e43052: b4574 - b4575 + b4580 >= 0 e43053: b4574 - b4575 + b4581 >= 0 e43054: b4574 - b4575 + b4582 >= 0 e43055: b4574 - b4575 + b4583 >= 0 e43056: b4574 - b4575 + b4584 >= 0 e43057: b4574 - b4575 + b4585 >= 0 e43058: b4575 - b4576 + b4577 >= 0 e43059: b4575 - b4576 + b4578 >= 0 e43060: b4575 - b4576 + b4579 >= 0 e43061: b4575 - b4576 + b4580 >= 0 e43062: b4575 - b4576 + b4581 >= 0 e43063: b4575 - b4576 + b4582 >= 0 e43064: b4575 - b4576 + b4583 >= 0 e43065: b4575 - b4576 + b4584 >= 0 e43066: b4575 - b4576 + b4585 >= 0 e43067: b4576 - b4577 + b4578 >= 0 e43068: b4576 - b4577 + b4579 >= 0 e43069: b4576 - b4577 + b4580 >= 0 e43070: b4576 - b4577 + b4581 >= 0 e43071: b4576 - b4577 + b4582 >= 0 e43072: b4576 - b4577 + b4583 >= 0 e43073: b4576 - b4577 + b4584 >= 0 e43074: b4576 - b4577 + b4585 >= 0 e43075: b4577 - b4578 + b4579 >= 0 e43076: b4577 - b4578 + b4580 >= 0 e43077: b4577 - b4578 + b4581 >= 0 e43078: b4577 - b4578 + b4582 >= 0 e43079: b4577 - b4578 + b4583 >= 0 e43080: b4577 - b4578 + b4584 >= 0 e43081: b4577 - b4578 + b4585 >= 0 e43082: b4578 - b4579 + b4580 >= 0 e43083: b4578 - b4579 + b4581 >= 0 e43084: b4578 - b4579 + b4582 >= 0 e43085: b4578 - b4579 + b4583 >= 0 e43086: b4578 - b4579 + b4584 >= 0 e43087: b4578 - b4579 + b4585 >= 0 e43088: b4579 - b4580 + b4581 >= 0 e43089: b4579 - b4580 + b4582 >= 0 e43090: b4579 - b4580 + b4583 >= 0 e43091: b4579 - b4580 + b4584 >= 0 e43092: b4579 - b4580 + b4585 >= 0 e43093: b4580 - b4581 + b4582 >= 0 e43094: b4580 - b4581 + b4583 >= 0 e43095: b4580 - b4581 + b4584 >= 0 e43096: b4580 - b4581 + b4585 >= 0 e43097: b4581 - b4582 + b4583 >= 0 e43098: b4581 - b4582 + b4584 >= 0 e43099: b4581 - b4582 + b4585 >= 0 e43100: b4582 - b4583 + b4584 >= 0 e43101: b4582 - b4583 + b4585 >= 0 e43102: b4583 - b4584 + b4585 >= 0 e43103: b4586 = 1 e43104: b4587 = 1 e43105: b4588 = 1 e43106: b4589 = 1 e43107: b4590 = 1 e43108: b4591 = 1 e43109: b4592 = 1 e43110: b4593 = 1 e43111: b4593 - b4594 + b4595 <= 1 e43112: b4593 - b4594 + b4596 <= 1 e43113: b4593 - b4594 + b4597 <= 1 e43114: b4593 - b4594 + b4598 <= 1 e43115: b4593 - b4594 + b4599 <= 1 e43116: b4593 - b4594 + b4600 <= 1 e43117: b4593 - b4594 + b4601 <= 1 e43118: b4593 - b4594 + b4602 <= 1 e43119: b4593 - b4594 + b4603 <= 1 e43120: b4593 - b4594 + b4604 <= 1 e43121: b4593 - b4594 + b4605 <= 1 e43122: b4593 - b4594 + b4606 <= 1 e43123: b4594 - b4595 + b4596 <= 1 e43124: b4594 - b4595 + b4597 <= 1 e43125: b4594 - b4595 + b4598 <= 1 e43126: b4594 - b4595 + b4599 <= 1 e43127: b4594 - b4595 + b4600 <= 1 e43128: b4594 - b4595 + b4601 <= 1 e43129: b4594 - b4595 + b4602 <= 1 e43130: b4594 - b4595 + b4603 <= 1 e43131: b4594 - b4595 + b4604 <= 1 e43132: b4594 - b4595 + b4605 <= 1 e43133: b4594 - b4595 + b4606 <= 1 e43134: b4594 - b4595 + b4607 <= 1 e43135: b4595 - b4596 + b4597 <= 1 e43136: b4595 - b4596 + b4598 <= 1 e43137: b4595 - b4596 + b4599 <= 1 e43138: b4595 - b4596 + b4600 <= 1 e43139: b4595 - b4596 + b4601 <= 1 e43140: b4595 - b4596 + b4602 <= 1 e43141: b4595 - b4596 + b4603 <= 1 e43142: b4595 - b4596 + b4604 <= 1 e43143: b4595 - b4596 + b4605 <= 1 e43144: b4595 - b4596 + b4606 <= 1 e43145: b4595 - b4596 + b4607 <= 1 e43146: b4595 - b4596 + b4608 <= 1 e43147: b4596 - b4597 + b4598 <= 1 e43148: b4596 - b4597 + b4599 <= 1 e43149: b4596 - b4597 + b4600 <= 1 e43150: b4596 - b4597 + b4601 <= 1 e43151: b4596 - b4597 + b4602 <= 1 e43152: b4596 - b4597 + b4603 <= 1 e43153: b4596 - b4597 + b4604 <= 1 e43154: b4596 - b4597 + b4605 <= 1 e43155: b4596 - b4597 + b4606 <= 1 e43156: b4596 - b4597 + b4607 <= 1 e43157: b4596 - b4597 + b4608 <= 1 e43158: b4596 - b4597 + b4609 <= 1 e43159: b4597 - b4598 + b4599 <= 1 e43160: b4597 - b4598 + b4600 <= 1 e43161: b4597 - b4598 + b4601 <= 1 e43162: b4597 - b4598 + b4602 <= 1 e43163: b4597 - b4598 + b4603 <= 1 e43164: b4597 - b4598 + b4604 <= 1 e43165: b4597 - b4598 + b4605 <= 1 e43166: b4597 - b4598 + b4606 <= 1 e43167: b4597 - b4598 + b4607 <= 1 e43168: b4597 - b4598 + b4608 <= 1 e43169: b4597 - b4598 + b4609 <= 1 e43170: b4598 - b4599 + b4600 <= 1 e43171: b4598 - b4599 + b4601 <= 1 e43172: b4598 - b4599 + b4602 <= 1 e43173: b4598 - b4599 + b4603 <= 1 e43174: b4598 - b4599 + b4604 <= 1 e43175: b4598 - b4599 + b4605 <= 1 e43176: b4598 - b4599 + b4606 <= 1 e43177: b4598 - b4599 + b4607 <= 1 e43178: b4598 - b4599 + b4608 <= 1 e43179: b4598 - b4599 + b4609 <= 1 e43180: b4599 - b4600 + b4601 <= 1 e43181: b4599 - b4600 + b4602 <= 1 e43182: b4599 - b4600 + b4603 <= 1 e43183: b4599 - b4600 + b4604 <= 1 e43184: b4599 - b4600 + b4605 <= 1 e43185: b4599 - b4600 + b4606 <= 1 e43186: b4599 - b4600 + b4607 <= 1 e43187: b4599 - b4600 + b4608 <= 1 e43188: b4599 - b4600 + b4609 <= 1 e43189: b4600 - b4601 + b4602 <= 1 e43190: b4600 - b4601 + b4603 <= 1 e43191: b4600 - b4601 + b4604 <= 1 e43192: b4600 - b4601 + b4605 <= 1 e43193: b4600 - b4601 + b4606 <= 1 e43194: b4600 - b4601 + b4607 <= 1 e43195: b4600 - b4601 + b4608 <= 1 e43196: b4600 - b4601 + b4609 <= 1 e43197: b4601 - b4602 + b4603 <= 1 e43198: b4601 - b4602 + b4604 <= 1 e43199: b4601 - b4602 + b4605 <= 1 e43200: b4601 - b4602 + b4606 <= 1 e43201: b4601 - b4602 + b4607 <= 1 e43202: b4601 - b4602 + b4608 <= 1 e43203: b4601 - b4602 + b4609 <= 1 e43204: b4602 - b4603 + b4604 <= 1 e43205: b4602 - b4603 + b4605 <= 1 e43206: b4602 - b4603 + b4606 <= 1 e43207: b4602 - b4603 + b4607 <= 1 e43208: b4602 - b4603 + b4608 <= 1 e43209: b4602 - b4603 + b4609 <= 1 e43210: b4603 - b4604 + b4605 <= 1 e43211: b4603 - b4604 + b4606 <= 1 e43212: b4603 - b4604 + b4607 <= 1 e43213: b4603 - b4604 + b4608 <= 1 e43214: b4603 - b4604 + b4609 <= 1 e43215: b4604 - b4605 + b4606 <= 1 e43216: b4604 - b4605 + b4607 <= 1 e43217: b4604 - b4605 + b4608 <= 1 e43218: b4604 - b4605 + b4609 <= 1 e43219: b4605 - b4606 + b4607 <= 1 e43220: b4605 - b4606 + b4608 <= 1 e43221: b4605 - b4606 + b4609 <= 1 e43222: b4606 - b4607 + b4608 <= 1 e43223: b4606 - b4607 + b4609 <= 1 e43224: b4607 - b4608 + b4609 <= 1 e43225: b4593 - b4594 + b4595 >= 0 e43226: b4593 - b4594 + b4596 >= 0 e43227: b4593 - b4594 + b4597 >= 0 e43228: b4593 - b4594 + b4598 >= 0 e43229: b4593 - b4594 + b4599 >= 0 e43230: b4593 - b4594 + b4600 >= 0 e43231: b4593 - b4594 + b4601 >= 0 e43232: b4593 - b4594 + b4602 >= 0 e43233: b4593 - b4594 + b4603 >= 0 e43234: b4593 - b4594 + b4604 >= 0 e43235: b4593 - b4594 + b4605 >= 0 e43236: b4594 - b4595 + b4596 >= 0 e43237: b4594 - b4595 + b4597 >= 0 e43238: b4594 - b4595 + b4598 >= 0 e43239: b4594 - b4595 + b4599 >= 0 e43240: b4594 - b4595 + b4600 >= 0 e43241: b4594 - b4595 + b4601 >= 0 e43242: b4594 - b4595 + b4602 >= 0 e43243: b4594 - b4595 + b4603 >= 0 e43244: b4594 - b4595 + b4604 >= 0 e43245: b4594 - b4595 + b4605 >= 0 e43246: b4594 - b4595 + b4606 >= 0 e43247: b4595 - b4596 + b4597 >= 0 e43248: b4595 - b4596 + b4598 >= 0 e43249: b4595 - b4596 + b4599 >= 0 e43250: b4595 - b4596 + b4600 >= 0 e43251: b4595 - b4596 + b4601 >= 0 e43252: b4595 - b4596 + b4602 >= 0 e43253: b4595 - b4596 + b4603 >= 0 e43254: b4595 - b4596 + b4604 >= 0 e43255: b4595 - b4596 + b4605 >= 0 e43256: b4595 - b4596 + b4606 >= 0 e43257: b4595 - b4596 + b4607 >= 0 e43258: b4596 - b4597 + b4598 >= 0 e43259: b4596 - b4597 + b4599 >= 0 e43260: b4596 - b4597 + b4600 >= 0 e43261: b4596 - b4597 + b4601 >= 0 e43262: b4596 - b4597 + b4602 >= 0 e43263: b4596 - b4597 + b4603 >= 0 e43264: b4596 - b4597 + b4604 >= 0 e43265: b4596 - b4597 + b4605 >= 0 e43266: b4596 - b4597 + b4606 >= 0 e43267: b4596 - b4597 + b4607 >= 0 e43268: b4596 - b4597 + b4608 >= 0 e43269: b4597 - b4598 + b4599 >= 0 e43270: b4597 - b4598 + b4600 >= 0 e43271: b4597 - b4598 + b4601 >= 0 e43272: b4597 - b4598 + b4602 >= 0 e43273: b4597 - b4598 + b4603 >= 0 e43274: b4597 - b4598 + b4604 >= 0 e43275: b4597 - b4598 + b4605 >= 0 e43276: b4597 - b4598 + b4606 >= 0 e43277: b4597 - b4598 + b4607 >= 0 e43278: b4597 - b4598 + b4608 >= 0 e43279: b4597 - b4598 + b4609 >= 0 e43280: b4598 - b4599 + b4600 >= 0 e43281: b4598 - b4599 + b4601 >= 0 e43282: b4598 - b4599 + b4602 >= 0 e43283: b4598 - b4599 + b4603 >= 0 e43284: b4598 - b4599 + b4604 >= 0 e43285: b4598 - b4599 + b4605 >= 0 e43286: b4598 - b4599 + b4606 >= 0 e43287: b4598 - b4599 + b4607 >= 0 e43288: b4598 - b4599 + b4608 >= 0 e43289: b4598 - b4599 + b4609 >= 0 e43290: b4599 - b4600 + b4601 >= 0 e43291: b4599 - b4600 + b4602 >= 0 e43292: b4599 - b4600 + b4603 >= 0 e43293: b4599 - b4600 + b4604 >= 0 e43294: b4599 - b4600 + b4605 >= 0 e43295: b4599 - b4600 + b4606 >= 0 e43296: b4599 - b4600 + b4607 >= 0 e43297: b4599 - b4600 + b4608 >= 0 e43298: b4599 - b4600 + b4609 >= 0 e43299: b4600 - b4601 + b4602 >= 0 e43300: b4600 - b4601 + b4603 >= 0 e43301: b4600 - b4601 + b4604 >= 0 e43302: b4600 - b4601 + b4605 >= 0 e43303: b4600 - b4601 + b4606 >= 0 e43304: b4600 - b4601 + b4607 >= 0 e43305: b4600 - b4601 + b4608 >= 0 e43306: b4600 - b4601 + b4609 >= 0 e43307: b4601 - b4602 + b4603 >= 0 e43308: b4601 - b4602 + b4604 >= 0 e43309: b4601 - b4602 + b4605 >= 0 e43310: b4601 - b4602 + b4606 >= 0 e43311: b4601 - b4602 + b4607 >= 0 e43312: b4601 - b4602 + b4608 >= 0 e43313: b4601 - b4602 + b4609 >= 0 e43314: b4602 - b4603 + b4604 >= 0 e43315: b4602 - b4603 + b4605 >= 0 e43316: b4602 - b4603 + b4606 >= 0 e43317: b4602 - b4603 + b4607 >= 0 e43318: b4602 - b4603 + b4608 >= 0 e43319: b4602 - b4603 + b4609 >= 0 e43320: b4603 - b4604 + b4605 >= 0 e43321: b4603 - b4604 + b4606 >= 0 e43322: b4603 - b4604 + b4607 >= 0 e43323: b4603 - b4604 + b4608 >= 0 e43324: b4603 - b4604 + b4609 >= 0 e43325: b4604 - b4605 + b4606 >= 0 e43326: b4604 - b4605 + b4607 >= 0 e43327: b4604 - b4605 + b4608 >= 0 e43328: b4604 - b4605 + b4609 >= 0 e43329: b4605 - b4606 + b4607 >= 0 e43330: b4605 - b4606 + b4608 >= 0 e43331: b4605 - b4606 + b4609 >= 0 e43332: b4606 - b4607 + b4608 >= 0 e43333: b4606 - b4607 + b4609 >= 0 e43334: b4607 - b4608 + b4609 >= 0 e43335: - b4610 + b4611 + x19290 <= 1 e43336: - b4610 + b4612 + x19290 <= 1 e43337: - b4610 + b4613 + x19290 <= 1 e43338: - b4610 + b4614 + x19290 <= 1 e43339: - b4610 + b4615 + x19290 <= 1 e43340: - b4610 + b4616 + x19290 <= 1 e43341: - b4610 + b4617 + x19290 <= 1 e43342: - b4610 + b4618 + x19290 <= 1 e43343: - b4610 + b4619 + x19290 <= 1 e43344: - b4610 + b4620 + x19290 <= 1 e43345: - b4610 + b4621 + x19290 <= 1 e43346: b4610 - b4611 + b4612 <= 1 e43347: b4610 - b4611 + b4613 <= 1 e43348: b4610 - b4611 + b4614 <= 1 e43349: b4610 - b4611 + b4615 <= 1 e43350: b4610 - b4611 + b4616 <= 1 e43351: b4610 - b4611 + b4617 <= 1 e43352: b4610 - b4611 + b4618 <= 1 e43353: b4610 - b4611 + b4619 <= 1 e43354: b4610 - b4611 + b4620 <= 1 e43355: b4610 - b4611 + b4621 <= 1 e43356: b4610 - b4611 + b4622 <= 1 e43357: b4611 - b4612 + b4613 <= 1 e43358: b4611 - b4612 + b4614 <= 1 e43359: b4611 - b4612 + b4615 <= 1 e43360: b4611 - b4612 + b4616 <= 1 e43361: b4611 - b4612 + b4617 <= 1 e43362: b4611 - b4612 + b4618 <= 1 e43363: b4611 - b4612 + b4619 <= 1 e43364: b4611 - b4612 + b4620 <= 1 e43365: b4611 - b4612 + b4621 <= 1 e43366: b4611 - b4612 + b4622 <= 1 e43367: b4611 - b4612 + b4623 <= 1 e43368: b4612 - b4613 + b4614 <= 1 e43369: b4612 - b4613 + b4615 <= 1 e43370: b4612 - b4613 + b4616 <= 1 e43371: b4612 - b4613 + b4617 <= 1 e43372: b4612 - b4613 + b4618 <= 1 e43373: b4612 - b4613 + b4619 <= 1 e43374: b4612 - b4613 + b4620 <= 1 e43375: b4612 - b4613 + b4621 <= 1 e43376: b4612 - b4613 + b4622 <= 1 e43377: b4612 - b4613 + b4623 <= 1 e43378: b4612 - b4613 + b4624 <= 1 e43379: b4613 - b4614 + b4615 <= 1 e43380: b4613 - b4614 + b4616 <= 1 e43381: b4613 - b4614 + b4617 <= 1 e43382: b4613 - b4614 + b4618 <= 1 e43383: b4613 - b4614 + b4619 <= 1 e43384: b4613 - b4614 + b4620 <= 1 e43385: b4613 - b4614 + b4621 <= 1 e43386: b4613 - b4614 + b4622 <= 1 e43387: b4613 - b4614 + b4623 <= 1 e43388: b4613 - b4614 + b4624 <= 1 e43389: b4613 - b4614 + b4625 <= 1 e43390: b4614 - b4615 + b4616 <= 1 e43391: b4614 - b4615 + b4617 <= 1 e43392: b4614 - b4615 + b4618 <= 1 e43393: b4614 - b4615 + b4619 <= 1 e43394: b4614 - b4615 + b4620 <= 1 e43395: b4614 - b4615 + b4621 <= 1 e43396: b4614 - b4615 + b4622 <= 1 e43397: b4614 - b4615 + b4623 <= 1 e43398: b4614 - b4615 + b4624 <= 1 e43399: b4614 - b4615 + b4625 <= 1 e43400: b4614 - b4615 + b4626 <= 1 e43401: b4615 - b4616 + b4617 <= 1 e43402: b4615 - b4616 + b4618 <= 1 e43403: b4615 - b4616 + b4619 <= 1 e43404: b4615 - b4616 + b4620 <= 1 e43405: b4615 - b4616 + b4621 <= 1 e43406: b4615 - b4616 + b4622 <= 1 e43407: b4615 - b4616 + b4623 <= 1 e43408: b4615 - b4616 + b4624 <= 1 e43409: b4615 - b4616 + b4625 <= 1 e43410: b4615 - b4616 + b4626 <= 1 e43411: b4615 - b4616 + b4627 <= 1 e43412: b4616 - b4617 + b4618 <= 1 e43413: b4616 - b4617 + b4619 <= 1 e43414: b4616 - b4617 + b4620 <= 1 e43415: b4616 - b4617 + b4621 <= 1 e43416: b4616 - b4617 + b4622 <= 1 e43417: b4616 - b4617 + b4623 <= 1 e43418: b4616 - b4617 + b4624 <= 1 e43419: b4616 - b4617 + b4625 <= 1 e43420: b4616 - b4617 + b4626 <= 1 e43421: b4616 - b4617 + b4627 <= 1 e43422: b4616 - b4617 + b4628 <= 1 e43423: b4617 - b4618 + b4619 <= 1 e43424: b4617 - b4618 + b4620 <= 1 e43425: b4617 - b4618 + b4621 <= 1 e43426: b4617 - b4618 + b4622 <= 1 e43427: b4617 - b4618 + b4623 <= 1 e43428: b4617 - b4618 + b4624 <= 1 e43429: b4617 - b4618 + b4625 <= 1 e43430: b4617 - b4618 + b4626 <= 1 e43431: b4617 - b4618 + b4627 <= 1 e43432: b4617 - b4618 + b4628 <= 1 e43433: b4617 - b4618 + b4629 <= 1 e43434: b4618 - b4619 + b4620 <= 1 e43435: b4618 - b4619 + b4621 <= 1 e43436: b4618 - b4619 + b4622 <= 1 e43437: b4618 - b4619 + b4623 <= 1 e43438: b4618 - b4619 + b4624 <= 1 e43439: b4618 - b4619 + b4625 <= 1 e43440: b4618 - b4619 + b4626 <= 1 e43441: b4618 - b4619 + b4627 <= 1 e43442: b4618 - b4619 + b4628 <= 1 e43443: b4618 - b4619 + b4629 <= 1 e43444: b4618 - b4619 + b4630 <= 1 e43445: b4619 - b4620 + b4621 <= 1 e43446: b4619 - b4620 + b4622 <= 1 e43447: b4619 - b4620 + b4623 <= 1 e43448: b4619 - b4620 + b4624 <= 1 e43449: b4619 - b4620 + b4625 <= 1 e43450: b4619 - b4620 + b4626 <= 1 e43451: b4619 - b4620 + b4627 <= 1 e43452: b4619 - b4620 + b4628 <= 1 e43453: b4619 - b4620 + b4629 <= 1 e43454: b4619 - b4620 + b4630 <= 1 e43455: b4619 - b4620 + b4631 <= 1 e43456: b4620 - b4621 + b4622 <= 1 e43457: b4620 - b4621 + b4623 <= 1 e43458: b4620 - b4621 + b4624 <= 1 e43459: b4620 - b4621 + b4625 <= 1 e43460: b4620 - b4621 + b4626 <= 1 e43461: b4620 - b4621 + b4627 <= 1 e43462: b4620 - b4621 + b4628 <= 1 e43463: b4620 - b4621 + b4629 <= 1 e43464: b4620 - b4621 + b4630 <= 1 e43465: b4620 - b4621 + b4631 <= 1 e43466: b4620 - b4621 + b4632 <= 1 e43467: b4621 - b4622 + b4623 <= 1 e43468: b4621 - b4622 + b4624 <= 1 e43469: b4621 - b4622 + b4625 <= 1 e43470: b4621 - b4622 + b4626 <= 1 e43471: b4621 - b4622 + b4627 <= 1 e43472: b4621 - b4622 + b4628 <= 1 e43473: b4621 - b4622 + b4629 <= 1 e43474: b4621 - b4622 + b4630 <= 1 e43475: b4621 - b4622 + b4631 <= 1 e43476: b4621 - b4622 + b4632 <= 1 e43477: b4621 - b4622 + b4633 <= 1 e43478: b4622 - b4623 + b4624 <= 1 e43479: b4622 - b4623 + b4625 <= 1 e43480: b4622 - b4623 + b4626 <= 1 e43481: b4622 - b4623 + b4627 <= 1 e43482: b4622 - b4623 + b4628 <= 1 e43483: b4622 - b4623 + b4629 <= 1 e43484: b4622 - b4623 + b4630 <= 1 e43485: b4622 - b4623 + b4631 <= 1 e43486: b4622 - b4623 + b4632 <= 1 e43487: b4622 - b4623 + b4633 <= 1 e43488: b4623 - b4624 + b4625 <= 1 e43489: b4623 - b4624 + b4626 <= 1 e43490: b4623 - b4624 + b4627 <= 1 e43491: b4623 - b4624 + b4628 <= 1 e43492: b4623 - b4624 + b4629 <= 1 e43493: b4623 - b4624 + b4630 <= 1 e43494: b4623 - b4624 + b4631 <= 1 e43495: b4623 - b4624 + b4632 <= 1 e43496: b4623 - b4624 + b4633 <= 1 e43497: b4624 - b4625 + b4626 <= 1 e43498: b4624 - b4625 + b4627 <= 1 e43499: b4624 - b4625 + b4628 <= 1 e43500: b4624 - b4625 + b4629 <= 1 e43501: b4624 - b4625 + b4630 <= 1 e43502: b4624 - b4625 + b4631 <= 1 e43503: b4624 - b4625 + b4632 <= 1 e43504: b4624 - b4625 + b4633 <= 1 e43505: b4625 - b4626 + b4627 <= 1 e43506: b4625 - b4626 + b4628 <= 1 e43507: b4625 - b4626 + b4629 <= 1 e43508: b4625 - b4626 + b4630 <= 1 e43509: b4625 - b4626 + b4631 <= 1 e43510: b4625 - b4626 + b4632 <= 1 e43511: b4625 - b4626 + b4633 <= 1 e43512: b4626 - b4627 + b4628 <= 1 e43513: b4626 - b4627 + b4629 <= 1 e43514: b4626 - b4627 + b4630 <= 1 e43515: b4626 - b4627 + b4631 <= 1 e43516: b4626 - b4627 + b4632 <= 1 e43517: b4626 - b4627 + b4633 <= 1 e43518: b4627 - b4628 + b4629 <= 1 e43519: b4627 - b4628 + b4630 <= 1 e43520: b4627 - b4628 + b4631 <= 1 e43521: b4627 - b4628 + b4632 <= 1 e43522: b4627 - b4628 + b4633 <= 1 e43523: b4628 - b4629 + b4630 <= 1 e43524: b4628 - b4629 + b4631 <= 1 e43525: b4628 - b4629 + b4632 <= 1 e43526: b4628 - b4629 + b4633 <= 1 e43527: b4629 - b4630 + b4631 <= 1 e43528: b4629 - b4630 + b4632 <= 1 e43529: b4629 - b4630 + b4633 <= 1 e43530: b4630 - b4631 + b4632 <= 1 e43531: b4630 - b4631 + b4633 <= 1 e43532: b4631 - b4632 + b4633 <= 1 e43533: - b4610 + b4611 + x19290 >= 0 e43534: - b4610 + b4612 + x19290 >= 0 e43535: - b4610 + b4613 + x19290 >= 0 e43536: - b4610 + b4614 + x19290 >= 0 e43537: - b4610 + b4615 + x19290 >= 0 e43538: - b4610 + b4616 + x19290 >= 0 e43539: - b4610 + b4617 + x19290 >= 0 e43540: - b4610 + b4618 + x19290 >= 0 e43541: - b4610 + b4619 + x19290 >= 0 e43542: - b4610 + b4620 + x19290 >= 0 e43543: b4610 - b4611 + b4612 >= 0 e43544: b4610 - b4611 + b4613 >= 0 e43545: b4610 - b4611 + b4614 >= 0 e43546: b4610 - b4611 + b4615 >= 0 e43547: b4610 - b4611 + b4616 >= 0 e43548: b4610 - b4611 + b4617 >= 0 e43549: b4610 - b4611 + b4618 >= 0 e43550: b4610 - b4611 + b4619 >= 0 e43551: b4610 - b4611 + b4620 >= 0 e43552: b4610 - b4611 + b4621 >= 0 e43553: b4611 - b4612 + b4613 >= 0 e43554: b4611 - b4612 + b4614 >= 0 e43555: b4611 - b4612 + b4615 >= 0 e43556: b4611 - b4612 + b4616 >= 0 e43557: b4611 - b4612 + b4617 >= 0 e43558: b4611 - b4612 + b4618 >= 0 e43559: b4611 - b4612 + b4619 >= 0 e43560: b4611 - b4612 + b4620 >= 0 e43561: b4611 - b4612 + b4621 >= 0 e43562: b4611 - b4612 + b4622 >= 0 e43563: b4612 - b4613 + b4614 >= 0 e43564: b4612 - b4613 + b4615 >= 0 e43565: b4612 - b4613 + b4616 >= 0 e43566: b4612 - b4613 + b4617 >= 0 e43567: b4612 - b4613 + b4618 >= 0 e43568: b4612 - b4613 + b4619 >= 0 e43569: b4612 - b4613 + b4620 >= 0 e43570: b4612 - b4613 + b4621 >= 0 e43571: b4612 - b4613 + b4622 >= 0 e43572: b4612 - b4613 + b4623 >= 0 e43573: b4613 - b4614 + b4615 >= 0 e43574: b4613 - b4614 + b4616 >= 0 e43575: b4613 - b4614 + b4617 >= 0 e43576: b4613 - b4614 + b4618 >= 0 e43577: b4613 - b4614 + b4619 >= 0 e43578: b4613 - b4614 + b4620 >= 0 e43579: b4613 - b4614 + b4621 >= 0 e43580: b4613 - b4614 + b4622 >= 0 e43581: b4613 - b4614 + b4623 >= 0 e43582: b4613 - b4614 + b4624 >= 0 e43583: b4614 - b4615 + b4616 >= 0 e43584: b4614 - b4615 + b4617 >= 0 e43585: b4614 - b4615 + b4618 >= 0 e43586: b4614 - b4615 + b4619 >= 0 e43587: b4614 - b4615 + b4620 >= 0 e43588: b4614 - b4615 + b4621 >= 0 e43589: b4614 - b4615 + b4622 >= 0 e43590: b4614 - b4615 + b4623 >= 0 e43591: b4614 - b4615 + b4624 >= 0 e43592: b4614 - b4615 + b4625 >= 0 e43593: b4615 - b4616 + b4617 >= 0 e43594: b4615 - b4616 + b4618 >= 0 e43595: b4615 - b4616 + b4619 >= 0 e43596: b4615 - b4616 + b4620 >= 0 e43597: b4615 - b4616 + b4621 >= 0 e43598: b4615 - b4616 + b4622 >= 0 e43599: b4615 - b4616 + b4623 >= 0 e43600: b4615 - b4616 + b4624 >= 0 e43601: b4615 - b4616 + b4625 >= 0 e43602: b4615 - b4616 + b4626 >= 0 e43603: b4616 - b4617 + b4618 >= 0 e43604: b4616 - b4617 + b4619 >= 0 e43605: b4616 - b4617 + b4620 >= 0 e43606: b4616 - b4617 + b4621 >= 0 e43607: b4616 - b4617 + b4622 >= 0 e43608: b4616 - b4617 + b4623 >= 0 e43609: b4616 - b4617 + b4624 >= 0 e43610: b4616 - b4617 + b4625 >= 0 e43611: b4616 - b4617 + b4626 >= 0 e43612: b4616 - b4617 + b4627 >= 0 e43613: b4617 - b4618 + b4619 >= 0 e43614: b4617 - b4618 + b4620 >= 0 e43615: b4617 - b4618 + b4621 >= 0 e43616: b4617 - b4618 + b4622 >= 0 e43617: b4617 - b4618 + b4623 >= 0 e43618: b4617 - b4618 + b4624 >= 0 e43619: b4617 - b4618 + b4625 >= 0 e43620: b4617 - b4618 + b4626 >= 0 e43621: b4617 - b4618 + b4627 >= 0 e43622: b4617 - b4618 + b4628 >= 0 e43623: b4618 - b4619 + b4620 >= 0 e43624: b4618 - b4619 + b4621 >= 0 e43625: b4618 - b4619 + b4622 >= 0 e43626: b4618 - b4619 + b4623 >= 0 e43627: b4618 - b4619 + b4624 >= 0 e43628: b4618 - b4619 + b4625 >= 0 e43629: b4618 - b4619 + b4626 >= 0 e43630: b4618 - b4619 + b4627 >= 0 e43631: b4618 - b4619 + b4628 >= 0 e43632: b4618 - b4619 + b4629 >= 0 e43633: b4619 - b4620 + b4621 >= 0 e43634: b4619 - b4620 + b4622 >= 0 e43635: b4619 - b4620 + b4623 >= 0 e43636: b4619 - b4620 + b4624 >= 0 e43637: b4619 - b4620 + b4625 >= 0 e43638: b4619 - b4620 + b4626 >= 0 e43639: b4619 - b4620 + b4627 >= 0 e43640: b4619 - b4620 + b4628 >= 0 e43641: b4619 - b4620 + b4629 >= 0 e43642: b4619 - b4620 + b4630 >= 0 e43643: b4620 - b4621 + b4622 >= 0 e43644: b4620 - b4621 + b4623 >= 0 e43645: b4620 - b4621 + b4624 >= 0 e43646: b4620 - b4621 + b4625 >= 0 e43647: b4620 - b4621 + b4626 >= 0 e43648: b4620 - b4621 + b4627 >= 0 e43649: b4620 - b4621 + b4628 >= 0 e43650: b4620 - b4621 + b4629 >= 0 e43651: b4620 - b4621 + b4630 >= 0 e43652: b4620 - b4621 + b4631 >= 0 e43653: b4621 - b4622 + b4623 >= 0 e43654: b4621 - b4622 + b4624 >= 0 e43655: b4621 - b4622 + b4625 >= 0 e43656: b4621 - b4622 + b4626 >= 0 e43657: b4621 - b4622 + b4627 >= 0 e43658: b4621 - b4622 + b4628 >= 0 e43659: b4621 - b4622 + b4629 >= 0 e43660: b4621 - b4622 + b4630 >= 0 e43661: b4621 - b4622 + b4631 >= 0 e43662: b4621 - b4622 + b4632 >= 0 e43663: b4622 - b4623 + b4624 >= 0 e43664: b4622 - b4623 + b4625 >= 0 e43665: b4622 - b4623 + b4626 >= 0 e43666: b4622 - b4623 + b4627 >= 0 e43667: b4622 - b4623 + b4628 >= 0 e43668: b4622 - b4623 + b4629 >= 0 e43669: b4622 - b4623 + b4630 >= 0 e43670: b4622 - b4623 + b4631 >= 0 e43671: b4622 - b4623 + b4632 >= 0 e43672: b4622 - b4623 + b4633 >= 0 e43673: b4623 - b4624 + b4625 >= 0 e43674: b4623 - b4624 + b4626 >= 0 e43675: b4623 - b4624 + b4627 >= 0 e43676: b4623 - b4624 + b4628 >= 0 e43677: b4623 - b4624 + b4629 >= 0 e43678: b4623 - b4624 + b4630 >= 0 e43679: b4623 - b4624 + b4631 >= 0 e43680: b4623 - b4624 + b4632 >= 0 e43681: b4623 - b4624 + b4633 >= 0 e43682: b4624 - b4625 + b4626 >= 0 e43683: b4624 - b4625 + b4627 >= 0 e43684: b4624 - b4625 + b4628 >= 0 e43685: b4624 - b4625 + b4629 >= 0 e43686: b4624 - b4625 + b4630 >= 0 e43687: b4624 - b4625 + b4631 >= 0 e43688: b4624 - b4625 + b4632 >= 0 e43689: b4624 - b4625 + b4633 >= 0 e43690: b4625 - b4626 + b4627 >= 0 e43691: b4625 - b4626 + b4628 >= 0 e43692: b4625 - b4626 + b4629 >= 0 e43693: b4625 - b4626 + b4630 >= 0 e43694: b4625 - b4626 + b4631 >= 0 e43695: b4625 - b4626 + b4632 >= 0 e43696: b4625 - b4626 + b4633 >= 0 e43697: b4626 - b4627 + b4628 >= 0 e43698: b4626 - b4627 + b4629 >= 0 e43699: b4626 - b4627 + b4630 >= 0 e43700: b4626 - b4627 + b4631 >= 0 e43701: b4626 - b4627 + b4632 >= 0 e43702: b4626 - b4627 + b4633 >= 0 e43703: b4627 - b4628 + b4629 >= 0 e43704: b4627 - b4628 + b4630 >= 0 e43705: b4627 - b4628 + b4631 >= 0 e43706: b4627 - b4628 + b4632 >= 0 e43707: b4627 - b4628 + b4633 >= 0 e43708: b4628 - b4629 + b4630 >= 0 e43709: b4628 - b4629 + b4631 >= 0 e43710: b4628 - b4629 + b4632 >= 0 e43711: b4628 - b4629 + b4633 >= 0 e43712: b4629 - b4630 + b4631 >= 0 e43713: b4629 - b4630 + b4632 >= 0 e43714: b4629 - b4630 + b4633 >= 0 e43715: b4630 - b4631 + b4632 >= 0 e43716: b4630 - b4631 + b4633 >= 0 e43717: b4631 - b4632 + b4633 >= 0 e43718: b4634 = 0 e43719: b4635 = 0 e43720: b4636 = 0 e43721: b4637 = 0 e43722: b4638 = 0 e43723: b4639 = 0 e43724: b4640 = 0 e43725: b4641 = 0 e43726: b4642 = 0 e43727: b4643 = 0 e43728: b4644 = 0 e43729: b4645 = 0 e43730: b4645 - b4646 + b4647 <= 1 e43731: b4645 - b4646 + b4648 <= 1 e43732: b4645 - b4646 + b4649 <= 1 e43733: b4645 - b4646 + b4650 <= 1 e43734: b4645 - b4646 + b4651 <= 1 e43735: b4645 - b4646 + b4652 <= 1 e43736: b4645 - b4646 + b4653 <= 1 e43737: b4645 - b4646 + b4654 <= 1 e43738: b4645 - b4646 + b4655 <= 1 e43739: b4645 - b4646 + b4656 <= 1 e43740: b4645 - b4646 + b4657 <= 1 e43741: b4646 - b4647 + b4648 <= 1 e43742: b4646 - b4647 + b4649 <= 1 e43743: b4646 - b4647 + b4650 <= 1 e43744: b4646 - b4647 + b4651 <= 1 e43745: b4646 - b4647 + b4652 <= 1 e43746: b4646 - b4647 + b4653 <= 1 e43747: b4646 - b4647 + b4654 <= 1 e43748: b4646 - b4647 + b4655 <= 1 e43749: b4646 - b4647 + b4656 <= 1 e43750: b4646 - b4647 + b4657 <= 1 e43751: b4647 - b4648 + b4649 <= 1 e43752: b4647 - b4648 + b4650 <= 1 e43753: b4647 - b4648 + b4651 <= 1 e43754: b4647 - b4648 + b4652 <= 1 e43755: b4647 - b4648 + b4653 <= 1 e43756: b4647 - b4648 + b4654 <= 1 e43757: b4647 - b4648 + b4655 <= 1 e43758: b4647 - b4648 + b4656 <= 1 e43759: b4647 - b4648 + b4657 <= 1 e43760: b4648 - b4649 + b4650 <= 1 e43761: b4648 - b4649 + b4651 <= 1 e43762: b4648 - b4649 + b4652 <= 1 e43763: b4648 - b4649 + b4653 <= 1 e43764: b4648 - b4649 + b4654 <= 1 e43765: b4648 - b4649 + b4655 <= 1 e43766: b4648 - b4649 + b4656 <= 1 e43767: b4648 - b4649 + b4657 <= 1 e43768: b4649 - b4650 + b4651 <= 1 e43769: b4649 - b4650 + b4652 <= 1 e43770: b4649 - b4650 + b4653 <= 1 e43771: b4649 - b4650 + b4654 <= 1 e43772: b4649 - b4650 + b4655 <= 1 e43773: b4649 - b4650 + b4656 <= 1 e43774: b4649 - b4650 + b4657 <= 1 e43775: b4650 - b4651 + b4652 <= 1 e43776: b4650 - b4651 + b4653 <= 1 e43777: b4650 - b4651 + b4654 <= 1 e43778: b4650 - b4651 + b4655 <= 1 e43779: b4650 - b4651 + b4656 <= 1 e43780: b4650 - b4651 + b4657 <= 1 e43781: b4651 - b4652 + b4653 <= 1 e43782: b4651 - b4652 + b4654 <= 1 e43783: b4651 - b4652 + b4655 <= 1 e43784: b4651 - b4652 + b4656 <= 1 e43785: b4651 - b4652 + b4657 <= 1 e43786: b4652 - b4653 + b4654 <= 1 e43787: b4652 - b4653 + b4655 <= 1 e43788: b4652 - b4653 + b4656 <= 1 e43789: b4652 - b4653 + b4657 <= 1 e43790: b4653 - b4654 + b4655 <= 1 e43791: b4653 - b4654 + b4656 <= 1 e43792: b4653 - b4654 + b4657 <= 1 e43793: b4654 - b4655 + b4656 <= 1 e43794: b4654 - b4655 + b4657 <= 1 e43795: b4655 - b4656 + b4657 <= 1 e43796: b4645 - b4646 + b4647 >= 0 e43797: b4645 - b4646 + b4648 >= 0 e43798: b4645 - b4646 + b4649 >= 0 e43799: b4645 - b4646 + b4650 >= 0 e43800: b4645 - b4646 + b4651 >= 0 e43801: b4645 - b4646 + b4652 >= 0 e43802: b4645 - b4646 + b4653 >= 0 e43803: b4645 - b4646 + b4654 >= 0 e43804: b4645 - b4646 + b4655 >= 0 e43805: b4645 - b4646 + b4656 >= 0 e43806: b4646 - b4647 + b4648 >= 0 e43807: b4646 - b4647 + b4649 >= 0 e43808: b4646 - b4647 + b4650 >= 0 e43809: b4646 - b4647 + b4651 >= 0 e43810: b4646 - b4647 + b4652 >= 0 e43811: b4646 - b4647 + b4653 >= 0 e43812: b4646 - b4647 + b4654 >= 0 e43813: b4646 - b4647 + b4655 >= 0 e43814: b4646 - b4647 + b4656 >= 0 e43815: b4646 - b4647 + b4657 >= 0 e43816: b4647 - b4648 + b4649 >= 0 e43817: b4647 - b4648 + b4650 >= 0 e43818: b4647 - b4648 + b4651 >= 0 e43819: b4647 - b4648 + b4652 >= 0 e43820: b4647 - b4648 + b4653 >= 0 e43821: b4647 - b4648 + b4654 >= 0 e43822: b4647 - b4648 + b4655 >= 0 e43823: b4647 - b4648 + b4656 >= 0 e43824: b4647 - b4648 + b4657 >= 0 e43825: b4648 - b4649 + b4650 >= 0 e43826: b4648 - b4649 + b4651 >= 0 e43827: b4648 - b4649 + b4652 >= 0 e43828: b4648 - b4649 + b4653 >= 0 e43829: b4648 - b4649 + b4654 >= 0 e43830: b4648 - b4649 + b4655 >= 0 e43831: b4648 - b4649 + b4656 >= 0 e43832: b4648 - b4649 + b4657 >= 0 e43833: b4649 - b4650 + b4651 >= 0 e43834: b4649 - b4650 + b4652 >= 0 e43835: b4649 - b4650 + b4653 >= 0 e43836: b4649 - b4650 + b4654 >= 0 e43837: b4649 - b4650 + b4655 >= 0 e43838: b4649 - b4650 + b4656 >= 0 e43839: b4649 - b4650 + b4657 >= 0 e43840: b4650 - b4651 + b4652 >= 0 e43841: b4650 - b4651 + b4653 >= 0 e43842: b4650 - b4651 + b4654 >= 0 e43843: b4650 - b4651 + b4655 >= 0 e43844: b4650 - b4651 + b4656 >= 0 e43845: b4650 - b4651 + b4657 >= 0 e43846: b4651 - b4652 + b4653 >= 0 e43847: b4651 - b4652 + b4654 >= 0 e43848: b4651 - b4652 + b4655 >= 0 e43849: b4651 - b4652 + b4656 >= 0 e43850: b4651 - b4652 + b4657 >= 0 e43851: b4652 - b4653 + b4654 >= 0 e43852: b4652 - b4653 + b4655 >= 0 e43853: b4652 - b4653 + b4656 >= 0 e43854: b4652 - b4653 + b4657 >= 0 e43855: b4653 - b4654 + b4655 >= 0 e43856: b4653 - b4654 + b4656 >= 0 e43857: b4653 - b4654 + b4657 >= 0 e43858: b4654 - b4655 + b4656 >= 0 e43859: b4654 - b4655 + b4657 >= 0 e43860: b4655 - b4656 + b4657 >= 0 e43861: b4658 = 0 e43862: b4659 = 0 e43863: b4660 = 0 e43864: b4661 = 0 e43865: b4662 = 0 e43866: b4663 = 0 e43867: b4664 = 0 e43868: b4665 = 0 e43869: b4666 = 0 e43870: b4666 - b4667 + b4668 <= 1 e43871: b4666 - b4667 + b4669 <= 1 e43872: b4666 - b4667 + b4670 <= 1 e43873: b4666 - b4667 + b4671 <= 1 e43874: b4666 - b4667 + b4672 <= 1 e43875: b4666 - b4667 + b4673 <= 1 e43876: b4666 - b4667 + b4674 <= 1 e43877: b4666 - b4667 + b4675 <= 1 e43878: b4666 - b4667 + b4676 <= 1 e43879: b4666 - b4667 + b4677 <= 1 e43880: b4666 - b4667 + b4678 <= 1 e43881: b4667 - b4668 + b4669 <= 1 e43882: b4667 - b4668 + b4670 <= 1 e43883: b4667 - b4668 + b4671 <= 1 e43884: b4667 - b4668 + b4672 <= 1 e43885: b4667 - b4668 + b4673 <= 1 e43886: b4667 - b4668 + b4674 <= 1 e43887: b4667 - b4668 + b4675 <= 1 e43888: b4667 - b4668 + b4676 <= 1 e43889: b4667 - b4668 + b4677 <= 1 e43890: b4667 - b4668 + b4678 <= 1 e43891: b4667 - b4668 + b4679 <= 1 e43892: b4668 - b4669 + b4670 <= 1 e43893: b4668 - b4669 + b4671 <= 1 e43894: b4668 - b4669 + b4672 <= 1 e43895: b4668 - b4669 + b4673 <= 1 e43896: b4668 - b4669 + b4674 <= 1 e43897: b4668 - b4669 + b4675 <= 1 e43898: b4668 - b4669 + b4676 <= 1 e43899: b4668 - b4669 + b4677 <= 1 e43900: b4668 - b4669 + b4678 <= 1 e43901: b4668 - b4669 + b4679 <= 1 e43902: b4668 - b4669 + b4680 <= 1 e43903: b4669 - b4670 + b4671 <= 1 e43904: b4669 - b4670 + b4672 <= 1 e43905: b4669 - b4670 + b4673 <= 1 e43906: b4669 - b4670 + b4674 <= 1 e43907: b4669 - b4670 + b4675 <= 1 e43908: b4669 - b4670 + b4676 <= 1 e43909: b4669 - b4670 + b4677 <= 1 e43910: b4669 - b4670 + b4678 <= 1 e43911: b4669 - b4670 + b4679 <= 1 e43912: b4669 - b4670 + b4680 <= 1 e43913: b4669 - b4670 + b4681 <= 1 e43914: b4670 - b4671 + b4672 <= 1 e43915: b4670 - b4671 + b4673 <= 1 e43916: b4670 - b4671 + b4674 <= 1 e43917: b4670 - b4671 + b4675 <= 1 e43918: b4670 - b4671 + b4676 <= 1 e43919: b4670 - b4671 + b4677 <= 1 e43920: b4670 - b4671 + b4678 <= 1 e43921: b4670 - b4671 + b4679 <= 1 e43922: b4670 - b4671 + b4680 <= 1 e43923: b4670 - b4671 + b4681 <= 1 e43924: b4671 - b4672 + b4673 <= 1 e43925: b4671 - b4672 + b4674 <= 1 e43926: b4671 - b4672 + b4675 <= 1 e43927: b4671 - b4672 + b4676 <= 1 e43928: b4671 - b4672 + b4677 <= 1 e43929: b4671 - b4672 + b4678 <= 1 e43930: b4671 - b4672 + b4679 <= 1 e43931: b4671 - b4672 + b4680 <= 1 e43932: b4671 - b4672 + b4681 <= 1 e43933: b4672 - b4673 + b4674 <= 1 e43934: b4672 - b4673 + b4675 <= 1 e43935: b4672 - b4673 + b4676 <= 1 e43936: b4672 - b4673 + b4677 <= 1 e43937: b4672 - b4673 + b4678 <= 1 e43938: b4672 - b4673 + b4679 <= 1 e43939: b4672 - b4673 + b4680 <= 1 e43940: b4672 - b4673 + b4681 <= 1 e43941: b4673 - b4674 + b4675 <= 1 e43942: b4673 - b4674 + b4676 <= 1 e43943: b4673 - b4674 + b4677 <= 1 e43944: b4673 - b4674 + b4678 <= 1 e43945: b4673 - b4674 + b4679 <= 1 e43946: b4673 - b4674 + b4680 <= 1 e43947: b4673 - b4674 + b4681 <= 1 e43948: b4674 - b4675 + b4676 <= 1 e43949: b4674 - b4675 + b4677 <= 1 e43950: b4674 - b4675 + b4678 <= 1 e43951: b4674 - b4675 + b4679 <= 1 e43952: b4674 - b4675 + b4680 <= 1 e43953: b4674 - b4675 + b4681 <= 1 e43954: b4675 - b4676 + b4677 <= 1 e43955: b4675 - b4676 + b4678 <= 1 e43956: b4675 - b4676 + b4679 <= 1 e43957: b4675 - b4676 + b4680 <= 1 e43958: b4675 - b4676 + b4681 <= 1 e43959: b4676 - b4677 + b4678 <= 1 e43960: b4676 - b4677 + b4679 <= 1 e43961: b4676 - b4677 + b4680 <= 1 e43962: b4676 - b4677 + b4681 <= 1 e43963: b4677 - b4678 + b4679 <= 1 e43964: b4677 - b4678 + b4680 <= 1 e43965: b4677 - b4678 + b4681 <= 1 e43966: b4678 - b4679 + b4680 <= 1 e43967: b4678 - b4679 + b4681 <= 1 e43968: b4679 - b4680 + b4681 <= 1 e43969: b4666 - b4667 + b4668 >= 0 e43970: b4666 - b4667 + b4669 >= 0 e43971: b4666 - b4667 + b4670 >= 0 e43972: b4666 - b4667 + b4671 >= 0 e43973: b4666 - b4667 + b4672 >= 0 e43974: b4666 - b4667 + b4673 >= 0 e43975: b4666 - b4667 + b4674 >= 0 e43976: b4666 - b4667 + b4675 >= 0 e43977: b4666 - b4667 + b4676 >= 0 e43978: b4666 - b4667 + b4677 >= 0 e43979: b4666 - b4667 + b4678 >= 0 e43980: b4667 - b4668 + b4669 >= 0 e43981: b4667 - b4668 + b4670 >= 0 e43982: b4667 - b4668 + b4671 >= 0 e43983: b4667 - b4668 + b4672 >= 0 e43984: b4667 - b4668 + b4673 >= 0 e43985: b4667 - b4668 + b4674 >= 0 e43986: b4667 - b4668 + b4675 >= 0 e43987: b4667 - b4668 + b4676 >= 0 e43988: b4667 - b4668 + b4677 >= 0 e43989: b4667 - b4668 + b4678 >= 0 e43990: b4667 - b4668 + b4679 >= 0 e43991: b4668 - b4669 + b4670 >= 0 e43992: b4668 - b4669 + b4671 >= 0 e43993: b4668 - b4669 + b4672 >= 0 e43994: b4668 - b4669 + b4673 >= 0 e43995: b4668 - b4669 + b4674 >= 0 e43996: b4668 - b4669 + b4675 >= 0 e43997: b4668 - b4669 + b4676 >= 0 e43998: b4668 - b4669 + b4677 >= 0 e43999: b4668 - b4669 + b4678 >= 0 e44000: b4668 - b4669 + b4679 >= 0 e44001: b4668 - b4669 + b4680 >= 0 e44002: b4669 - b4670 + b4671 >= 0 e44003: b4669 - b4670 + b4672 >= 0 e44004: b4669 - b4670 + b4673 >= 0 e44005: b4669 - b4670 + b4674 >= 0 e44006: b4669 - b4670 + b4675 >= 0 e44007: b4669 - b4670 + b4676 >= 0 e44008: b4669 - b4670 + b4677 >= 0 e44009: b4669 - b4670 + b4678 >= 0 e44010: b4669 - b4670 + b4679 >= 0 e44011: b4669 - b4670 + b4680 >= 0 e44012: b4669 - b4670 + b4681 >= 0 e44013: b4670 - b4671 + b4672 >= 0 e44014: b4670 - b4671 + b4673 >= 0 e44015: b4670 - b4671 + b4674 >= 0 e44016: b4670 - b4671 + b4675 >= 0 e44017: b4670 - b4671 + b4676 >= 0 e44018: b4670 - b4671 + b4677 >= 0 e44019: b4670 - b4671 + b4678 >= 0 e44020: b4670 - b4671 + b4679 >= 0 e44021: b4670 - b4671 + b4680 >= 0 e44022: b4670 - b4671 + b4681 >= 0 e44023: b4671 - b4672 + b4673 >= 0 e44024: b4671 - b4672 + b4674 >= 0 e44025: b4671 - b4672 + b4675 >= 0 e44026: b4671 - b4672 + b4676 >= 0 e44027: b4671 - b4672 + b4677 >= 0 e44028: b4671 - b4672 + b4678 >= 0 e44029: b4671 - b4672 + b4679 >= 0 e44030: b4671 - b4672 + b4680 >= 0 e44031: b4671 - b4672 + b4681 >= 0 e44032: b4672 - b4673 + b4674 >= 0 e44033: b4672 - b4673 + b4675 >= 0 e44034: b4672 - b4673 + b4676 >= 0 e44035: b4672 - b4673 + b4677 >= 0 e44036: b4672 - b4673 + b4678 >= 0 e44037: b4672 - b4673 + b4679 >= 0 e44038: b4672 - b4673 + b4680 >= 0 e44039: b4672 - b4673 + b4681 >= 0 e44040: b4673 - b4674 + b4675 >= 0 e44041: b4673 - b4674 + b4676 >= 0 e44042: b4673 - b4674 + b4677 >= 0 e44043: b4673 - b4674 + b4678 >= 0 e44044: b4673 - b4674 + b4679 >= 0 e44045: b4673 - b4674 + b4680 >= 0 e44046: b4673 - b4674 + b4681 >= 0 e44047: b4674 - b4675 + b4676 >= 0 e44048: b4674 - b4675 + b4677 >= 0 e44049: b4674 - b4675 + b4678 >= 0 e44050: b4674 - b4675 + b4679 >= 0 e44051: b4674 - b4675 + b4680 >= 0 e44052: b4674 - b4675 + b4681 >= 0 e44053: b4675 - b4676 + b4677 >= 0 e44054: b4675 - b4676 + b4678 >= 0 e44055: b4675 - b4676 + b4679 >= 0 e44056: b4675 - b4676 + b4680 >= 0 e44057: b4675 - b4676 + b4681 >= 0 e44058: b4676 - b4677 + b4678 >= 0 e44059: b4676 - b4677 + b4679 >= 0 e44060: b4676 - b4677 + b4680 >= 0 e44061: b4676 - b4677 + b4681 >= 0 e44062: b4677 - b4678 + b4679 >= 0 e44063: b4677 - b4678 + b4680 >= 0 e44064: b4677 - b4678 + b4681 >= 0 e44065: b4678 - b4679 + b4680 >= 0 e44066: b4678 - b4679 + b4681 >= 0 e44067: b4679 - b4680 + b4681 >= 0 e44068: - b4682 + b4683 + x19291 <= 1 e44069: - b4682 + b4684 + x19291 <= 1 e44070: - b4682 + b4685 + x19291 <= 1 e44071: - b4682 + b4686 + x19291 <= 1 e44072: - b4682 + b4687 + x19291 <= 1 e44073: - b4682 + b4688 + x19291 <= 1 e44074: - b4682 + b4689 + x19291 <= 1 e44075: - b4682 + b4690 + x19291 <= 1 e44076: - b4682 + b4691 + x19291 <= 1 e44077: - b4682 + b4692 + x19291 <= 1 e44078: - b4682 + b4693 + x19291 <= 1 e44079: b4682 - b4683 + b4684 <= 1 e44080: b4682 - b4683 + b4685 <= 1 e44081: b4682 - b4683 + b4686 <= 1 e44082: b4682 - b4683 + b4687 <= 1 e44083: b4682 - b4683 + b4688 <= 1 e44084: b4682 - b4683 + b4689 <= 1 e44085: b4682 - b4683 + b4690 <= 1 e44086: b4682 - b4683 + b4691 <= 1 e44087: b4682 - b4683 + b4692 <= 1 e44088: b4682 - b4683 + b4693 <= 1 e44089: b4682 - b4683 + b4694 <= 1 e44090: b4683 - b4684 + b4685 <= 1 e44091: b4683 - b4684 + b4686 <= 1 e44092: b4683 - b4684 + b4687 <= 1 e44093: b4683 - b4684 + b4688 <= 1 e44094: b4683 - b4684 + b4689 <= 1 e44095: b4683 - b4684 + b4690 <= 1 e44096: b4683 - b4684 + b4691 <= 1 e44097: b4683 - b4684 + b4692 <= 1 e44098: b4683 - b4684 + b4693 <= 1 e44099: b4683 - b4684 + b4694 <= 1 e44100: b4683 - b4684 + b4695 <= 1 e44101: b4684 - b4685 + b4686 <= 1 e44102: b4684 - b4685 + b4687 <= 1 e44103: b4684 - b4685 + b4688 <= 1 e44104: b4684 - b4685 + b4689 <= 1 e44105: b4684 - b4685 + b4690 <= 1 e44106: b4684 - b4685 + b4691 <= 1 e44107: b4684 - b4685 + b4692 <= 1 e44108: b4684 - b4685 + b4693 <= 1 e44109: b4684 - b4685 + b4694 <= 1 e44110: b4684 - b4685 + b4695 <= 1 e44111: b4684 - b4685 + b4696 <= 1 e44112: b4685 - b4686 + b4687 <= 1 e44113: b4685 - b4686 + b4688 <= 1 e44114: b4685 - b4686 + b4689 <= 1 e44115: b4685 - b4686 + b4690 <= 1 e44116: b4685 - b4686 + b4691 <= 1 e44117: b4685 - b4686 + b4692 <= 1 e44118: b4685 - b4686 + b4693 <= 1 e44119: b4685 - b4686 + b4694 <= 1 e44120: b4685 - b4686 + b4695 <= 1 e44121: b4685 - b4686 + b4696 <= 1 e44122: b4685 - b4686 + b4697 <= 1 e44123: b4686 - b4687 + b4688 <= 1 e44124: b4686 - b4687 + b4689 <= 1 e44125: b4686 - b4687 + b4690 <= 1 e44126: b4686 - b4687 + b4691 <= 1 e44127: b4686 - b4687 + b4692 <= 1 e44128: b4686 - b4687 + b4693 <= 1 e44129: b4686 - b4687 + b4694 <= 1 e44130: b4686 - b4687 + b4695 <= 1 e44131: b4686 - b4687 + b4696 <= 1 e44132: b4686 - b4687 + b4697 <= 1 e44133: b4686 - b4687 + b4698 <= 1 e44134: b4687 - b4688 + b4689 <= 1 e44135: b4687 - b4688 + b4690 <= 1 e44136: b4687 - b4688 + b4691 <= 1 e44137: b4687 - b4688 + b4692 <= 1 e44138: b4687 - b4688 + b4693 <= 1 e44139: b4687 - b4688 + b4694 <= 1 e44140: b4687 - b4688 + b4695 <= 1 e44141: b4687 - b4688 + b4696 <= 1 e44142: b4687 - b4688 + b4697 <= 1 e44143: b4687 - b4688 + b4698 <= 1 e44144: b4687 - b4688 + b4699 <= 1 e44145: b4688 - b4689 + b4690 <= 1 e44146: b4688 - b4689 + b4691 <= 1 e44147: b4688 - b4689 + b4692 <= 1 e44148: b4688 - b4689 + b4693 <= 1 e44149: b4688 - b4689 + b4694 <= 1 e44150: b4688 - b4689 + b4695 <= 1 e44151: b4688 - b4689 + b4696 <= 1 e44152: b4688 - b4689 + b4697 <= 1 e44153: b4688 - b4689 + b4698 <= 1 e44154: b4688 - b4689 + b4699 <= 1 e44155: b4688 - b4689 + b4700 <= 1 e44156: b4689 - b4690 + b4691 <= 1 e44157: b4689 - b4690 + b4692 <= 1 e44158: b4689 - b4690 + b4693 <= 1 e44159: b4689 - b4690 + b4694 <= 1 e44160: b4689 - b4690 + b4695 <= 1 e44161: b4689 - b4690 + b4696 <= 1 e44162: b4689 - b4690 + b4697 <= 1 e44163: b4689 - b4690 + b4698 <= 1 e44164: b4689 - b4690 + b4699 <= 1 e44165: b4689 - b4690 + b4700 <= 1 e44166: b4689 - b4690 + b4701 <= 1 e44167: b4690 - b4691 + b4692 <= 1 e44168: b4690 - b4691 + b4693 <= 1 e44169: b4690 - b4691 + b4694 <= 1 e44170: b4690 - b4691 + b4695 <= 1 e44171: b4690 - b4691 + b4696 <= 1 e44172: b4690 - b4691 + b4697 <= 1 e44173: b4690 - b4691 + b4698 <= 1 e44174: b4690 - b4691 + b4699 <= 1 e44175: b4690 - b4691 + b4700 <= 1 e44176: b4690 - b4691 + b4701 <= 1 e44177: b4690 - b4691 + b4702 <= 1 e44178: b4691 - b4692 + b4693 <= 1 e44179: b4691 - b4692 + b4694 <= 1 e44180: b4691 - b4692 + b4695 <= 1 e44181: b4691 - b4692 + b4696 <= 1 e44182: b4691 - b4692 + b4697 <= 1 e44183: b4691 - b4692 + b4698 <= 1 e44184: b4691 - b4692 + b4699 <= 1 e44185: b4691 - b4692 + b4700 <= 1 e44186: b4691 - b4692 + b4701 <= 1 e44187: b4691 - b4692 + b4702 <= 1 e44188: b4691 - b4692 + b4703 <= 1 e44189: b4692 - b4693 + b4694 <= 1 e44190: b4692 - b4693 + b4695 <= 1 e44191: b4692 - b4693 + b4696 <= 1 e44192: b4692 - b4693 + b4697 <= 1 e44193: b4692 - b4693 + b4698 <= 1 e44194: b4692 - b4693 + b4699 <= 1 e44195: b4692 - b4693 + b4700 <= 1 e44196: b4692 - b4693 + b4701 <= 1 e44197: b4692 - b4693 + b4702 <= 1 e44198: b4692 - b4693 + b4703 <= 1 e44199: b4692 - b4693 + b4704 <= 1 e44200: b4693 - b4694 + b4695 <= 1 e44201: b4693 - b4694 + b4696 <= 1 e44202: b4693 - b4694 + b4697 <= 1 e44203: b4693 - b4694 + b4698 <= 1 e44204: b4693 - b4694 + b4699 <= 1 e44205: b4693 - b4694 + b4700 <= 1 e44206: b4693 - b4694 + b4701 <= 1 e44207: b4693 - b4694 + b4702 <= 1 e44208: b4693 - b4694 + b4703 <= 1 e44209: b4693 - b4694 + b4704 <= 1 e44210: b4693 - b4694 + b4705 <= 1 e44211: b4694 - b4695 + b4696 <= 1 e44212: b4694 - b4695 + b4697 <= 1 e44213: b4694 - b4695 + b4698 <= 1 e44214: b4694 - b4695 + b4699 <= 1 e44215: b4694 - b4695 + b4700 <= 1 e44216: b4694 - b4695 + b4701 <= 1 e44217: b4694 - b4695 + b4702 <= 1 e44218: b4694 - b4695 + b4703 <= 1 e44219: b4694 - b4695 + b4704 <= 1 e44220: b4694 - b4695 + b4705 <= 1 e44221: b4695 - b4696 + b4697 <= 1 e44222: b4695 - b4696 + b4698 <= 1 e44223: b4695 - b4696 + b4699 <= 1 e44224: b4695 - b4696 + b4700 <= 1 e44225: b4695 - b4696 + b4701 <= 1 e44226: b4695 - b4696 + b4702 <= 1 e44227: b4695 - b4696 + b4703 <= 1 e44228: b4695 - b4696 + b4704 <= 1 e44229: b4695 - b4696 + b4705 <= 1 e44230: b4696 - b4697 + b4698 <= 1 e44231: b4696 - b4697 + b4699 <= 1 e44232: b4696 - b4697 + b4700 <= 1 e44233: b4696 - b4697 + b4701 <= 1 e44234: b4696 - b4697 + b4702 <= 1 e44235: b4696 - b4697 + b4703 <= 1 e44236: b4696 - b4697 + b4704 <= 1 e44237: b4696 - b4697 + b4705 <= 1 e44238: b4697 - b4698 + b4699 <= 1 e44239: b4697 - b4698 + b4700 <= 1 e44240: b4697 - b4698 + b4701 <= 1 e44241: b4697 - b4698 + b4702 <= 1 e44242: b4697 - b4698 + b4703 <= 1 e44243: b4697 - b4698 + b4704 <= 1 e44244: b4697 - b4698 + b4705 <= 1 e44245: b4698 - b4699 + b4700 <= 1 e44246: b4698 - b4699 + b4701 <= 1 e44247: b4698 - b4699 + b4702 <= 1 e44248: b4698 - b4699 + b4703 <= 1 e44249: b4698 - b4699 + b4704 <= 1 e44250: b4698 - b4699 + b4705 <= 1 e44251: b4699 - b4700 + b4701 <= 1 e44252: b4699 - b4700 + b4702 <= 1 e44253: b4699 - b4700 + b4703 <= 1 e44254: b4699 - b4700 + b4704 <= 1 e44255: b4699 - b4700 + b4705 <= 1 e44256: b4700 - b4701 + b4702 <= 1 e44257: b4700 - b4701 + b4703 <= 1 e44258: b4700 - b4701 + b4704 <= 1 e44259: b4700 - b4701 + b4705 <= 1 e44260: b4701 - b4702 + b4703 <= 1 e44261: b4701 - b4702 + b4704 <= 1 e44262: b4701 - b4702 + b4705 <= 1 e44263: b4702 - b4703 + b4704 <= 1 e44264: b4702 - b4703 + b4705 <= 1 e44265: b4703 - b4704 + b4705 <= 1 e44266: - b4682 + b4683 + x19291 >= 0 e44267: - b4682 + b4684 + x19291 >= 0 e44268: - b4682 + b4685 + x19291 >= 0 e44269: - b4682 + b4686 + x19291 >= 0 e44270: - b4682 + b4687 + x19291 >= 0 e44271: - b4682 + b4688 + x19291 >= 0 e44272: - b4682 + b4689 + x19291 >= 0 e44273: - b4682 + b4690 + x19291 >= 0 e44274: - b4682 + b4691 + x19291 >= 0 e44275: - b4682 + b4692 + x19291 >= 0 e44276: - b4682 + b4693 + x19291 >= 0 e44277: b4682 - b4683 + b4684 >= 0 e44278: b4682 - b4683 + b4685 >= 0 e44279: b4682 - b4683 + b4686 >= 0 e44280: b4682 - b4683 + b4687 >= 0 e44281: b4682 - b4683 + b4688 >= 0 e44282: b4682 - b4683 + b4689 >= 0 e44283: b4682 - b4683 + b4690 >= 0 e44284: b4682 - b4683 + b4691 >= 0 e44285: b4682 - b4683 + b4692 >= 0 e44286: b4682 - b4683 + b4693 >= 0 e44287: b4682 - b4683 + b4694 >= 0 e44288: b4683 - b4684 + b4685 >= 0 e44289: b4683 - b4684 + b4686 >= 0 e44290: b4683 - b4684 + b4687 >= 0 e44291: b4683 - b4684 + b4688 >= 0 e44292: b4683 - b4684 + b4689 >= 0 e44293: b4683 - b4684 + b4690 >= 0 e44294: b4683 - b4684 + b4691 >= 0 e44295: b4683 - b4684 + b4692 >= 0 e44296: b4683 - b4684 + b4693 >= 0 e44297: b4683 - b4684 + b4694 >= 0 e44298: b4683 - b4684 + b4695 >= 0 e44299: b4684 - b4685 + b4686 >= 0 e44300: b4684 - b4685 + b4687 >= 0 e44301: b4684 - b4685 + b4688 >= 0 e44302: b4684 - b4685 + b4689 >= 0 e44303: b4684 - b4685 + b4690 >= 0 e44304: b4684 - b4685 + b4691 >= 0 e44305: b4684 - b4685 + b4692 >= 0 e44306: b4684 - b4685 + b4693 >= 0 e44307: b4684 - b4685 + b4694 >= 0 e44308: b4684 - b4685 + b4695 >= 0 e44309: b4684 - b4685 + b4696 >= 0 e44310: b4685 - b4686 + b4687 >= 0 e44311: b4685 - b4686 + b4688 >= 0 e44312: b4685 - b4686 + b4689 >= 0 e44313: b4685 - b4686 + b4690 >= 0 e44314: b4685 - b4686 + b4691 >= 0 e44315: b4685 - b4686 + b4692 >= 0 e44316: b4685 - b4686 + b4693 >= 0 e44317: b4685 - b4686 + b4694 >= 0 e44318: b4685 - b4686 + b4695 >= 0 e44319: b4685 - b4686 + b4696 >= 0 e44320: b4685 - b4686 + b4697 >= 0 e44321: b4686 - b4687 + b4688 >= 0 e44322: b4686 - b4687 + b4689 >= 0 e44323: b4686 - b4687 + b4690 >= 0 e44324: b4686 - b4687 + b4691 >= 0 e44325: b4686 - b4687 + b4692 >= 0 e44326: b4686 - b4687 + b4693 >= 0 e44327: b4686 - b4687 + b4694 >= 0 e44328: b4686 - b4687 + b4695 >= 0 e44329: b4686 - b4687 + b4696 >= 0 e44330: b4686 - b4687 + b4697 >= 0 e44331: b4686 - b4687 + b4698 >= 0 e44332: b4687 - b4688 + b4689 >= 0 e44333: b4687 - b4688 + b4690 >= 0 e44334: b4687 - b4688 + b4691 >= 0 e44335: b4687 - b4688 + b4692 >= 0 e44336: b4687 - b4688 + b4693 >= 0 e44337: b4687 - b4688 + b4694 >= 0 e44338: b4687 - b4688 + b4695 >= 0 e44339: b4687 - b4688 + b4696 >= 0 e44340: b4687 - b4688 + b4697 >= 0 e44341: b4687 - b4688 + b4698 >= 0 e44342: b4687 - b4688 + b4699 >= 0 e44343: b4688 - b4689 + b4690 >= 0 e44344: b4688 - b4689 + b4691 >= 0 e44345: b4688 - b4689 + b4692 >= 0 e44346: b4688 - b4689 + b4693 >= 0 e44347: b4688 - b4689 + b4694 >= 0 e44348: b4688 - b4689 + b4695 >= 0 e44349: b4688 - b4689 + b4696 >= 0 e44350: b4688 - b4689 + b4697 >= 0 e44351: b4688 - b4689 + b4698 >= 0 e44352: b4688 - b4689 + b4699 >= 0 e44353: b4688 - b4689 + b4700 >= 0 e44354: b4689 - b4690 + b4691 >= 0 e44355: b4689 - b4690 + b4692 >= 0 e44356: b4689 - b4690 + b4693 >= 0 e44357: b4689 - b4690 + b4694 >= 0 e44358: b4689 - b4690 + b4695 >= 0 e44359: b4689 - b4690 + b4696 >= 0 e44360: b4689 - b4690 + b4697 >= 0 e44361: b4689 - b4690 + b4698 >= 0 e44362: b4689 - b4690 + b4699 >= 0 e44363: b4689 - b4690 + b4700 >= 0 e44364: b4689 - b4690 + b4701 >= 0 e44365: b4690 - b4691 + b4692 >= 0 e44366: b4690 - b4691 + b4693 >= 0 e44367: b4690 - b4691 + b4694 >= 0 e44368: b4690 - b4691 + b4695 >= 0 e44369: b4690 - b4691 + b4696 >= 0 e44370: b4690 - b4691 + b4697 >= 0 e44371: b4690 - b4691 + b4698 >= 0 e44372: b4690 - b4691 + b4699 >= 0 e44373: b4690 - b4691 + b4700 >= 0 e44374: b4690 - b4691 + b4701 >= 0 e44375: b4690 - b4691 + b4702 >= 0 e44376: b4691 - b4692 + b4693 >= 0 e44377: b4691 - b4692 + b4694 >= 0 e44378: b4691 - b4692 + b4695 >= 0 e44379: b4691 - b4692 + b4696 >= 0 e44380: b4691 - b4692 + b4697 >= 0 e44381: b4691 - b4692 + b4698 >= 0 e44382: b4691 - b4692 + b4699 >= 0 e44383: b4691 - b4692 + b4700 >= 0 e44384: b4691 - b4692 + b4701 >= 0 e44385: b4691 - b4692 + b4702 >= 0 e44386: b4691 - b4692 + b4703 >= 0 e44387: b4692 - b4693 + b4694 >= 0 e44388: b4692 - b4693 + b4695 >= 0 e44389: b4692 - b4693 + b4696 >= 0 e44390: b4692 - b4693 + b4697 >= 0 e44391: b4692 - b4693 + b4698 >= 0 e44392: b4692 - b4693 + b4699 >= 0 e44393: b4692 - b4693 + b4700 >= 0 e44394: b4692 - b4693 + b4701 >= 0 e44395: b4692 - b4693 + b4702 >= 0 e44396: b4692 - b4693 + b4703 >= 0 e44397: b4692 - b4693 + b4704 >= 0 e44398: b4693 - b4694 + b4695 >= 0 e44399: b4693 - b4694 + b4696 >= 0 e44400: b4693 - b4694 + b4697 >= 0 e44401: b4693 - b4694 + b4698 >= 0 e44402: b4693 - b4694 + b4699 >= 0 e44403: b4693 - b4694 + b4700 >= 0 e44404: b4693 - b4694 + b4701 >= 0 e44405: b4693 - b4694 + b4702 >= 0 e44406: b4693 - b4694 + b4703 >= 0 e44407: b4693 - b4694 + b4704 >= 0 e44408: b4693 - b4694 + b4705 >= 0 e44409: b4694 - b4695 + b4696 >= 0 e44410: b4694 - b4695 + b4697 >= 0 e44411: b4694 - b4695 + b4698 >= 0 e44412: b4694 - b4695 + b4699 >= 0 e44413: b4694 - b4695 + b4700 >= 0 e44414: b4694 - b4695 + b4701 >= 0 e44415: b4694 - b4695 + b4702 >= 0 e44416: b4694 - b4695 + b4703 >= 0 e44417: b4694 - b4695 + b4704 >= 0 e44418: b4694 - b4695 + b4705 >= 0 e44419: b4695 - b4696 + b4697 >= 0 e44420: b4695 - b4696 + b4698 >= 0 e44421: b4695 - b4696 + b4699 >= 0 e44422: b4695 - b4696 + b4700 >= 0 e44423: b4695 - b4696 + b4701 >= 0 e44424: b4695 - b4696 + b4702 >= 0 e44425: b4695 - b4696 + b4703 >= 0 e44426: b4695 - b4696 + b4704 >= 0 e44427: b4695 - b4696 + b4705 >= 0 e44428: b4696 - b4697 + b4698 >= 0 e44429: b4696 - b4697 + b4699 >= 0 e44430: b4696 - b4697 + b4700 >= 0 e44431: b4696 - b4697 + b4701 >= 0 e44432: b4696 - b4697 + b4702 >= 0 e44433: b4696 - b4697 + b4703 >= 0 e44434: b4696 - b4697 + b4704 >= 0 e44435: b4696 - b4697 + b4705 >= 0 e44436: b4697 - b4698 + b4699 >= 0 e44437: b4697 - b4698 + b4700 >= 0 e44438: b4697 - b4698 + b4701 >= 0 e44439: b4697 - b4698 + b4702 >= 0 e44440: b4697 - b4698 + b4703 >= 0 e44441: b4697 - b4698 + b4704 >= 0 e44442: b4697 - b4698 + b4705 >= 0 e44443: b4698 - b4699 + b4700 >= 0 e44444: b4698 - b4699 + b4701 >= 0 e44445: b4698 - b4699 + b4702 >= 0 e44446: b4698 - b4699 + b4703 >= 0 e44447: b4698 - b4699 + b4704 >= 0 e44448: b4698 - b4699 + b4705 >= 0 e44449: b4699 - b4700 + b4701 >= 0 e44450: b4699 - b4700 + b4702 >= 0 e44451: b4699 - b4700 + b4703 >= 0 e44452: b4699 - b4700 + b4704 >= 0 e44453: b4699 - b4700 + b4705 >= 0 e44454: b4700 - b4701 + b4702 >= 0 e44455: b4700 - b4701 + b4703 >= 0 e44456: b4700 - b4701 + b4704 >= 0 e44457: b4700 - b4701 + b4705 >= 0 e44458: b4701 - b4702 + b4703 >= 0 e44459: b4701 - b4702 + b4704 >= 0 e44460: b4701 - b4702 + b4705 >= 0 e44461: b4702 - b4703 + b4704 >= 0 e44462: b4702 - b4703 + b4705 >= 0 e44463: b4703 - b4704 + b4705 >= 0 e44464: b4706 = 0 e44465: b4707 = 0 e44466: b4708 = 0 e44467: b4709 = 0 e44468: b4710 = 0 e44469: b4711 = 0 e44470: b4712 = 0 e44471: b4713 = 0 e44472: b4713 - b4714 + b4715 <= 1 e44473: b4713 - b4714 + b4716 <= 1 e44474: b4713 - b4714 + b4717 <= 1 e44475: b4713 - b4714 + b4718 <= 1 e44476: b4713 - b4714 + b4719 <= 1 e44477: b4713 - b4714 + b4720 <= 1 e44478: b4713 - b4714 + b4721 <= 1 e44479: b4713 - b4714 + b4722 <= 1 e44480: b4713 - b4714 + b4723 <= 1 e44481: b4713 - b4714 + b4724 <= 1 e44482: b4714 - b4715 + b4716 <= 1 e44483: b4714 - b4715 + b4717 <= 1 e44484: b4714 - b4715 + b4718 <= 1 e44485: b4714 - b4715 + b4719 <= 1 e44486: b4714 - b4715 + b4720 <= 1 e44487: b4714 - b4715 + b4721 <= 1 e44488: b4714 - b4715 + b4722 <= 1 e44489: b4714 - b4715 + b4723 <= 1 e44490: b4714 - b4715 + b4724 <= 1 e44491: b4714 - b4715 + b4725 <= 1 e44492: b4715 - b4716 + b4717 <= 1 e44493: b4715 - b4716 + b4718 <= 1 e44494: b4715 - b4716 + b4719 <= 1 e44495: b4715 - b4716 + b4720 <= 1 e44496: b4715 - b4716 + b4721 <= 1 e44497: b4715 - b4716 + b4722 <= 1 e44498: b4715 - b4716 + b4723 <= 1 e44499: b4715 - b4716 + b4724 <= 1 e44500: b4715 - b4716 + b4725 <= 1 e44501: b4715 - b4716 + b4726 <= 1 e44502: b4716 - b4717 + b4718 <= 1 e44503: b4716 - b4717 + b4719 <= 1 e44504: b4716 - b4717 + b4720 <= 1 e44505: b4716 - b4717 + b4721 <= 1 e44506: b4716 - b4717 + b4722 <= 1 e44507: b4716 - b4717 + b4723 <= 1 e44508: b4716 - b4717 + b4724 <= 1 e44509: b4716 - b4717 + b4725 <= 1 e44510: b4716 - b4717 + b4726 <= 1 e44511: b4716 - b4717 + b4727 <= 1 e44512: b4717 - b4718 + b4719 <= 1 e44513: b4717 - b4718 + b4720 <= 1 e44514: b4717 - b4718 + b4721 <= 1 e44515: b4717 - b4718 + b4722 <= 1 e44516: b4717 - b4718 + b4723 <= 1 e44517: b4717 - b4718 + b4724 <= 1 e44518: b4717 - b4718 + b4725 <= 1 e44519: b4717 - b4718 + b4726 <= 1 e44520: b4717 - b4718 + b4727 <= 1 e44521: b4717 - b4718 + b4728 <= 1 e44522: b4718 - b4719 + b4720 <= 1 e44523: b4718 - b4719 + b4721 <= 1 e44524: b4718 - b4719 + b4722 <= 1 e44525: b4718 - b4719 + b4723 <= 1 e44526: b4718 - b4719 + b4724 <= 1 e44527: b4718 - b4719 + b4725 <= 1 e44528: b4718 - b4719 + b4726 <= 1 e44529: b4718 - b4719 + b4727 <= 1 e44530: b4718 - b4719 + b4728 <= 1 e44531: b4718 - b4719 + b4729 <= 1 e44532: b4719 - b4720 + b4721 <= 1 e44533: b4719 - b4720 + b4722 <= 1 e44534: b4719 - b4720 + b4723 <= 1 e44535: b4719 - b4720 + b4724 <= 1 e44536: b4719 - b4720 + b4725 <= 1 e44537: b4719 - b4720 + b4726 <= 1 e44538: b4719 - b4720 + b4727 <= 1 e44539: b4719 - b4720 + b4728 <= 1 e44540: b4719 - b4720 + b4729 <= 1 e44541: b4720 - b4721 + b4722 <= 1 e44542: b4720 - b4721 + b4723 <= 1 e44543: b4720 - b4721 + b4724 <= 1 e44544: b4720 - b4721 + b4725 <= 1 e44545: b4720 - b4721 + b4726 <= 1 e44546: b4720 - b4721 + b4727 <= 1 e44547: b4720 - b4721 + b4728 <= 1 e44548: b4720 - b4721 + b4729 <= 1 e44549: b4721 - b4722 + b4723 <= 1 e44550: b4721 - b4722 + b4724 <= 1 e44551: b4721 - b4722 + b4725 <= 1 e44552: b4721 - b4722 + b4726 <= 1 e44553: b4721 - b4722 + b4727 <= 1 e44554: b4721 - b4722 + b4728 <= 1 e44555: b4721 - b4722 + b4729 <= 1 e44556: b4722 - b4723 + b4724 <= 1 e44557: b4722 - b4723 + b4725 <= 1 e44558: b4722 - b4723 + b4726 <= 1 e44559: b4722 - b4723 + b4727 <= 1 e44560: b4722 - b4723 + b4728 <= 1 e44561: b4722 - b4723 + b4729 <= 1 e44562: b4723 - b4724 + b4725 <= 1 e44563: b4723 - b4724 + b4726 <= 1 e44564: b4723 - b4724 + b4727 <= 1 e44565: b4723 - b4724 + b4728 <= 1 e44566: b4723 - b4724 + b4729 <= 1 e44567: b4724 - b4725 + b4726 <= 1 e44568: b4724 - b4725 + b4727 <= 1 e44569: b4724 - b4725 + b4728 <= 1 e44570: b4724 - b4725 + b4729 <= 1 e44571: b4725 - b4726 + b4727 <= 1 e44572: b4725 - b4726 + b4728 <= 1 e44573: b4725 - b4726 + b4729 <= 1 e44574: b4726 - b4727 + b4728 <= 1 e44575: b4726 - b4727 + b4729 <= 1 e44576: b4727 - b4728 + b4729 <= 1 e44577: b4713 - b4714 + b4715 >= 0 e44578: b4713 - b4714 + b4716 >= 0 e44579: b4713 - b4714 + b4717 >= 0 e44580: b4713 - b4714 + b4718 >= 0 e44581: b4713 - b4714 + b4719 >= 0 e44582: b4713 - b4714 + b4720 >= 0 e44583: b4713 - b4714 + b4721 >= 0 e44584: b4713 - b4714 + b4722 >= 0 e44585: b4713 - b4714 + b4723 >= 0 e44586: b4713 - b4714 + b4724 >= 0 e44587: b4714 - b4715 + b4716 >= 0 e44588: b4714 - b4715 + b4717 >= 0 e44589: b4714 - b4715 + b4718 >= 0 e44590: b4714 - b4715 + b4719 >= 0 e44591: b4714 - b4715 + b4720 >= 0 e44592: b4714 - b4715 + b4721 >= 0 e44593: b4714 - b4715 + b4722 >= 0 e44594: b4714 - b4715 + b4723 >= 0 e44595: b4714 - b4715 + b4724 >= 0 e44596: b4714 - b4715 + b4725 >= 0 e44597: b4715 - b4716 + b4717 >= 0 e44598: b4715 - b4716 + b4718 >= 0 e44599: b4715 - b4716 + b4719 >= 0 e44600: b4715 - b4716 + b4720 >= 0 e44601: b4715 - b4716 + b4721 >= 0 e44602: b4715 - b4716 + b4722 >= 0 e44603: b4715 - b4716 + b4723 >= 0 e44604: b4715 - b4716 + b4724 >= 0 e44605: b4715 - b4716 + b4725 >= 0 e44606: b4715 - b4716 + b4726 >= 0 e44607: b4716 - b4717 + b4718 >= 0 e44608: b4716 - b4717 + b4719 >= 0 e44609: b4716 - b4717 + b4720 >= 0 e44610: b4716 - b4717 + b4721 >= 0 e44611: b4716 - b4717 + b4722 >= 0 e44612: b4716 - b4717 + b4723 >= 0 e44613: b4716 - b4717 + b4724 >= 0 e44614: b4716 - b4717 + b4725 >= 0 e44615: b4716 - b4717 + b4726 >= 0 e44616: b4716 - b4717 + b4727 >= 0 e44617: b4717 - b4718 + b4719 >= 0 e44618: b4717 - b4718 + b4720 >= 0 e44619: b4717 - b4718 + b4721 >= 0 e44620: b4717 - b4718 + b4722 >= 0 e44621: b4717 - b4718 + b4723 >= 0 e44622: b4717 - b4718 + b4724 >= 0 e44623: b4717 - b4718 + b4725 >= 0 e44624: b4717 - b4718 + b4726 >= 0 e44625: b4717 - b4718 + b4727 >= 0 e44626: b4717 - b4718 + b4728 >= 0 e44627: b4718 - b4719 + b4720 >= 0 e44628: b4718 - b4719 + b4721 >= 0 e44629: b4718 - b4719 + b4722 >= 0 e44630: b4718 - b4719 + b4723 >= 0 e44631: b4718 - b4719 + b4724 >= 0 e44632: b4718 - b4719 + b4725 >= 0 e44633: b4718 - b4719 + b4726 >= 0 e44634: b4718 - b4719 + b4727 >= 0 e44635: b4718 - b4719 + b4728 >= 0 e44636: b4718 - b4719 + b4729 >= 0 e44637: b4719 - b4720 + b4721 >= 0 e44638: b4719 - b4720 + b4722 >= 0 e44639: b4719 - b4720 + b4723 >= 0 e44640: b4719 - b4720 + b4724 >= 0 e44641: b4719 - b4720 + b4725 >= 0 e44642: b4719 - b4720 + b4726 >= 0 e44643: b4719 - b4720 + b4727 >= 0 e44644: b4719 - b4720 + b4728 >= 0 e44645: b4719 - b4720 + b4729 >= 0 e44646: b4720 - b4721 + b4722 >= 0 e44647: b4720 - b4721 + b4723 >= 0 e44648: b4720 - b4721 + b4724 >= 0 e44649: b4720 - b4721 + b4725 >= 0 e44650: b4720 - b4721 + b4726 >= 0 e44651: b4720 - b4721 + b4727 >= 0 e44652: b4720 - b4721 + b4728 >= 0 e44653: b4720 - b4721 + b4729 >= 0 e44654: b4721 - b4722 + b4723 >= 0 e44655: b4721 - b4722 + b4724 >= 0 e44656: b4721 - b4722 + b4725 >= 0 e44657: b4721 - b4722 + b4726 >= 0 e44658: b4721 - b4722 + b4727 >= 0 e44659: b4721 - b4722 + b4728 >= 0 e44660: b4721 - b4722 + b4729 >= 0 e44661: b4722 - b4723 + b4724 >= 0 e44662: b4722 - b4723 + b4725 >= 0 e44663: b4722 - b4723 + b4726 >= 0 e44664: b4722 - b4723 + b4727 >= 0 e44665: b4722 - b4723 + b4728 >= 0 e44666: b4722 - b4723 + b4729 >= 0 e44667: b4723 - b4724 + b4725 >= 0 e44668: b4723 - b4724 + b4726 >= 0 e44669: b4723 - b4724 + b4727 >= 0 e44670: b4723 - b4724 + b4728 >= 0 e44671: b4723 - b4724 + b4729 >= 0 e44672: b4724 - b4725 + b4726 >= 0 e44673: b4724 - b4725 + b4727 >= 0 e44674: b4724 - b4725 + b4728 >= 0 e44675: b4724 - b4725 + b4729 >= 0 e44676: b4725 - b4726 + b4727 >= 0 e44677: b4725 - b4726 + b4728 >= 0 e44678: b4725 - b4726 + b4729 >= 0 e44679: b4726 - b4727 + b4728 >= 0 e44680: b4726 - b4727 + b4729 >= 0 e44681: b4727 - b4728 + b4729 >= 0 e44682: b4730 = 1 e44683: b4731 = 1 e44684: b4732 = 1 e44685: b4732 - b4733 + b4734 <= 1 e44686: b4732 - b4733 + b4735 <= 1 e44687: b4732 - b4733 + b4736 <= 1 e44688: b4732 - b4733 + b4737 <= 1 e44689: b4732 - b4733 + b4738 <= 1 e44690: b4732 - b4733 + b4739 <= 1 e44691: b4732 - b4733 + b4740 <= 1 e44692: b4732 - b4733 + b4741 <= 1 e44693: b4732 - b4733 + b4742 <= 1 e44694: b4732 - b4733 + b4743 <= 1 e44695: b4733 - b4734 + b4735 <= 1 e44696: b4733 - b4734 + b4736 <= 1 e44697: b4733 - b4734 + b4737 <= 1 e44698: b4733 - b4734 + b4738 <= 1 e44699: b4733 - b4734 + b4739 <= 1 e44700: b4733 - b4734 + b4740 <= 1 e44701: b4733 - b4734 + b4741 <= 1 e44702: b4733 - b4734 + b4742 <= 1 e44703: b4733 - b4734 + b4743 <= 1 e44704: b4733 - b4734 + b4744 <= 1 e44705: b4734 - b4735 + b4736 <= 1 e44706: b4734 - b4735 + b4737 <= 1 e44707: b4734 - b4735 + b4738 <= 1 e44708: b4734 - b4735 + b4739 <= 1 e44709: b4734 - b4735 + b4740 <= 1 e44710: b4734 - b4735 + b4741 <= 1 e44711: b4734 - b4735 + b4742 <= 1 e44712: b4734 - b4735 + b4743 <= 1 e44713: b4734 - b4735 + b4744 <= 1 e44714: b4734 - b4735 + b4745 <= 1 e44715: b4735 - b4736 + b4737 <= 1 e44716: b4735 - b4736 + b4738 <= 1 e44717: b4735 - b4736 + b4739 <= 1 e44718: b4735 - b4736 + b4740 <= 1 e44719: b4735 - b4736 + b4741 <= 1 e44720: b4735 - b4736 + b4742 <= 1 e44721: b4735 - b4736 + b4743 <= 1 e44722: b4735 - b4736 + b4744 <= 1 e44723: b4735 - b4736 + b4745 <= 1 e44724: b4735 - b4736 + b4746 <= 1 e44725: b4736 - b4737 + b4738 <= 1 e44726: b4736 - b4737 + b4739 <= 1 e44727: b4736 - b4737 + b4740 <= 1 e44728: b4736 - b4737 + b4741 <= 1 e44729: b4736 - b4737 + b4742 <= 1 e44730: b4736 - b4737 + b4743 <= 1 e44731: b4736 - b4737 + b4744 <= 1 e44732: b4736 - b4737 + b4745 <= 1 e44733: b4736 - b4737 + b4746 <= 1 e44734: b4736 - b4737 + b4747 <= 1 e44735: b4737 - b4738 + b4739 <= 1 e44736: b4737 - b4738 + b4740 <= 1 e44737: b4737 - b4738 + b4741 <= 1 e44738: b4737 - b4738 + b4742 <= 1 e44739: b4737 - b4738 + b4743 <= 1 e44740: b4737 - b4738 + b4744 <= 1 e44741: b4737 - b4738 + b4745 <= 1 e44742: b4737 - b4738 + b4746 <= 1 e44743: b4737 - b4738 + b4747 <= 1 e44744: b4737 - b4738 + b4748 <= 1 e44745: b4738 - b4739 + b4740 <= 1 e44746: b4738 - b4739 + b4741 <= 1 e44747: b4738 - b4739 + b4742 <= 1 e44748: b4738 - b4739 + b4743 <= 1 e44749: b4738 - b4739 + b4744 <= 1 e44750: b4738 - b4739 + b4745 <= 1 e44751: b4738 - b4739 + b4746 <= 1 e44752: b4738 - b4739 + b4747 <= 1 e44753: b4738 - b4739 + b4748 <= 1 e44754: b4738 - b4739 + b4749 <= 1 e44755: b4739 - b4740 + b4741 <= 1 e44756: b4739 - b4740 + b4742 <= 1 e44757: b4739 - b4740 + b4743 <= 1 e44758: b4739 - b4740 + b4744 <= 1 e44759: b4739 - b4740 + b4745 <= 1 e44760: b4739 - b4740 + b4746 <= 1 e44761: b4739 - b4740 + b4747 <= 1 e44762: b4739 - b4740 + b4748 <= 1 e44763: b4739 - b4740 + b4749 <= 1 e44764: b4739 - b4740 + b4750 <= 1 e44765: b4740 - b4741 + b4742 <= 1 e44766: b4740 - b4741 + b4743 <= 1 e44767: b4740 - b4741 + b4744 <= 1 e44768: b4740 - b4741 + b4745 <= 1 e44769: b4740 - b4741 + b4746 <= 1 e44770: b4740 - b4741 + b4747 <= 1 e44771: b4740 - b4741 + b4748 <= 1 e44772: b4740 - b4741 + b4749 <= 1 e44773: b4740 - b4741 + b4750 <= 1 e44774: b4740 - b4741 + b4751 <= 1 e44775: b4741 - b4742 + b4743 <= 1 e44776: b4741 - b4742 + b4744 <= 1 e44777: b4741 - b4742 + b4745 <= 1 e44778: b4741 - b4742 + b4746 <= 1 e44779: b4741 - b4742 + b4747 <= 1 e44780: b4741 - b4742 + b4748 <= 1 e44781: b4741 - b4742 + b4749 <= 1 e44782: b4741 - b4742 + b4750 <= 1 e44783: b4741 - b4742 + b4751 <= 1 e44784: b4741 - b4742 + b4752 <= 1 e44785: b4742 - b4743 + b4744 <= 1 e44786: b4742 - b4743 + b4745 <= 1 e44787: b4742 - b4743 + b4746 <= 1 e44788: b4742 - b4743 + b4747 <= 1 e44789: b4742 - b4743 + b4748 <= 1 e44790: b4742 - b4743 + b4749 <= 1 e44791: b4742 - b4743 + b4750 <= 1 e44792: b4742 - b4743 + b4751 <= 1 e44793: b4742 - b4743 + b4752 <= 1 e44794: b4742 - b4743 + b4753 <= 1 e44795: b4743 - b4744 + b4745 <= 1 e44796: b4743 - b4744 + b4746 <= 1 e44797: b4743 - b4744 + b4747 <= 1 e44798: b4743 - b4744 + b4748 <= 1 e44799: b4743 - b4744 + b4749 <= 1 e44800: b4743 - b4744 + b4750 <= 1 e44801: b4743 - b4744 + b4751 <= 1 e44802: b4743 - b4744 + b4752 <= 1 e44803: b4743 - b4744 + b4753 <= 1 e44804: b4744 - b4745 + b4746 <= 1 e44805: b4744 - b4745 + b4747 <= 1 e44806: b4744 - b4745 + b4748 <= 1 e44807: b4744 - b4745 + b4749 <= 1 e44808: b4744 - b4745 + b4750 <= 1 e44809: b4744 - b4745 + b4751 <= 1 e44810: b4744 - b4745 + b4752 <= 1 e44811: b4744 - b4745 + b4753 <= 1 e44812: b4745 - b4746 + b4747 <= 1 e44813: b4745 - b4746 + b4748 <= 1 e44814: b4745 - b4746 + b4749 <= 1 e44815: b4745 - b4746 + b4750 <= 1 e44816: b4745 - b4746 + b4751 <= 1 e44817: b4745 - b4746 + b4752 <= 1 e44818: b4745 - b4746 + b4753 <= 1 e44819: b4746 - b4747 + b4748 <= 1 e44820: b4746 - b4747 + b4749 <= 1 e44821: b4746 - b4747 + b4750 <= 1 e44822: b4746 - b4747 + b4751 <= 1 e44823: b4746 - b4747 + b4752 <= 1 e44824: b4746 - b4747 + b4753 <= 1 e44825: b4747 - b4748 + b4749 <= 1 e44826: b4747 - b4748 + b4750 <= 1 e44827: b4747 - b4748 + b4751 <= 1 e44828: b4747 - b4748 + b4752 <= 1 e44829: b4747 - b4748 + b4753 <= 1 e44830: b4748 - b4749 + b4750 <= 1 e44831: b4748 - b4749 + b4751 <= 1 e44832: b4748 - b4749 + b4752 <= 1 e44833: b4748 - b4749 + b4753 <= 1 e44834: b4749 - b4750 + b4751 <= 1 e44835: b4749 - b4750 + b4752 <= 1 e44836: b4749 - b4750 + b4753 <= 1 e44837: b4750 - b4751 + b4752 <= 1 e44838: b4750 - b4751 + b4753 <= 1 e44839: b4751 - b4752 + b4753 <= 1 e44840: b4732 - b4733 + b4734 >= 0 e44841: b4732 - b4733 + b4735 >= 0 e44842: b4732 - b4733 + b4736 >= 0 e44843: b4732 - b4733 + b4737 >= 0 e44844: b4732 - b4733 + b4738 >= 0 e44845: b4732 - b4733 + b4739 >= 0 e44846: b4732 - b4733 + b4740 >= 0 e44847: b4732 - b4733 + b4741 >= 0 e44848: b4732 - b4733 + b4742 >= 0 e44849: b4732 - b4733 + b4743 >= 0 e44850: b4732 - b4733 + b4744 >= 0 e44851: b4733 - b4734 + b4735 >= 0 e44852: b4733 - b4734 + b4736 >= 0 e44853: b4733 - b4734 + b4737 >= 0 e44854: b4733 - b4734 + b4738 >= 0 e44855: b4733 - b4734 + b4739 >= 0 e44856: b4733 - b4734 + b4740 >= 0 e44857: b4733 - b4734 + b4741 >= 0 e44858: b4733 - b4734 + b4742 >= 0 e44859: b4733 - b4734 + b4743 >= 0 e44860: b4733 - b4734 + b4744 >= 0 e44861: b4733 - b4734 + b4745 >= 0 e44862: b4734 - b4735 + b4736 >= 0 e44863: b4734 - b4735 + b4737 >= 0 e44864: b4734 - b4735 + b4738 >= 0 e44865: b4734 - b4735 + b4739 >= 0 e44866: b4734 - b4735 + b4740 >= 0 e44867: b4734 - b4735 + b4741 >= 0 e44868: b4734 - b4735 + b4742 >= 0 e44869: b4734 - b4735 + b4743 >= 0 e44870: b4734 - b4735 + b4744 >= 0 e44871: b4734 - b4735 + b4745 >= 0 e44872: b4734 - b4735 + b4746 >= 0 e44873: b4735 - b4736 + b4737 >= 0 e44874: b4735 - b4736 + b4738 >= 0 e44875: b4735 - b4736 + b4739 >= 0 e44876: b4735 - b4736 + b4740 >= 0 e44877: b4735 - b4736 + b4741 >= 0 e44878: b4735 - b4736 + b4742 >= 0 e44879: b4735 - b4736 + b4743 >= 0 e44880: b4735 - b4736 + b4744 >= 0 e44881: b4735 - b4736 + b4745 >= 0 e44882: b4735 - b4736 + b4746 >= 0 e44883: b4735 - b4736 + b4747 >= 0 e44884: b4736 - b4737 + b4738 >= 0 e44885: b4736 - b4737 + b4739 >= 0 e44886: b4736 - b4737 + b4740 >= 0 e44887: b4736 - b4737 + b4741 >= 0 e44888: b4736 - b4737 + b4742 >= 0 e44889: b4736 - b4737 + b4743 >= 0 e44890: b4736 - b4737 + b4744 >= 0 e44891: b4736 - b4737 + b4745 >= 0 e44892: b4736 - b4737 + b4746 >= 0 e44893: b4736 - b4737 + b4747 >= 0 e44894: b4736 - b4737 + b4748 >= 0 e44895: b4737 - b4738 + b4739 >= 0 e44896: b4737 - b4738 + b4740 >= 0 e44897: b4737 - b4738 + b4741 >= 0 e44898: b4737 - b4738 + b4742 >= 0 e44899: b4737 - b4738 + b4743 >= 0 e44900: b4737 - b4738 + b4744 >= 0 e44901: b4737 - b4738 + b4745 >= 0 e44902: b4737 - b4738 + b4746 >= 0 e44903: b4737 - b4738 + b4747 >= 0 e44904: b4737 - b4738 + b4748 >= 0 e44905: b4737 - b4738 + b4749 >= 0 e44906: b4738 - b4739 + b4740 >= 0 e44907: b4738 - b4739 + b4741 >= 0 e44908: b4738 - b4739 + b4742 >= 0 e44909: b4738 - b4739 + b4743 >= 0 e44910: b4738 - b4739 + b4744 >= 0 e44911: b4738 - b4739 + b4745 >= 0 e44912: b4738 - b4739 + b4746 >= 0 e44913: b4738 - b4739 + b4747 >= 0 e44914: b4738 - b4739 + b4748 >= 0 e44915: b4738 - b4739 + b4749 >= 0 e44916: b4738 - b4739 + b4750 >= 0 e44917: b4739 - b4740 + b4741 >= 0 e44918: b4739 - b4740 + b4742 >= 0 e44919: b4739 - b4740 + b4743 >= 0 e44920: b4739 - b4740 + b4744 >= 0 e44921: b4739 - b4740 + b4745 >= 0 e44922: b4739 - b4740 + b4746 >= 0 e44923: b4739 - b4740 + b4747 >= 0 e44924: b4739 - b4740 + b4748 >= 0 e44925: b4739 - b4740 + b4749 >= 0 e44926: b4739 - b4740 + b4750 >= 0 e44927: b4739 - b4740 + b4751 >= 0 e44928: b4740 - b4741 + b4742 >= 0 e44929: b4740 - b4741 + b4743 >= 0 e44930: b4740 - b4741 + b4744 >= 0 e44931: b4740 - b4741 + b4745 >= 0 e44932: b4740 - b4741 + b4746 >= 0 e44933: b4740 - b4741 + b4747 >= 0 e44934: b4740 - b4741 + b4748 >= 0 e44935: b4740 - b4741 + b4749 >= 0 e44936: b4740 - b4741 + b4750 >= 0 e44937: b4740 - b4741 + b4751 >= 0 e44938: b4740 - b4741 + b4752 >= 0 e44939: b4741 - b4742 + b4743 >= 0 e44940: b4741 - b4742 + b4744 >= 0 e44941: b4741 - b4742 + b4745 >= 0 e44942: b4741 - b4742 + b4746 >= 0 e44943: b4741 - b4742 + b4747 >= 0 e44944: b4741 - b4742 + b4748 >= 0 e44945: b4741 - b4742 + b4749 >= 0 e44946: b4741 - b4742 + b4750 >= 0 e44947: b4741 - b4742 + b4751 >= 0 e44948: b4741 - b4742 + b4752 >= 0 e44949: b4741 - b4742 + b4753 >= 0 e44950: b4742 - b4743 + b4744 >= 0 e44951: b4742 - b4743 + b4745 >= 0 e44952: b4742 - b4743 + b4746 >= 0 e44953: b4742 - b4743 + b4747 >= 0 e44954: b4742 - b4743 + b4748 >= 0 e44955: b4742 - b4743 + b4749 >= 0 e44956: b4742 - b4743 + b4750 >= 0 e44957: b4742 - b4743 + b4751 >= 0 e44958: b4742 - b4743 + b4752 >= 0 e44959: b4742 - b4743 + b4753 >= 0 e44960: b4743 - b4744 + b4745 >= 0 e44961: b4743 - b4744 + b4746 >= 0 e44962: b4743 - b4744 + b4747 >= 0 e44963: b4743 - b4744 + b4748 >= 0 e44964: b4743 - b4744 + b4749 >= 0 e44965: b4743 - b4744 + b4750 >= 0 e44966: b4743 - b4744 + b4751 >= 0 e44967: b4743 - b4744 + b4752 >= 0 e44968: b4743 - b4744 + b4753 >= 0 e44969: b4744 - b4745 + b4746 >= 0 e44970: b4744 - b4745 + b4747 >= 0 e44971: b4744 - b4745 + b4748 >= 0 e44972: b4744 - b4745 + b4749 >= 0 e44973: b4744 - b4745 + b4750 >= 0 e44974: b4744 - b4745 + b4751 >= 0 e44975: b4744 - b4745 + b4752 >= 0 e44976: b4744 - b4745 + b4753 >= 0 e44977: b4745 - b4746 + b4747 >= 0 e44978: b4745 - b4746 + b4748 >= 0 e44979: b4745 - b4746 + b4749 >= 0 e44980: b4745 - b4746 + b4750 >= 0 e44981: b4745 - b4746 + b4751 >= 0 e44982: b4745 - b4746 + b4752 >= 0 e44983: b4745 - b4746 + b4753 >= 0 e44984: b4746 - b4747 + b4748 >= 0 e44985: b4746 - b4747 + b4749 >= 0 e44986: b4746 - b4747 + b4750 >= 0 e44987: b4746 - b4747 + b4751 >= 0 e44988: b4746 - b4747 + b4752 >= 0 e44989: b4746 - b4747 + b4753 >= 0 e44990: b4747 - b4748 + b4749 >= 0 e44991: b4747 - b4748 + b4750 >= 0 e44992: b4747 - b4748 + b4751 >= 0 e44993: b4747 - b4748 + b4752 >= 0 e44994: b4747 - b4748 + b4753 >= 0 e44995: b4748 - b4749 + b4750 >= 0 e44996: b4748 - b4749 + b4751 >= 0 e44997: b4748 - b4749 + b4752 >= 0 e44998: b4748 - b4749 + b4753 >= 0 e44999: b4749 - b4750 + b4751 >= 0 e45000: b4749 - b4750 + b4752 >= 0 e45001: b4749 - b4750 + b4753 >= 0 e45002: b4750 - b4751 + b4752 >= 0 e45003: b4750 - b4751 + b4753 >= 0 e45004: b4751 - b4752 + b4753 >= 0 e45005: - b4754 + b4755 + x19292 <= 1 e45006: - b4754 + b4756 + x19292 <= 1 e45007: - b4754 + b4757 + x19292 <= 1 e45008: - b4754 + b4758 + x19292 <= 1 e45009: - b4754 + b4759 + x19292 <= 1 e45010: - b4754 + b4760 + x19292 <= 1 e45011: - b4754 + b4761 + x19292 <= 1 e45012: - b4754 + b4762 + x19292 <= 1 e45013: - b4754 + b4763 + x19292 <= 1 e45014: - b4754 + b4764 + x19292 <= 1 e45015: - b4754 + b4765 + x19292 <= 1 e45016: b4754 - b4755 + b4756 <= 1 e45017: b4754 - b4755 + b4757 <= 1 e45018: b4754 - b4755 + b4758 <= 1 e45019: b4754 - b4755 + b4759 <= 1 e45020: b4754 - b4755 + b4760 <= 1 e45021: b4754 - b4755 + b4761 <= 1 e45022: b4754 - b4755 + b4762 <= 1 e45023: b4754 - b4755 + b4763 <= 1 e45024: b4754 - b4755 + b4764 <= 1 e45025: b4754 - b4755 + b4765 <= 1 e45026: b4754 - b4755 + b4766 <= 1 e45027: b4755 - b4756 + b4757 <= 1 e45028: b4755 - b4756 + b4758 <= 1 e45029: b4755 - b4756 + b4759 <= 1 e45030: b4755 - b4756 + b4760 <= 1 e45031: b4755 - b4756 + b4761 <= 1 e45032: b4755 - b4756 + b4762 <= 1 e45033: b4755 - b4756 + b4763 <= 1 e45034: b4755 - b4756 + b4764 <= 1 e45035: b4755 - b4756 + b4765 <= 1 e45036: b4755 - b4756 + b4766 <= 1 e45037: b4755 - b4756 + b4767 <= 1 e45038: b4756 - b4757 + b4758 <= 1 e45039: b4756 - b4757 + b4759 <= 1 e45040: b4756 - b4757 + b4760 <= 1 e45041: b4756 - b4757 + b4761 <= 1 e45042: b4756 - b4757 + b4762 <= 1 e45043: b4756 - b4757 + b4763 <= 1 e45044: b4756 - b4757 + b4764 <= 1 e45045: b4756 - b4757 + b4765 <= 1 e45046: b4756 - b4757 + b4766 <= 1 e45047: b4756 - b4757 + b4767 <= 1 e45048: b4756 - b4757 + b4768 <= 1 e45049: b4757 - b4758 + b4759 <= 1 e45050: b4757 - b4758 + b4760 <= 1 e45051: b4757 - b4758 + b4761 <= 1 e45052: b4757 - b4758 + b4762 <= 1 e45053: b4757 - b4758 + b4763 <= 1 e45054: b4757 - b4758 + b4764 <= 1 e45055: b4757 - b4758 + b4765 <= 1 e45056: b4757 - b4758 + b4766 <= 1 e45057: b4757 - b4758 + b4767 <= 1 e45058: b4757 - b4758 + b4768 <= 1 e45059: b4757 - b4758 + b4769 <= 1 e45060: b4758 - b4759 + b4760 <= 1 e45061: b4758 - b4759 + b4761 <= 1 e45062: b4758 - b4759 + b4762 <= 1 e45063: b4758 - b4759 + b4763 <= 1 e45064: b4758 - b4759 + b4764 <= 1 e45065: b4758 - b4759 + b4765 <= 1 e45066: b4758 - b4759 + b4766 <= 1 e45067: b4758 - b4759 + b4767 <= 1 e45068: b4758 - b4759 + b4768 <= 1 e45069: b4758 - b4759 + b4769 <= 1 e45070: b4758 - b4759 + b4770 <= 1 e45071: b4759 - b4760 + b4761 <= 1 e45072: b4759 - b4760 + b4762 <= 1 e45073: b4759 - b4760 + b4763 <= 1 e45074: b4759 - b4760 + b4764 <= 1 e45075: b4759 - b4760 + b4765 <= 1 e45076: b4759 - b4760 + b4766 <= 1 e45077: b4759 - b4760 + b4767 <= 1 e45078: b4759 - b4760 + b4768 <= 1 e45079: b4759 - b4760 + b4769 <= 1 e45080: b4759 - b4760 + b4770 <= 1 e45081: b4759 - b4760 + b4771 <= 1 e45082: b4760 - b4761 + b4762 <= 1 e45083: b4760 - b4761 + b4763 <= 1 e45084: b4760 - b4761 + b4764 <= 1 e45085: b4760 - b4761 + b4765 <= 1 e45086: b4760 - b4761 + b4766 <= 1 e45087: b4760 - b4761 + b4767 <= 1 e45088: b4760 - b4761 + b4768 <= 1 e45089: b4760 - b4761 + b4769 <= 1 e45090: b4760 - b4761 + b4770 <= 1 e45091: b4760 - b4761 + b4771 <= 1 e45092: b4760 - b4761 + b4772 <= 1 e45093: b4761 - b4762 + b4763 <= 1 e45094: b4761 - b4762 + b4764 <= 1 e45095: b4761 - b4762 + b4765 <= 1 e45096: b4761 - b4762 + b4766 <= 1 e45097: b4761 - b4762 + b4767 <= 1 e45098: b4761 - b4762 + b4768 <= 1 e45099: b4761 - b4762 + b4769 <= 1 e45100: b4761 - b4762 + b4770 <= 1 e45101: b4761 - b4762 + b4771 <= 1 e45102: b4761 - b4762 + b4772 <= 1 e45103: b4761 - b4762 + b4773 <= 1 e45104: b4762 - b4763 + b4764 <= 1 e45105: b4762 - b4763 + b4765 <= 1 e45106: b4762 - b4763 + b4766 <= 1 e45107: b4762 - b4763 + b4767 <= 1 e45108: b4762 - b4763 + b4768 <= 1 e45109: b4762 - b4763 + b4769 <= 1 e45110: b4762 - b4763 + b4770 <= 1 e45111: b4762 - b4763 + b4771 <= 1 e45112: b4762 - b4763 + b4772 <= 1 e45113: b4762 - b4763 + b4773 <= 1 e45114: b4762 - b4763 + b4774 <= 1 e45115: b4763 - b4764 + b4765 <= 1 e45116: b4763 - b4764 + b4766 <= 1 e45117: b4763 - b4764 + b4767 <= 1 e45118: b4763 - b4764 + b4768 <= 1 e45119: b4763 - b4764 + b4769 <= 1 e45120: b4763 - b4764 + b4770 <= 1 e45121: b4763 - b4764 + b4771 <= 1 e45122: b4763 - b4764 + b4772 <= 1 e45123: b4763 - b4764 + b4773 <= 1 e45124: b4763 - b4764 + b4774 <= 1 e45125: b4763 - b4764 + b4775 <= 1 e45126: b4764 - b4765 + b4766 <= 1 e45127: b4764 - b4765 + b4767 <= 1 e45128: b4764 - b4765 + b4768 <= 1 e45129: b4764 - b4765 + b4769 <= 1 e45130: b4764 - b4765 + b4770 <= 1 e45131: b4764 - b4765 + b4771 <= 1 e45132: b4764 - b4765 + b4772 <= 1 e45133: b4764 - b4765 + b4773 <= 1 e45134: b4764 - b4765 + b4774 <= 1 e45135: b4764 - b4765 + b4775 <= 1 e45136: b4764 - b4765 + b4776 <= 1 e45137: b4765 - b4766 + b4767 <= 1 e45138: b4765 - b4766 + b4768 <= 1 e45139: b4765 - b4766 + b4769 <= 1 e45140: b4765 - b4766 + b4770 <= 1 e45141: b4765 - b4766 + b4771 <= 1 e45142: b4765 - b4766 + b4772 <= 1 e45143: b4765 - b4766 + b4773 <= 1 e45144: b4765 - b4766 + b4774 <= 1 e45145: b4765 - b4766 + b4775 <= 1 e45146: b4765 - b4766 + b4776 <= 1 e45147: b4765 - b4766 + b4777 <= 1 e45148: b4766 - b4767 + b4768 <= 1 e45149: b4766 - b4767 + b4769 <= 1 e45150: b4766 - b4767 + b4770 <= 1 e45151: b4766 - b4767 + b4771 <= 1 e45152: b4766 - b4767 + b4772 <= 1 e45153: b4766 - b4767 + b4773 <= 1 e45154: b4766 - b4767 + b4774 <= 1 e45155: b4766 - b4767 + b4775 <= 1 e45156: b4766 - b4767 + b4776 <= 1 e45157: b4766 - b4767 + b4777 <= 1 e45158: b4767 - b4768 + b4769 <= 1 e45159: b4767 - b4768 + b4770 <= 1 e45160: b4767 - b4768 + b4771 <= 1 e45161: b4767 - b4768 + b4772 <= 1 e45162: b4767 - b4768 + b4773 <= 1 e45163: b4767 - b4768 + b4774 <= 1 e45164: b4767 - b4768 + b4775 <= 1 e45165: b4767 - b4768 + b4776 <= 1 e45166: b4767 - b4768 + b4777 <= 1 e45167: b4768 - b4769 + b4770 <= 1 e45168: b4768 - b4769 + b4771 <= 1 e45169: b4768 - b4769 + b4772 <= 1 e45170: b4768 - b4769 + b4773 <= 1 e45171: b4768 - b4769 + b4774 <= 1 e45172: b4768 - b4769 + b4775 <= 1 e45173: b4768 - b4769 + b4776 <= 1 e45174: b4768 - b4769 + b4777 <= 1 e45175: b4769 - b4770 + b4771 <= 1 e45176: b4769 - b4770 + b4772 <= 1 e45177: b4769 - b4770 + b4773 <= 1 e45178: b4769 - b4770 + b4774 <= 1 e45179: b4769 - b4770 + b4775 <= 1 e45180: b4769 - b4770 + b4776 <= 1 e45181: b4769 - b4770 + b4777 <= 1 e45182: b4770 - b4771 + b4772 <= 1 e45183: b4770 - b4771 + b4773 <= 1 e45184: b4770 - b4771 + b4774 <= 1 e45185: b4770 - b4771 + b4775 <= 1 e45186: b4770 - b4771 + b4776 <= 1 e45187: b4770 - b4771 + b4777 <= 1 e45188: b4771 - b4772 + b4773 <= 1 e45189: b4771 - b4772 + b4774 <= 1 e45190: b4771 - b4772 + b4775 <= 1 e45191: b4771 - b4772 + b4776 <= 1 e45192: b4771 - b4772 + b4777 <= 1 e45193: b4772 - b4773 + b4774 <= 1 e45194: b4772 - b4773 + b4775 <= 1 e45195: b4772 - b4773 + b4776 <= 1 e45196: b4772 - b4773 + b4777 <= 1 e45197: b4773 - b4774 + b4775 <= 1 e45198: b4773 - b4774 + b4776 <= 1 e45199: b4773 - b4774 + b4777 <= 1 e45200: b4774 - b4775 + b4776 <= 1 e45201: b4774 - b4775 + b4777 <= 1 e45202: b4775 - b4776 + b4777 <= 1 e45203: - b4754 + b4755 + x19292 >= 0 e45204: - b4754 + b4756 + x19292 >= 0 e45205: - b4754 + b4757 + x19292 >= 0 e45206: - b4754 + b4758 + x19292 >= 0 e45207: - b4754 + b4759 + x19292 >= 0 e45208: - b4754 + b4760 + x19292 >= 0 e45209: - b4754 + b4761 + x19292 >= 0 e45210: - b4754 + b4762 + x19292 >= 0 e45211: - b4754 + b4763 + x19292 >= 0 e45212: - b4754 + b4764 + x19292 >= 0 e45213: b4754 - b4755 + b4756 >= 0 e45214: b4754 - b4755 + b4757 >= 0 e45215: b4754 - b4755 + b4758 >= 0 e45216: b4754 - b4755 + b4759 >= 0 e45217: b4754 - b4755 + b4760 >= 0 e45218: b4754 - b4755 + b4761 >= 0 e45219: b4754 - b4755 + b4762 >= 0 e45220: b4754 - b4755 + b4763 >= 0 e45221: b4754 - b4755 + b4764 >= 0 e45222: b4754 - b4755 + b4765 >= 0 e45223: b4755 - b4756 + b4757 >= 0 e45224: b4755 - b4756 + b4758 >= 0 e45225: b4755 - b4756 + b4759 >= 0 e45226: b4755 - b4756 + b4760 >= 0 e45227: b4755 - b4756 + b4761 >= 0 e45228: b4755 - b4756 + b4762 >= 0 e45229: b4755 - b4756 + b4763 >= 0 e45230: b4755 - b4756 + b4764 >= 0 e45231: b4755 - b4756 + b4765 >= 0 e45232: b4755 - b4756 + b4766 >= 0 e45233: b4756 - b4757 + b4758 >= 0 e45234: b4756 - b4757 + b4759 >= 0 e45235: b4756 - b4757 + b4760 >= 0 e45236: b4756 - b4757 + b4761 >= 0 e45237: b4756 - b4757 + b4762 >= 0 e45238: b4756 - b4757 + b4763 >= 0 e45239: b4756 - b4757 + b4764 >= 0 e45240: b4756 - b4757 + b4765 >= 0 e45241: b4756 - b4757 + b4766 >= 0 e45242: b4756 - b4757 + b4767 >= 0 e45243: b4757 - b4758 + b4759 >= 0 e45244: b4757 - b4758 + b4760 >= 0 e45245: b4757 - b4758 + b4761 >= 0 e45246: b4757 - b4758 + b4762 >= 0 e45247: b4757 - b4758 + b4763 >= 0 e45248: b4757 - b4758 + b4764 >= 0 e45249: b4757 - b4758 + b4765 >= 0 e45250: b4757 - b4758 + b4766 >= 0 e45251: b4757 - b4758 + b4767 >= 0 e45252: b4757 - b4758 + b4768 >= 0 e45253: b4758 - b4759 + b4760 >= 0 e45254: b4758 - b4759 + b4761 >= 0 e45255: b4758 - b4759 + b4762 >= 0 e45256: b4758 - b4759 + b4763 >= 0 e45257: b4758 - b4759 + b4764 >= 0 e45258: b4758 - b4759 + b4765 >= 0 e45259: b4758 - b4759 + b4766 >= 0 e45260: b4758 - b4759 + b4767 >= 0 e45261: b4758 - b4759 + b4768 >= 0 e45262: b4758 - b4759 + b4769 >= 0 e45263: b4759 - b4760 + b4761 >= 0 e45264: b4759 - b4760 + b4762 >= 0 e45265: b4759 - b4760 + b4763 >= 0 e45266: b4759 - b4760 + b4764 >= 0 e45267: b4759 - b4760 + b4765 >= 0 e45268: b4759 - b4760 + b4766 >= 0 e45269: b4759 - b4760 + b4767 >= 0 e45270: b4759 - b4760 + b4768 >= 0 e45271: b4759 - b4760 + b4769 >= 0 e45272: b4759 - b4760 + b4770 >= 0 e45273: b4760 - b4761 + b4762 >= 0 e45274: b4760 - b4761 + b4763 >= 0 e45275: b4760 - b4761 + b4764 >= 0 e45276: b4760 - b4761 + b4765 >= 0 e45277: b4760 - b4761 + b4766 >= 0 e45278: b4760 - b4761 + b4767 >= 0 e45279: b4760 - b4761 + b4768 >= 0 e45280: b4760 - b4761 + b4769 >= 0 e45281: b4760 - b4761 + b4770 >= 0 e45282: b4760 - b4761 + b4771 >= 0 e45283: b4761 - b4762 + b4763 >= 0 e45284: b4761 - b4762 + b4764 >= 0 e45285: b4761 - b4762 + b4765 >= 0 e45286: b4761 - b4762 + b4766 >= 0 e45287: b4761 - b4762 + b4767 >= 0 e45288: b4761 - b4762 + b4768 >= 0 e45289: b4761 - b4762 + b4769 >= 0 e45290: b4761 - b4762 + b4770 >= 0 e45291: b4761 - b4762 + b4771 >= 0 e45292: b4761 - b4762 + b4772 >= 0 e45293: b4762 - b4763 + b4764 >= 0 e45294: b4762 - b4763 + b4765 >= 0 e45295: b4762 - b4763 + b4766 >= 0 e45296: b4762 - b4763 + b4767 >= 0 e45297: b4762 - b4763 + b4768 >= 0 e45298: b4762 - b4763 + b4769 >= 0 e45299: b4762 - b4763 + b4770 >= 0 e45300: b4762 - b4763 + b4771 >= 0 e45301: b4762 - b4763 + b4772 >= 0 e45302: b4762 - b4763 + b4773 >= 0 e45303: b4763 - b4764 + b4765 >= 0 e45304: b4763 - b4764 + b4766 >= 0 e45305: b4763 - b4764 + b4767 >= 0 e45306: b4763 - b4764 + b4768 >= 0 e45307: b4763 - b4764 + b4769 >= 0 e45308: b4763 - b4764 + b4770 >= 0 e45309: b4763 - b4764 + b4771 >= 0 e45310: b4763 - b4764 + b4772 >= 0 e45311: b4763 - b4764 + b4773 >= 0 e45312: b4763 - b4764 + b4774 >= 0 e45313: b4764 - b4765 + b4766 >= 0 e45314: b4764 - b4765 + b4767 >= 0 e45315: b4764 - b4765 + b4768 >= 0 e45316: b4764 - b4765 + b4769 >= 0 e45317: b4764 - b4765 + b4770 >= 0 e45318: b4764 - b4765 + b4771 >= 0 e45319: b4764 - b4765 + b4772 >= 0 e45320: b4764 - b4765 + b4773 >= 0 e45321: b4764 - b4765 + b4774 >= 0 e45322: b4764 - b4765 + b4775 >= 0 e45323: b4765 - b4766 + b4767 >= 0 e45324: b4765 - b4766 + b4768 >= 0 e45325: b4765 - b4766 + b4769 >= 0 e45326: b4765 - b4766 + b4770 >= 0 e45327: b4765 - b4766 + b4771 >= 0 e45328: b4765 - b4766 + b4772 >= 0 e45329: b4765 - b4766 + b4773 >= 0 e45330: b4765 - b4766 + b4774 >= 0 e45331: b4765 - b4766 + b4775 >= 0 e45332: b4765 - b4766 + b4776 >= 0 e45333: b4766 - b4767 + b4768 >= 0 e45334: b4766 - b4767 + b4769 >= 0 e45335: b4766 - b4767 + b4770 >= 0 e45336: b4766 - b4767 + b4771 >= 0 e45337: b4766 - b4767 + b4772 >= 0 e45338: b4766 - b4767 + b4773 >= 0 e45339: b4766 - b4767 + b4774 >= 0 e45340: b4766 - b4767 + b4775 >= 0 e45341: b4766 - b4767 + b4776 >= 0 e45342: b4766 - b4767 + b4777 >= 0 e45343: b4767 - b4768 + b4769 >= 0 e45344: b4767 - b4768 + b4770 >= 0 e45345: b4767 - b4768 + b4771 >= 0 e45346: b4767 - b4768 + b4772 >= 0 e45347: b4767 - b4768 + b4773 >= 0 e45348: b4767 - b4768 + b4774 >= 0 e45349: b4767 - b4768 + b4775 >= 0 e45350: b4767 - b4768 + b4776 >= 0 e45351: b4767 - b4768 + b4777 >= 0 e45352: b4768 - b4769 + b4770 >= 0 e45353: b4768 - b4769 + b4771 >= 0 e45354: b4768 - b4769 + b4772 >= 0 e45355: b4768 - b4769 + b4773 >= 0 e45356: b4768 - b4769 + b4774 >= 0 e45357: b4768 - b4769 + b4775 >= 0 e45358: b4768 - b4769 + b4776 >= 0 e45359: b4768 - b4769 + b4777 >= 0 e45360: b4769 - b4770 + b4771 >= 0 e45361: b4769 - b4770 + b4772 >= 0 e45362: b4769 - b4770 + b4773 >= 0 e45363: b4769 - b4770 + b4774 >= 0 e45364: b4769 - b4770 + b4775 >= 0 e45365: b4769 - b4770 + b4776 >= 0 e45366: b4769 - b4770 + b4777 >= 0 e45367: b4770 - b4771 + b4772 >= 0 e45368: b4770 - b4771 + b4773 >= 0 e45369: b4770 - b4771 + b4774 >= 0 e45370: b4770 - b4771 + b4775 >= 0 e45371: b4770 - b4771 + b4776 >= 0 e45372: b4770 - b4771 + b4777 >= 0 e45373: b4771 - b4772 + b4773 >= 0 e45374: b4771 - b4772 + b4774 >= 0 e45375: b4771 - b4772 + b4775 >= 0 e45376: b4771 - b4772 + b4776 >= 0 e45377: b4771 - b4772 + b4777 >= 0 e45378: b4772 - b4773 + b4774 >= 0 e45379: b4772 - b4773 + b4775 >= 0 e45380: b4772 - b4773 + b4776 >= 0 e45381: b4772 - b4773 + b4777 >= 0 e45382: b4773 - b4774 + b4775 >= 0 e45383: b4773 - b4774 + b4776 >= 0 e45384: b4773 - b4774 + b4777 >= 0 e45385: b4774 - b4775 + b4776 >= 0 e45386: b4774 - b4775 + b4777 >= 0 e45387: b4775 - b4776 + b4777 >= 0 e45388: b4778 = 0 e45389: b4779 = 0 e45390: b4780 = 0 e45391: b4781 = 0 e45392: b4782 = 0 e45393: b4783 = 0 e45394: b4784 = 0 e45395: b4785 = 0 e45396: b4785 - b4786 + b4787 <= 1 e45397: b4785 - b4786 + b4788 <= 1 e45398: b4785 - b4786 + b4789 <= 1 e45399: b4785 - b4786 + b4790 <= 1 e45400: b4785 - b4786 + b4791 <= 1 e45401: b4785 - b4786 + b4792 <= 1 e45402: b4785 - b4786 + b4793 <= 1 e45403: b4785 - b4786 + b4794 <= 1 e45404: b4785 - b4786 + b4795 <= 1 e45405: b4785 - b4786 + b4796 <= 1 e45406: b4785 - b4786 + b4797 <= 1 e45407: b4785 - b4786 + b4798 <= 1 e45408: b4786 - b4787 + b4788 <= 1 e45409: b4786 - b4787 + b4789 <= 1 e45410: b4786 - b4787 + b4790 <= 1 e45411: b4786 - b4787 + b4791 <= 1 e45412: b4786 - b4787 + b4792 <= 1 e45413: b4786 - b4787 + b4793 <= 1 e45414: b4786 - b4787 + b4794 <= 1 e45415: b4786 - b4787 + b4795 <= 1 e45416: b4786 - b4787 + b4796 <= 1 e45417: b4786 - b4787 + b4797 <= 1 e45418: b4786 - b4787 + b4798 <= 1 e45419: b4786 - b4787 + b4799 <= 1 e45420: b4787 - b4788 + b4789 <= 1 e45421: b4787 - b4788 + b4790 <= 1 e45422: b4787 - b4788 + b4791 <= 1 e45423: b4787 - b4788 + b4792 <= 1 e45424: b4787 - b4788 + b4793 <= 1 e45425: b4787 - b4788 + b4794 <= 1 e45426: b4787 - b4788 + b4795 <= 1 e45427: b4787 - b4788 + b4796 <= 1 e45428: b4787 - b4788 + b4797 <= 1 e45429: b4787 - b4788 + b4798 <= 1 e45430: b4787 - b4788 + b4799 <= 1 e45431: b4787 - b4788 + b4800 <= 1 e45432: b4788 - b4789 + b4790 <= 1 e45433: b4788 - b4789 + b4791 <= 1 e45434: b4788 - b4789 + b4792 <= 1 e45435: b4788 - b4789 + b4793 <= 1 e45436: b4788 - b4789 + b4794 <= 1 e45437: b4788 - b4789 + b4795 <= 1 e45438: b4788 - b4789 + b4796 <= 1 e45439: b4788 - b4789 + b4797 <= 1 e45440: b4788 - b4789 + b4798 <= 1 e45441: b4788 - b4789 + b4799 <= 1 e45442: b4788 - b4789 + b4800 <= 1 e45443: b4788 - b4789 + b4801 <= 1 e45444: b4789 - b4790 + b4791 <= 1 e45445: b4789 - b4790 + b4792 <= 1 e45446: b4789 - b4790 + b4793 <= 1 e45447: b4789 - b4790 + b4794 <= 1 e45448: b4789 - b4790 + b4795 <= 1 e45449: b4789 - b4790 + b4796 <= 1 e45450: b4789 - b4790 + b4797 <= 1 e45451: b4789 - b4790 + b4798 <= 1 e45452: b4789 - b4790 + b4799 <= 1 e45453: b4789 - b4790 + b4800 <= 1 e45454: b4789 - b4790 + b4801 <= 1 e45455: b4790 - b4791 + b4792 <= 1 e45456: b4790 - b4791 + b4793 <= 1 e45457: b4790 - b4791 + b4794 <= 1 e45458: b4790 - b4791 + b4795 <= 1 e45459: b4790 - b4791 + b4796 <= 1 e45460: b4790 - b4791 + b4797 <= 1 e45461: b4790 - b4791 + b4798 <= 1 e45462: b4790 - b4791 + b4799 <= 1 e45463: b4790 - b4791 + b4800 <= 1 e45464: b4790 - b4791 + b4801 <= 1 e45465: b4791 - b4792 + b4793 <= 1 e45466: b4791 - b4792 + b4794 <= 1 e45467: b4791 - b4792 + b4795 <= 1 e45468: b4791 - b4792 + b4796 <= 1 e45469: b4791 - b4792 + b4797 <= 1 e45470: b4791 - b4792 + b4798 <= 1 e45471: b4791 - b4792 + b4799 <= 1 e45472: b4791 - b4792 + b4800 <= 1 e45473: b4791 - b4792 + b4801 <= 1 e45474: b4792 - b4793 + b4794 <= 1 e45475: b4792 - b4793 + b4795 <= 1 e45476: b4792 - b4793 + b4796 <= 1 e45477: b4792 - b4793 + b4797 <= 1 e45478: b4792 - b4793 + b4798 <= 1 e45479: b4792 - b4793 + b4799 <= 1 e45480: b4792 - b4793 + b4800 <= 1 e45481: b4792 - b4793 + b4801 <= 1 e45482: b4793 - b4794 + b4795 <= 1 e45483: b4793 - b4794 + b4796 <= 1 e45484: b4793 - b4794 + b4797 <= 1 e45485: b4793 - b4794 + b4798 <= 1 e45486: b4793 - b4794 + b4799 <= 1 e45487: b4793 - b4794 + b4800 <= 1 e45488: b4793 - b4794 + b4801 <= 1 e45489: b4794 - b4795 + b4796 <= 1 e45490: b4794 - b4795 + b4797 <= 1 e45491: b4794 - b4795 + b4798 <= 1 e45492: b4794 - b4795 + b4799 <= 1 e45493: b4794 - b4795 + b4800 <= 1 e45494: b4794 - b4795 + b4801 <= 1 e45495: b4795 - b4796 + b4797 <= 1 e45496: b4795 - b4796 + b4798 <= 1 e45497: b4795 - b4796 + b4799 <= 1 e45498: b4795 - b4796 + b4800 <= 1 e45499: b4795 - b4796 + b4801 <= 1 e45500: b4796 - b4797 + b4798 <= 1 e45501: b4796 - b4797 + b4799 <= 1 e45502: b4796 - b4797 + b4800 <= 1 e45503: b4796 - b4797 + b4801 <= 1 e45504: b4797 - b4798 + b4799 <= 1 e45505: b4797 - b4798 + b4800 <= 1 e45506: b4797 - b4798 + b4801 <= 1 e45507: b4798 - b4799 + b4800 <= 1 e45508: b4798 - b4799 + b4801 <= 1 e45509: b4799 - b4800 + b4801 <= 1 e45510: b4785 - b4786 + b4787 >= 0 e45511: b4785 - b4786 + b4788 >= 0 e45512: b4785 - b4786 + b4789 >= 0 e45513: b4785 - b4786 + b4790 >= 0 e45514: b4785 - b4786 + b4791 >= 0 e45515: b4785 - b4786 + b4792 >= 0 e45516: b4785 - b4786 + b4793 >= 0 e45517: b4785 - b4786 + b4794 >= 0 e45518: b4785 - b4786 + b4795 >= 0 e45519: b4785 - b4786 + b4796 >= 0 e45520: b4785 - b4786 + b4797 >= 0 e45521: b4785 - b4786 + b4798 >= 0 e45522: b4786 - b4787 + b4788 >= 0 e45523: b4786 - b4787 + b4789 >= 0 e45524: b4786 - b4787 + b4790 >= 0 e45525: b4786 - b4787 + b4791 >= 0 e45526: b4786 - b4787 + b4792 >= 0 e45527: b4786 - b4787 + b4793 >= 0 e45528: b4786 - b4787 + b4794 >= 0 e45529: b4786 - b4787 + b4795 >= 0 e45530: b4786 - b4787 + b4796 >= 0 e45531: b4786 - b4787 + b4797 >= 0 e45532: b4786 - b4787 + b4798 >= 0 e45533: b4786 - b4787 + b4799 >= 0 e45534: b4787 - b4788 + b4789 >= 0 e45535: b4787 - b4788 + b4790 >= 0 e45536: b4787 - b4788 + b4791 >= 0 e45537: b4787 - b4788 + b4792 >= 0 e45538: b4787 - b4788 + b4793 >= 0 e45539: b4787 - b4788 + b4794 >= 0 e45540: b4787 - b4788 + b4795 >= 0 e45541: b4787 - b4788 + b4796 >= 0 e45542: b4787 - b4788 + b4797 >= 0 e45543: b4787 - b4788 + b4798 >= 0 e45544: b4787 - b4788 + b4799 >= 0 e45545: b4787 - b4788 + b4800 >= 0 e45546: b4788 - b4789 + b4790 >= 0 e45547: b4788 - b4789 + b4791 >= 0 e45548: b4788 - b4789 + b4792 >= 0 e45549: b4788 - b4789 + b4793 >= 0 e45550: b4788 - b4789 + b4794 >= 0 e45551: b4788 - b4789 + b4795 >= 0 e45552: b4788 - b4789 + b4796 >= 0 e45553: b4788 - b4789 + b4797 >= 0 e45554: b4788 - b4789 + b4798 >= 0 e45555: b4788 - b4789 + b4799 >= 0 e45556: b4788 - b4789 + b4800 >= 0 e45557: b4788 - b4789 + b4801 >= 0 e45558: b4789 - b4790 + b4791 >= 0 e45559: b4789 - b4790 + b4792 >= 0 e45560: b4789 - b4790 + b4793 >= 0 e45561: b4789 - b4790 + b4794 >= 0 e45562: b4789 - b4790 + b4795 >= 0 e45563: b4789 - b4790 + b4796 >= 0 e45564: b4789 - b4790 + b4797 >= 0 e45565: b4789 - b4790 + b4798 >= 0 e45566: b4789 - b4790 + b4799 >= 0 e45567: b4789 - b4790 + b4800 >= 0 e45568: b4789 - b4790 + b4801 >= 0 e45569: b4790 - b4791 + b4792 >= 0 e45570: b4790 - b4791 + b4793 >= 0 e45571: b4790 - b4791 + b4794 >= 0 e45572: b4790 - b4791 + b4795 >= 0 e45573: b4790 - b4791 + b4796 >= 0 e45574: b4790 - b4791 + b4797 >= 0 e45575: b4790 - b4791 + b4798 >= 0 e45576: b4790 - b4791 + b4799 >= 0 e45577: b4790 - b4791 + b4800 >= 0 e45578: b4790 - b4791 + b4801 >= 0 e45579: b4791 - b4792 + b4793 >= 0 e45580: b4791 - b4792 + b4794 >= 0 e45581: b4791 - b4792 + b4795 >= 0 e45582: b4791 - b4792 + b4796 >= 0 e45583: b4791 - b4792 + b4797 >= 0 e45584: b4791 - b4792 + b4798 >= 0 e45585: b4791 - b4792 + b4799 >= 0 e45586: b4791 - b4792 + b4800 >= 0 e45587: b4791 - b4792 + b4801 >= 0 e45588: b4792 - b4793 + b4794 >= 0 e45589: b4792 - b4793 + b4795 >= 0 e45590: b4792 - b4793 + b4796 >= 0 e45591: b4792 - b4793 + b4797 >= 0 e45592: b4792 - b4793 + b4798 >= 0 e45593: b4792 - b4793 + b4799 >= 0 e45594: b4792 - b4793 + b4800 >= 0 e45595: b4792 - b4793 + b4801 >= 0 e45596: b4793 - b4794 + b4795 >= 0 e45597: b4793 - b4794 + b4796 >= 0 e45598: b4793 - b4794 + b4797 >= 0 e45599: b4793 - b4794 + b4798 >= 0 e45600: b4793 - b4794 + b4799 >= 0 e45601: b4793 - b4794 + b4800 >= 0 e45602: b4793 - b4794 + b4801 >= 0 e45603: b4794 - b4795 + b4796 >= 0 e45604: b4794 - b4795 + b4797 >= 0 e45605: b4794 - b4795 + b4798 >= 0 e45606: b4794 - b4795 + b4799 >= 0 e45607: b4794 - b4795 + b4800 >= 0 e45608: b4794 - b4795 + b4801 >= 0 e45609: b4795 - b4796 + b4797 >= 0 e45610: b4795 - b4796 + b4798 >= 0 e45611: b4795 - b4796 + b4799 >= 0 e45612: b4795 - b4796 + b4800 >= 0 e45613: b4795 - b4796 + b4801 >= 0 e45614: b4796 - b4797 + b4798 >= 0 e45615: b4796 - b4797 + b4799 >= 0 e45616: b4796 - b4797 + b4800 >= 0 e45617: b4796 - b4797 + b4801 >= 0 e45618: b4797 - b4798 + b4799 >= 0 e45619: b4797 - b4798 + b4800 >= 0 e45620: b4797 - b4798 + b4801 >= 0 e45621: b4798 - b4799 + b4800 >= 0 e45622: b4798 - b4799 + b4801 >= 0 e45623: b4799 - b4800 + b4801 >= 0 e45624: x19202 = 1 e45625: - b2 + x9602 + x19202 >= 0 e45626: - b2 + x9602 <= 0 e45627: b2 - b3 + x9603 >= 0 e45628: - b3 + x9603 <= 0 e45629: b3 - b4 + x9604 >= 0 e45630: - b4 + x9604 <= 0 e45631: b4 - b5 + x9605 >= 0 e45632: - b5 + x9605 <= 0 e45633: b5 - b6 + x9606 >= 0 e45634: - b6 + x9606 <= 0 e45635: b6 - b7 + x9607 >= 0 e45636: - b7 + x9607 <= 0 e45637: b7 - b8 + x9608 >= 0 e45638: - b8 + x9608 <= 0 e45639: b8 - b9 + x9609 >= 0 e45640: - b9 + x9609 <= 0 e45641: b9 - b10 + x9610 >= 0 e45642: - b10 + x9610 <= 0 e45643: b10 - b11 + x9611 >= 0 e45644: - b11 + x9611 <= 0 e45645: b11 - b12 + x9612 >= 0 e45646: - b12 + x9612 <= 0 e45647: b12 - b13 + x9613 >= 0 e45648: - b13 + x9613 <= 0 e45649: b13 - b14 + x9614 >= 0 e45650: - b14 + x9614 <= 0 e45651: b14 - b15 + x9615 >= 0 e45652: - b15 + x9615 <= 0 e45653: b15 - b16 + x9616 >= 0 e45654: - b16 + x9616 <= 0 e45655: b16 - b17 + x9617 >= 0 e45656: - b17 + x9617 <= 0 e45657: b17 - b18 + x9618 >= 0 e45658: - b18 + x9618 <= 0 e45659: b18 - b19 + x9619 >= 0 e45660: - b19 + x9619 <= 0 e45661: b19 - b20 + x9620 >= 0 e45662: - b20 + x9620 <= 0 e45663: b20 - b21 + x9621 >= 0 e45664: - b21 + x9621 <= 0 e45665: b21 - b22 + x9622 >= 0 e45666: - b22 + x9622 <= 0 e45667: b22 - b23 + x9623 >= 0 e45668: - b23 + x9623 <= 0 e45669: b23 - b24 + x9624 >= 0 e45670: - b24 + x9624 <= 0 e45671: b24 - b25 + x9625 >= 0 e45672: - b25 + x9625 <= 0 e45673: x19293 = 0 e45674: - b26 + x9626 + x19293 >= 0 e45675: - b26 + x9626 <= 0 e45676: b26 - b27 + x9627 >= 0 e45677: - b27 + x9627 <= 0 e45678: b27 - b28 + x9628 >= 0 e45679: - b28 + x9628 <= 0 e45680: b28 - b29 + x9629 >= 0 e45681: - b29 + x9629 <= 0 e45682: b29 - b30 + x9630 >= 0 e45683: - b30 + x9630 <= 0 e45684: b30 - b31 + x9631 >= 0 e45685: - b31 + x9631 <= 0 e45686: b31 - b32 + x9632 >= 0 e45687: - b32 + x9632 <= 0 e45688: b32 - b33 + x9633 >= 0 e45689: - b33 + x9633 <= 0 e45690: b33 - b34 + x9634 >= 0 e45691: - b34 + x9634 <= 0 e45692: b34 - b35 + x9635 >= 0 e45693: - b35 + x9635 <= 0 e45694: b35 - b36 + x9636 >= 0 e45695: - b36 + x9636 <= 0 e45696: b36 - b37 + x9637 >= 0 e45697: - b37 + x9637 <= 0 e45698: b37 - b38 + x9638 >= 0 e45699: - b38 + x9638 <= 0 e45700: b38 - b39 + x9639 >= 0 e45701: - b39 + x9639 <= 0 e45702: b39 - b40 + x9640 >= 0 e45703: - b40 + x9640 <= 0 e45704: b40 - b41 + x9641 >= 0 e45705: - b41 + x9641 <= 0 e45706: b41 - b42 + x9642 >= 0 e45707: - b42 + x9642 <= 0 e45708: b42 - b43 + x9643 >= 0 e45709: - b43 + x9643 <= 0 e45710: b43 - b44 + x9644 >= 0 e45711: - b44 + x9644 <= 0 e45712: b44 - b45 + x9645 >= 0 e45713: - b45 + x9645 <= 0 e45714: b45 - b46 + x9646 >= 0 e45715: - b46 + x9646 <= 0 e45716: b46 - b47 + x9647 >= 0 e45717: - b47 + x9647 <= 0 e45718: b47 - b48 + x9648 >= 0 e45719: - b48 + x9648 <= 0 e45720: b48 - b49 + x9649 >= 0 e45721: - b49 + x9649 <= 0 e45722: x19203 = 0 e45723: - b50 + x9650 + x19203 >= 0 e45724: - b50 + x9650 <= 0 e45725: b50 - b51 + x9651 >= 0 e45726: - b51 + x9651 <= 0 e45727: b51 - b52 + x9652 >= 0 e45728: - b52 + x9652 <= 0 e45729: b52 - b53 + x9653 >= 0 e45730: - b53 + x9653 <= 0 e45731: b53 - b54 + x9654 >= 0 e45732: - b54 + x9654 <= 0 e45733: b54 - b55 + x9655 >= 0 e45734: - b55 + x9655 <= 0 e45735: b55 - b56 + x9656 >= 0 e45736: - b56 + x9656 <= 0 e45737: b56 - b57 + x9657 >= 0 e45738: - b57 + x9657 <= 0 e45739: b57 - b58 + x9658 >= 0 e45740: - b58 + x9658 <= 0 e45741: b58 - b59 + x9659 >= 0 e45742: - b59 + x9659 <= 0 e45743: b59 - b60 + x9660 >= 0 e45744: - b60 + x9660 <= 0 e45745: b60 - b61 + x9661 >= 0 e45746: - b61 + x9661 <= 0 e45747: b61 - b62 + x9662 >= 0 e45748: - b62 + x9662 <= 0 e45749: b62 - b63 + x9663 >= 0 e45750: - b63 + x9663 <= 0 e45751: b63 - b64 + x9664 >= 0 e45752: - b64 + x9664 <= 0 e45753: b64 - b65 + x9665 >= 0 e45754: - b65 + x9665 <= 0 e45755: b65 - b66 + x9666 >= 0 e45756: - b66 + x9666 <= 0 e45757: b66 - b67 + x9667 >= 0 e45758: - b67 + x9667 <= 0 e45759: b67 - b68 + x9668 >= 0 e45760: - b68 + x9668 <= 0 e45761: b68 - b69 + x9669 >= 0 e45762: - b69 + x9669 <= 0 e45763: b69 - b70 + x9670 >= 0 e45764: - b70 + x9670 <= 0 e45765: b70 - b71 + x9671 >= 0 e45766: - b71 + x9671 <= 0 e45767: b71 - b72 + x9672 >= 0 e45768: - b72 + x9672 <= 0 e45769: b72 - b73 + x9673 >= 0 e45770: - b73 + x9673 <= 0 e45771: x19204 = 0 e45772: - b74 + x9674 + x19204 >= 0 e45773: - b74 + x9674 <= 0 e45774: b74 - b75 + x9675 >= 0 e45775: - b75 + x9675 <= 0 e45776: b75 - b76 + x9676 >= 0 e45777: - b76 + x9676 <= 0 e45778: b76 - b77 + x9677 >= 0 e45779: - b77 + x9677 <= 0 e45780: b77 - b78 + x9678 >= 0 e45781: - b78 + x9678 <= 0 e45782: b78 - b79 + x9679 >= 0 e45783: - b79 + x9679 <= 0 e45784: b79 - b80 + x9680 >= 0 e45785: - b80 + x9680 <= 0 e45786: b80 - b81 + x9681 >= 0 e45787: - b81 + x9681 <= 0 e45788: b81 - b82 + x9682 >= 0 e45789: - b82 + x9682 <= 0 e45790: b82 - b83 + x9683 >= 0 e45791: - b83 + x9683 <= 0 e45792: b83 - b84 + x9684 >= 0 e45793: - b84 + x9684 <= 0 e45794: b84 - b85 + x9685 >= 0 e45795: - b85 + x9685 <= 0 e45796: b85 - b86 + x9686 >= 0 e45797: - b86 + x9686 <= 0 e45798: b86 - b87 + x9687 >= 0 e45799: - b87 + x9687 <= 0 e45800: b87 - b88 + x9688 >= 0 e45801: - b88 + x9688 <= 0 e45802: b88 - b89 + x9689 >= 0 e45803: - b89 + x9689 <= 0 e45804: b89 - b90 + x9690 >= 0 e45805: - b90 + x9690 <= 0 e45806: b90 - b91 + x9691 >= 0 e45807: - b91 + x9691 <= 0 e45808: b91 - b92 + x9692 >= 0 e45809: - b92 + x9692 <= 0 e45810: b92 - b93 + x9693 >= 0 e45811: - b93 + x9693 <= 0 e45812: b93 - b94 + x9694 >= 0 e45813: - b94 + x9694 <= 0 e45814: b94 - b95 + x9695 >= 0 e45815: - b95 + x9695 <= 0 e45816: b95 - b96 + x9696 >= 0 e45817: - b96 + x9696 <= 0 e45818: b96 - b97 + x9697 >= 0 e45819: - b97 + x9697 <= 0 e45820: x19205 = 1 e45821: - b98 + x9698 + x19205 >= 0 e45822: - b98 + x9698 <= 0 e45823: b98 - b99 + x9699 >= 0 e45824: - b99 + x9699 <= 0 e45825: b99 - b100 + x9700 >= 0 e45826: - b100 + x9700 <= 0 e45827: b100 - b101 + x9701 >= 0 e45828: - b101 + x9701 <= 0 e45829: b101 - b102 + x9702 >= 0 e45830: - b102 + x9702 <= 0 e45831: b102 - b103 + x9703 >= 0 e45832: - b103 + x9703 <= 0 e45833: b103 - b104 + x9704 >= 0 e45834: - b104 + x9704 <= 0 e45835: b104 - b105 + x9705 >= 0 e45836: - b105 + x9705 <= 0 e45837: b105 - b106 + x9706 >= 0 e45838: - b106 + x9706 <= 0 e45839: b106 - b107 + x9707 >= 0 e45840: - b107 + x9707 <= 0 e45841: b107 - b108 + x9708 >= 0 e45842: - b108 + x9708 <= 0 e45843: b108 - b109 + x9709 >= 0 e45844: - b109 + x9709 <= 0 e45845: b109 - b110 + x9710 >= 0 e45846: - b110 + x9710 <= 0 e45847: b110 - b111 + x9711 >= 0 e45848: - b111 + x9711 <= 0 e45849: b111 - b112 + x9712 >= 0 e45850: - b112 + x9712 <= 0 e45851: b112 - b113 + x9713 >= 0 e45852: - b113 + x9713 <= 0 e45853: b113 - b114 + x9714 >= 0 e45854: - b114 + x9714 <= 0 e45855: b114 - b115 + x9715 >= 0 e45856: - b115 + x9715 <= 0 e45857: b115 - b116 + x9716 >= 0 e45858: - b116 + x9716 <= 0 e45859: b116 - b117 + x9717 >= 0 e45860: - b117 + x9717 <= 0 e45861: b117 - b118 + x9718 >= 0 e45862: - b118 + x9718 <= 0 e45863: b118 - b119 + x9719 >= 0 e45864: - b119 + x9719 <= 0 e45865: b119 - b120 + x9720 >= 0 e45866: - b120 + x9720 <= 0 e45867: b120 - b121 + x9721 >= 0 e45868: - b121 + x9721 <= 0 e45869: x19206 = 1 e45870: - b122 + x9722 + x19206 >= 0 e45871: - b122 + x9722 <= 0 e45872: b122 - b123 + x9723 >= 0 e45873: - b123 + x9723 <= 0 e45874: b123 - b124 + x9724 >= 0 e45875: - b124 + x9724 <= 0 e45876: b124 - b125 + x9725 >= 0 e45877: - b125 + x9725 <= 0 e45878: b125 - b126 + x9726 >= 0 e45879: - b126 + x9726 <= 0 e45880: b126 - b127 + x9727 >= 0 e45881: - b127 + x9727 <= 0 e45882: b127 - b128 + x9728 >= 0 e45883: - b128 + x9728 <= 0 e45884: b128 - b129 + x9729 >= 0 e45885: - b129 + x9729 <= 0 e45886: b129 - b130 + x9730 >= 0 e45887: - b130 + x9730 <= 0 e45888: b130 - b131 + x9731 >= 0 e45889: - b131 + x9731 <= 0 e45890: b131 - b132 + x9732 >= 0 e45891: - b132 + x9732 <= 0 e45892: b132 - b133 + x9733 >= 0 e45893: - b133 + x9733 <= 0 e45894: b133 - b134 + x9734 >= 0 e45895: - b134 + x9734 <= 0 e45896: b134 - b135 + x9735 >= 0 e45897: - b135 + x9735 <= 0 e45898: b135 - b136 + x9736 >= 0 e45899: - b136 + x9736 <= 0 e45900: b136 - b137 + x9737 >= 0 e45901: - b137 + x9737 <= 0 e45902: b137 - b138 + x9738 >= 0 e45903: - b138 + x9738 <= 0 e45904: b138 - b139 + x9739 >= 0 e45905: - b139 + x9739 <= 0 e45906: b139 - b140 + x9740 >= 0 e45907: - b140 + x9740 <= 0 e45908: b140 - b141 + x9741 >= 0 e45909: - b141 + x9741 <= 0 e45910: b141 - b142 + x9742 >= 0 e45911: - b142 + x9742 <= 0 e45912: b142 - b143 + x9743 >= 0 e45913: - b143 + x9743 <= 0 e45914: b143 - b144 + x9744 >= 0 e45915: - b144 + x9744 <= 0 e45916: b144 - b145 + x9745 >= 0 e45917: - b145 + x9745 <= 0 e45918: x19207 = 0 e45919: - b146 + x9746 + x19207 >= 0 e45920: - b146 + x9746 <= 0 e45921: b146 - b147 + x9747 >= 0 e45922: - b147 + x9747 <= 0 e45923: b147 - b148 + x9748 >= 0 e45924: - b148 + x9748 <= 0 e45925: b148 - b149 + x9749 >= 0 e45926: - b149 + x9749 <= 0 e45927: b149 - b150 + x9750 >= 0 e45928: - b150 + x9750 <= 0 e45929: b150 - b151 + x9751 >= 0 e45930: - b151 + x9751 <= 0 e45931: b151 - b152 + x9752 >= 0 e45932: - b152 + x9752 <= 0 e45933: b152 - b153 + x9753 >= 0 e45934: - b153 + x9753 <= 0 e45935: b153 - b154 + x9754 >= 0 e45936: - b154 + x9754 <= 0 e45937: b154 - b155 + x9755 >= 0 e45938: - b155 + x9755 <= 0 e45939: b155 - b156 + x9756 >= 0 e45940: - b156 + x9756 <= 0 e45941: b156 - b157 + x9757 >= 0 e45942: - b157 + x9757 <= 0 e45943: b157 - b158 + x9758 >= 0 e45944: - b158 + x9758 <= 0 e45945: b158 - b159 + x9759 >= 0 e45946: - b159 + x9759 <= 0 e45947: b159 - b160 + x9760 >= 0 e45948: - b160 + x9760 <= 0 e45949: b160 - b161 + x9761 >= 0 e45950: - b161 + x9761 <= 0 e45951: b161 - b162 + x9762 >= 0 e45952: - b162 + x9762 <= 0 e45953: b162 - b163 + x9763 >= 0 e45954: - b163 + x9763 <= 0 e45955: b163 - b164 + x9764 >= 0 e45956: - b164 + x9764 <= 0 e45957: b164 - b165 + x9765 >= 0 e45958: - b165 + x9765 <= 0 e45959: b165 - b166 + x9766 >= 0 e45960: - b166 + x9766 <= 0 e45961: b166 - b167 + x9767 >= 0 e45962: - b167 + x9767 <= 0 e45963: b167 - b168 + x9768 >= 0 e45964: - b168 + x9768 <= 0 e45965: b168 - b169 + x9769 >= 0 e45966: - b169 + x9769 <= 0 e45967: x19208 = 0 e45968: - b170 + x9770 + x19208 >= 0 e45969: - b170 + x9770 <= 0 e45970: b170 - b171 + x9771 >= 0 e45971: - b171 + x9771 <= 0 e45972: b171 - b172 + x9772 >= 0 e45973: - b172 + x9772 <= 0 e45974: b172 - b173 + x9773 >= 0 e45975: - b173 + x9773 <= 0 e45976: b173 - b174 + x9774 >= 0 e45977: - b174 + x9774 <= 0 e45978: b174 - b175 + x9775 >= 0 e45979: - b175 + x9775 <= 0 e45980: b175 - b176 + x9776 >= 0 e45981: - b176 + x9776 <= 0 e45982: b176 - b177 + x9777 >= 0 e45983: - b177 + x9777 <= 0 e45984: b177 - b178 + x9778 >= 0 e45985: - b178 + x9778 <= 0 e45986: b178 - b179 + x9779 >= 0 e45987: - b179 + x9779 <= 0 e45988: b179 - b180 + x9780 >= 0 e45989: - b180 + x9780 <= 0 e45990: b180 - b181 + x9781 >= 0 e45991: - b181 + x9781 <= 0 e45992: b181 - b182 + x9782 >= 0 e45993: - b182 + x9782 <= 0 e45994: b182 - b183 + x9783 >= 0 e45995: - b183 + x9783 <= 0 e45996: b183 - b184 + x9784 >= 0 e45997: - b184 + x9784 <= 0 e45998: b184 - b185 + x9785 >= 0 e45999: - b185 + x9785 <= 0 e46000: b185 - b186 + x9786 >= 0 e46001: - b186 + x9786 <= 0 e46002: b186 - b187 + x9787 >= 0 e46003: - b187 + x9787 <= 0 e46004: b187 - b188 + x9788 >= 0 e46005: - b188 + x9788 <= 0 e46006: b188 - b189 + x9789 >= 0 e46007: - b189 + x9789 <= 0 e46008: b189 - b190 + x9790 >= 0 e46009: - b190 + x9790 <= 0 e46010: b190 - b191 + x9791 >= 0 e46011: - b191 + x9791 <= 0 e46012: b191 - b192 + x9792 >= 0 e46013: - b192 + x9792 <= 0 e46014: b192 - b193 + x9793 >= 0 e46015: - b193 + x9793 <= 0 e46016: x19209 = 0 e46017: - b194 + x9794 + x19209 >= 0 e46018: - b194 + x9794 <= 0 e46019: b194 - b195 + x9795 >= 0 e46020: - b195 + x9795 <= 0 e46021: b195 - b196 + x9796 >= 0 e46022: - b196 + x9796 <= 0 e46023: b196 - b197 + x9797 >= 0 e46024: - b197 + x9797 <= 0 e46025: b197 - b198 + x9798 >= 0 e46026: - b198 + x9798 <= 0 e46027: b198 - b199 + x9799 >= 0 e46028: - b199 + x9799 <= 0 e46029: b199 - b200 + x9800 >= 0 e46030: - b200 + x9800 <= 0 e46031: b200 - b201 + x9801 >= 0 e46032: - b201 + x9801 <= 0 e46033: b201 - b202 + x9802 >= 0 e46034: - b202 + x9802 <= 0 e46035: b202 - b203 + x9803 >= 0 e46036: - b203 + x9803 <= 0 e46037: b203 - b204 + x9804 >= 0 e46038: - b204 + x9804 <= 0 e46039: b204 - b205 + x9805 >= 0 e46040: - b205 + x9805 <= 0 e46041: b205 - b206 + x9806 >= 0 e46042: - b206 + x9806 <= 0 e46043: b206 - b207 + x9807 >= 0 e46044: - b207 + x9807 <= 0 e46045: b207 - b208 + x9808 >= 0 e46046: - b208 + x9808 <= 0 e46047: b208 - b209 + x9809 >= 0 e46048: - b209 + x9809 <= 0 e46049: b209 - b210 + x9810 >= 0 e46050: - b210 + x9810 <= 0 e46051: b210 - b211 + x9811 >= 0 e46052: - b211 + x9811 <= 0 e46053: b211 - b212 + x9812 >= 0 e46054: - b212 + x9812 <= 0 e46055: b212 - b213 + x9813 >= 0 e46056: - b213 + x9813 <= 0 e46057: b213 - b214 + x9814 >= 0 e46058: - b214 + x9814 <= 0 e46059: b214 - b215 + x9815 >= 0 e46060: - b215 + x9815 <= 0 e46061: b215 - b216 + x9816 >= 0 e46062: - b216 + x9816 <= 0 e46063: b216 - b217 + x9817 >= 0 e46064: - b217 + x9817 <= 0 e46065: x19210 = 1 e46066: - b218 + x9818 + x19210 >= 0 e46067: - b218 + x9818 <= 0 e46068: b218 - b219 + x9819 >= 0 e46069: - b219 + x9819 <= 0 e46070: b219 - b220 + x9820 >= 0 e46071: - b220 + x9820 <= 0 e46072: b220 - b221 + x9821 >= 0 e46073: - b221 + x9821 <= 0 e46074: b221 - b222 + x9822 >= 0 e46075: - b222 + x9822 <= 0 e46076: b222 - b223 + x9823 >= 0 e46077: - b223 + x9823 <= 0 e46078: b223 - b224 + x9824 >= 0 e46079: - b224 + x9824 <= 0 e46080: b224 - b225 + x9825 >= 0 e46081: - b225 + x9825 <= 0 e46082: b225 - b226 + x9826 >= 0 e46083: - b226 + x9826 <= 0 e46084: b226 - b227 + x9827 >= 0 e46085: - b227 + x9827 <= 0 e46086: b227 - b228 + x9828 >= 0 e46087: - b228 + x9828 <= 0 e46088: b228 - b229 + x9829 >= 0 e46089: - b229 + x9829 <= 0 e46090: b229 - b230 + x9830 >= 0 e46091: - b230 + x9830 <= 0 e46092: b230 - b231 + x9831 >= 0 e46093: - b231 + x9831 <= 0 e46094: b231 - b232 + x9832 >= 0 e46095: - b232 + x9832 <= 0 e46096: b232 - b233 + x9833 >= 0 e46097: - b233 + x9833 <= 0 e46098: b233 - b234 + x9834 >= 0 e46099: - b234 + x9834 <= 0 e46100: b234 - b235 + x9835 >= 0 e46101: - b235 + x9835 <= 0 e46102: b235 - b236 + x9836 >= 0 e46103: - b236 + x9836 <= 0 e46104: b236 - b237 + x9837 >= 0 e46105: - b237 + x9837 <= 0 e46106: b237 - b238 + x9838 >= 0 e46107: - b238 + x9838 <= 0 e46108: b238 - b239 + x9839 >= 0 e46109: - b239 + x9839 <= 0 e46110: b239 - b240 + x9840 >= 0 e46111: - b240 + x9840 <= 0 e46112: b240 - b241 + x9841 >= 0 e46113: - b241 + x9841 <= 0 e46114: b242 = 1 e46115: b242 = 1 e46116: b242 - b243 + x9843 >= 0 e46117: - b243 + x9843 <= 0 e46118: b243 - b244 + x9844 >= 0 e46119: - b244 + x9844 <= 0 e46120: b244 - b245 + x9845 >= 0 e46121: - b245 + x9845 <= 0 e46122: b245 - b246 + x9846 >= 0 e46123: - b246 + x9846 <= 0 e46124: b246 - b247 + x9847 >= 0 e46125: - b247 + x9847 <= 0 e46126: b247 - b248 + x9848 >= 0 e46127: - b248 + x9848 <= 0 e46128: b248 - b249 + x9849 >= 0 e46129: - b249 + x9849 <= 0 e46130: b249 - b250 + x9850 >= 0 e46131: - b250 + x9850 <= 0 e46132: b250 - b251 + x9851 >= 0 e46133: - b251 + x9851 <= 0 e46134: b251 - b252 + x9852 >= 0 e46135: - b252 + x9852 <= 0 e46136: b252 - b253 + x9853 >= 0 e46137: - b253 + x9853 <= 0 e46138: b253 - b254 + x9854 >= 0 e46139: - b254 + x9854 <= 0 e46140: b254 - b255 + x9855 >= 0 e46141: - b255 + x9855 <= 0 e46142: b255 - b256 + x9856 >= 0 e46143: - b256 + x9856 <= 0 e46144: b256 - b257 + x9857 >= 0 e46145: - b257 + x9857 <= 0 e46146: b257 - b258 + x9858 >= 0 e46147: - b258 + x9858 <= 0 e46148: b258 - b259 + x9859 >= 0 e46149: - b259 + x9859 <= 0 e46150: b259 - b260 + x9860 >= 0 e46151: - b260 + x9860 <= 0 e46152: b260 - b261 + x9861 >= 0 e46153: - b261 + x9861 <= 0 e46154: b261 - b262 + x9862 >= 0 e46155: - b262 + x9862 <= 0 e46156: b262 - b263 + x9863 >= 0 e46157: - b263 + x9863 <= 0 e46158: b263 - b264 + x9864 >= 0 e46159: - b264 + x9864 <= 0 e46160: b264 - b265 + x9865 >= 0 e46161: - b265 + x9865 <= 0 e46162: x19211 = 1 e46163: - b266 + x9866 + x19211 >= 0 e46164: - b266 + x9866 <= 0 e46165: b266 - b267 + x9867 >= 0 e46166: - b267 + x9867 <= 0 e46167: b267 - b268 + x9868 >= 0 e46168: - b268 + x9868 <= 0 e46169: b268 - b269 + x9869 >= 0 e46170: - b269 + x9869 <= 0 e46171: b269 - b270 + x9870 >= 0 e46172: - b270 + x9870 <= 0 e46173: b270 - b271 + x9871 >= 0 e46174: - b271 + x9871 <= 0 e46175: b271 - b272 + x9872 >= 0 e46176: - b272 + x9872 <= 0 e46177: b272 - b273 + x9873 >= 0 e46178: - b273 + x9873 <= 0 e46179: b273 - b274 + x9874 >= 0 e46180: - b274 + x9874 <= 0 e46181: b274 - b275 + x9875 >= 0 e46182: - b275 + x9875 <= 0 e46183: b275 - b276 + x9876 >= 0 e46184: - b276 + x9876 <= 0 e46185: b276 - b277 + x9877 >= 0 e46186: - b277 + x9877 <= 0 e46187: b277 - b278 + x9878 >= 0 e46188: - b278 + x9878 <= 0 e46189: b278 - b279 + x9879 >= 0 e46190: - b279 + x9879 <= 0 e46191: b279 - b280 + x9880 >= 0 e46192: - b280 + x9880 <= 0 e46193: b280 - b281 + x9881 >= 0 e46194: - b281 + x9881 <= 0 e46195: b281 - b282 + x9882 >= 0 e46196: - b282 + x9882 <= 0 e46197: b282 - b283 + x9883 >= 0 e46198: - b283 + x9883 <= 0 e46199: b283 - b284 + x9884 >= 0 e46200: - b284 + x9884 <= 0 e46201: b284 - b285 + x9885 >= 0 e46202: - b285 + x9885 <= 0 e46203: b285 - b286 + x9886 >= 0 e46204: - b286 + x9886 <= 0 e46205: b286 - b287 + x9887 >= 0 e46206: - b287 + x9887 <= 0 e46207: b287 - b288 + x9888 >= 0 e46208: - b288 + x9888 <= 0 e46209: b288 - b289 + x9889 >= 0 e46210: - b289 + x9889 <= 0 e46211: b290 = 1 e46212: b290 = 1 e46213: b290 - b291 + x9891 >= 0 e46214: - b291 + x9891 <= 0 e46215: b291 - b292 + x9892 >= 0 e46216: - b292 + x9892 <= 0 e46217: b292 - b293 + x9893 >= 0 e46218: - b293 + x9893 <= 0 e46219: b293 - b294 + x9894 >= 0 e46220: - b294 + x9894 <= 0 e46221: b294 - b295 + x9895 >= 0 e46222: - b295 + x9895 <= 0 e46223: b295 - b296 + x9896 >= 0 e46224: - b296 + x9896 <= 0 e46225: b296 - b297 + x9897 >= 0 e46226: - b297 + x9897 <= 0 e46227: b297 - b298 + x9898 >= 0 e46228: - b298 + x9898 <= 0 e46229: b298 - b299 + x9899 >= 0 e46230: - b299 + x9899 <= 0 e46231: b299 - b300 + x9900 >= 0 e46232: - b300 + x9900 <= 0 e46233: b300 - b301 + x9901 >= 0 e46234: - b301 + x9901 <= 0 e46235: b301 - b302 + x9902 >= 0 e46236: - b302 + x9902 <= 0 e46237: b302 - b303 + x9903 >= 0 e46238: - b303 + x9903 <= 0 e46239: b303 - b304 + x9904 >= 0 e46240: - b304 + x9904 <= 0 e46241: b304 - b305 + x9905 >= 0 e46242: - b305 + x9905 <= 0 e46243: b305 - b306 + x9906 >= 0 e46244: - b306 + x9906 <= 0 e46245: b306 - b307 + x9907 >= 0 e46246: - b307 + x9907 <= 0 e46247: b307 - b308 + x9908 >= 0 e46248: - b308 + x9908 <= 0 e46249: b308 - b309 + x9909 >= 0 e46250: - b309 + x9909 <= 0 e46251: b309 - b310 + x9910 >= 0 e46252: - b310 + x9910 <= 0 e46253: b310 - b311 + x9911 >= 0 e46254: - b311 + x9911 <= 0 e46255: b311 - b312 + x9912 >= 0 e46256: - b312 + x9912 <= 0 e46257: b312 - b313 + x9913 >= 0 e46258: - b313 + x9913 <= 0 e46259: x19294 = 0 e46260: - b314 + x9914 + x19294 >= 0 e46261: - b314 + x9914 <= 0 e46262: b314 - b315 + x9915 >= 0 e46263: - b315 + x9915 <= 0 e46264: b315 - b316 + x9916 >= 0 e46265: - b316 + x9916 <= 0 e46266: b316 - b317 + x9917 >= 0 e46267: - b317 + x9917 <= 0 e46268: b317 - b318 + x9918 >= 0 e46269: - b318 + x9918 <= 0 e46270: b318 - b319 + x9919 >= 0 e46271: - b319 + x9919 <= 0 e46272: b319 - b320 + x9920 >= 0 e46273: - b320 + x9920 <= 0 e46274: b320 - b321 + x9921 >= 0 e46275: - b321 + x9921 <= 0 e46276: b321 - b322 + x9922 >= 0 e46277: - b322 + x9922 <= 0 e46278: b322 - b323 + x9923 >= 0 e46279: - b323 + x9923 <= 0 e46280: b323 - b324 + x9924 >= 0 e46281: - b324 + x9924 <= 0 e46282: b324 - b325 + x9925 >= 0 e46283: - b325 + x9925 <= 0 e46284: b325 - b326 + x9926 >= 0 e46285: - b326 + x9926 <= 0 e46286: b326 - b327 + x9927 >= 0 e46287: - b327 + x9927 <= 0 e46288: b327 - b328 + x9928 >= 0 e46289: - b328 + x9928 <= 0 e46290: b328 - b329 + x9929 >= 0 e46291: - b329 + x9929 <= 0 e46292: b329 - b330 + x9930 >= 0 e46293: - b330 + x9930 <= 0 e46294: b330 - b331 + x9931 >= 0 e46295: - b331 + x9931 <= 0 e46296: b331 - b332 + x9932 >= 0 e46297: - b332 + x9932 <= 0 e46298: b332 - b333 + x9933 >= 0 e46299: - b333 + x9933 <= 0 e46300: b333 - b334 + x9934 >= 0 e46301: - b334 + x9934 <= 0 e46302: b334 - b335 + x9935 >= 0 e46303: - b335 + x9935 <= 0 e46304: b335 - b336 + x9936 >= 0 e46305: - b336 + x9936 <= 0 e46306: b336 - b337 + x9937 >= 0 e46307: - b337 + x9937 <= 0 e46308: x19212 = 1 e46309: - b338 + x9938 + x19212 >= 0 e46310: - b338 + x9938 <= 0 e46311: b338 - b339 + x9939 >= 0 e46312: - b339 + x9939 <= 0 e46313: b339 - b340 + x9940 >= 0 e46314: - b340 + x9940 <= 0 e46315: b340 - b341 + x9941 >= 0 e46316: - b341 + x9941 <= 0 e46317: b341 - b342 + x9942 >= 0 e46318: - b342 + x9942 <= 0 e46319: b342 - b343 + x9943 >= 0 e46320: - b343 + x9943 <= 0 e46321: b343 - b344 + x9944 >= 0 e46322: - b344 + x9944 <= 0 e46323: b344 - b345 + x9945 >= 0 e46324: - b345 + x9945 <= 0 e46325: b345 - b346 + x9946 >= 0 e46326: - b346 + x9946 <= 0 e46327: b346 - b347 + x9947 >= 0 e46328: - b347 + x9947 <= 0 e46329: b347 - b348 + x9948 >= 0 e46330: - b348 + x9948 <= 0 e46331: b348 - b349 + x9949 >= 0 e46332: - b349 + x9949 <= 0 e46333: b349 - b350 + x9950 >= 0 e46334: - b350 + x9950 <= 0 e46335: b350 - b351 + x9951 >= 0 e46336: - b351 + x9951 <= 0 e46337: b351 - b352 + x9952 >= 0 e46338: - b352 + x9952 <= 0 e46339: b352 - b353 + x9953 >= 0 e46340: - b353 + x9953 <= 0 e46341: b353 - b354 + x9954 >= 0 e46342: - b354 + x9954 <= 0 e46343: b354 - b355 + x9955 >= 0 e46344: - b355 + x9955 <= 0 e46345: b355 - b356 + x9956 >= 0 e46346: - b356 + x9956 <= 0 e46347: b356 - b357 + x9957 >= 0 e46348: - b357 + x9957 <= 0 e46349: b357 - b358 + x9958 >= 0 e46350: - b358 + x9958 <= 0 e46351: b358 - b359 + x9959 >= 0 e46352: - b359 + x9959 <= 0 e46353: b359 - b360 + x9960 >= 0 e46354: - b360 + x9960 <= 0 e46355: b360 - b361 + x9961 >= 0 e46356: - b361 + x9961 <= 0 e46357: x19213 = 1 e46358: - b362 + x9962 + x19213 >= 0 e46359: - b362 + x9962 <= 0 e46360: b362 - b363 + x9963 >= 0 e46361: - b363 + x9963 <= 0 e46362: b363 - b364 + x9964 >= 0 e46363: - b364 + x9964 <= 0 e46364: b364 - b365 + x9965 >= 0 e46365: - b365 + x9965 <= 0 e46366: b365 - b366 + x9966 >= 0 e46367: - b366 + x9966 <= 0 e46368: b366 - b367 + x9967 >= 0 e46369: - b367 + x9967 <= 0 e46370: b367 - b368 + x9968 >= 0 e46371: - b368 + x9968 <= 0 e46372: b368 - b369 + x9969 >= 0 e46373: - b369 + x9969 <= 0 e46374: b369 - b370 + x9970 >= 0 e46375: - b370 + x9970 <= 0 e46376: b370 - b371 + x9971 >= 0 e46377: - b371 + x9971 <= 0 e46378: b371 - b372 + x9972 >= 0 e46379: - b372 + x9972 <= 0 e46380: b372 - b373 + x9973 >= 0 e46381: - b373 + x9973 <= 0 e46382: b373 - b374 + x9974 >= 0 e46383: - b374 + x9974 <= 0 e46384: b374 - b375 + x9975 >= 0 e46385: - b375 + x9975 <= 0 e46386: b375 - b376 + x9976 >= 0 e46387: - b376 + x9976 <= 0 e46388: b376 - b377 + x9977 >= 0 e46389: - b377 + x9977 <= 0 e46390: b377 - b378 + x9978 >= 0 e46391: - b378 + x9978 <= 0 e46392: b378 - b379 + x9979 >= 0 e46393: - b379 + x9979 <= 0 e46394: b379 - b380 + x9980 >= 0 e46395: - b380 + x9980 <= 0 e46396: b380 - b381 + x9981 >= 0 e46397: - b381 + x9981 <= 0 e46398: b381 - b382 + x9982 >= 0 e46399: - b382 + x9982 <= 0 e46400: b382 - b383 + x9983 >= 0 e46401: - b383 + x9983 <= 0 e46402: b383 - b384 + x9984 >= 0 e46403: - b384 + x9984 <= 0 e46404: b384 - b385 + x9985 >= 0 e46405: - b385 + x9985 <= 0 e46406: x19214 = 1 e46407: - b386 + x9986 + x19214 >= 0 e46408: - b386 + x9986 <= 0 e46409: b386 - b387 + x9987 >= 0 e46410: - b387 + x9987 <= 0 e46411: b387 - b388 + x9988 >= 0 e46412: - b388 + x9988 <= 0 e46413: b388 - b389 + x9989 >= 0 e46414: - b389 + x9989 <= 0 e46415: b389 - b390 + x9990 >= 0 e46416: - b390 + x9990 <= 0 e46417: b390 - b391 + x9991 >= 0 e46418: - b391 + x9991 <= 0 e46419: b391 - b392 + x9992 >= 0 e46420: - b392 + x9992 <= 0 e46421: b392 - b393 + x9993 >= 0 e46422: - b393 + x9993 <= 0 e46423: b393 - b394 + x9994 >= 0 e46424: - b394 + x9994 <= 0 e46425: b394 - b395 + x9995 >= 0 e46426: - b395 + x9995 <= 0 e46427: b395 - b396 + x9996 >= 0 e46428: - b396 + x9996 <= 0 e46429: b396 - b397 + x9997 >= 0 e46430: - b397 + x9997 <= 0 e46431: b397 - b398 + x9998 >= 0 e46432: - b398 + x9998 <= 0 e46433: b398 - b399 + x9999 >= 0 e46434: - b399 + x9999 <= 0 e46435: b399 - b400 + x10000 >= 0 e46436: - b400 + x10000 <= 0 e46437: b400 - b401 + x10001 >= 0 e46438: - b401 + x10001 <= 0 e46439: b401 - b402 + x10002 >= 0 e46440: - b402 + x10002 <= 0 e46441: b402 - b403 + x10003 >= 0 e46442: - b403 + x10003 <= 0 e46443: b403 - b404 + x10004 >= 0 e46444: - b404 + x10004 <= 0 e46445: b404 - b405 + x10005 >= 0 e46446: - b405 + x10005 <= 0 e46447: b405 - b406 + x10006 >= 0 e46448: - b406 + x10006 <= 0 e46449: b406 - b407 + x10007 >= 0 e46450: - b407 + x10007 <= 0 e46451: b407 - b408 + x10008 >= 0 e46452: - b408 + x10008 <= 0 e46453: b408 - b409 + x10009 >= 0 e46454: - b409 + x10009 <= 0 e46455: x19215 = 1 e46456: - b410 + x10010 + x19215 >= 0 e46457: - b410 + x10010 <= 0 e46458: b410 - b411 + x10011 >= 0 e46459: - b411 + x10011 <= 0 e46460: b411 - b412 + x10012 >= 0 e46461: - b412 + x10012 <= 0 e46462: b412 - b413 + x10013 >= 0 e46463: - b413 + x10013 <= 0 e46464: b413 - b414 + x10014 >= 0 e46465: - b414 + x10014 <= 0 e46466: b414 - b415 + x10015 >= 0 e46467: - b415 + x10015 <= 0 e46468: b415 - b416 + x10016 >= 0 e46469: - b416 + x10016 <= 0 e46470: b416 - b417 + x10017 >= 0 e46471: - b417 + x10017 <= 0 e46472: b417 - b418 + x10018 >= 0 e46473: - b418 + x10018 <= 0 e46474: b418 - b419 + x10019 >= 0 e46475: - b419 + x10019 <= 0 e46476: b419 - b420 + x10020 >= 0 e46477: - b420 + x10020 <= 0 e46478: b420 - b421 + x10021 >= 0 e46479: - b421 + x10021 <= 0 e46480: b421 - b422 + x10022 >= 0 e46481: - b422 + x10022 <= 0 e46482: b422 - b423 + x10023 >= 0 e46483: - b423 + x10023 <= 0 e46484: b423 - b424 + x10024 >= 0 e46485: - b424 + x10024 <= 0 e46486: b424 - b425 + x10025 >= 0 e46487: - b425 + x10025 <= 0 e46488: b425 - b426 + x10026 >= 0 e46489: - b426 + x10026 <= 0 e46490: b426 - b427 + x10027 >= 0 e46491: - b427 + x10027 <= 0 e46492: b427 - b428 + x10028 >= 0 e46493: - b428 + x10028 <= 0 e46494: b428 - b429 + x10029 >= 0 e46495: - b429 + x10029 <= 0 e46496: b429 - b430 + x10030 >= 0 e46497: - b430 + x10030 <= 0 e46498: b430 - b431 + x10031 >= 0 e46499: - b431 + x10031 <= 0 e46500: b431 - b432 + x10032 >= 0 e46501: - b432 + x10032 <= 0 e46502: b432 - b433 + x10033 >= 0 e46503: - b433 + x10033 <= 0 e46504: b435 = 1 e46505: b434 = 1 e46506: b435 = 1 e46507: b435 - b436 + x10036 >= 0 e46508: - b436 + x10036 <= 0 e46509: b436 - b437 + x10037 >= 0 e46510: - b437 + x10037 <= 0 e46511: b437 - b438 + x10038 >= 0 e46512: - b438 + x10038 <= 0 e46513: b438 - b439 + x10039 >= 0 e46514: - b439 + x10039 <= 0 e46515: b439 - b440 + x10040 >= 0 e46516: - b440 + x10040 <= 0 e46517: b440 - b441 + x10041 >= 0 e46518: - b441 + x10041 <= 0 e46519: b441 - b442 + x10042 >= 0 e46520: - b442 + x10042 <= 0 e46521: b442 - b443 + x10043 >= 0 e46522: - b443 + x10043 <= 0 e46523: b443 - b444 + x10044 >= 0 e46524: - b444 + x10044 <= 0 e46525: b444 - b445 + x10045 >= 0 e46526: - b445 + x10045 <= 0 e46527: b445 - b446 + x10046 >= 0 e46528: - b446 + x10046 <= 0 e46529: b446 - b447 + x10047 >= 0 e46530: - b447 + x10047 <= 0 e46531: b447 - b448 + x10048 >= 0 e46532: - b448 + x10048 <= 0 e46533: b448 - b449 + x10049 >= 0 e46534: - b449 + x10049 <= 0 e46535: b449 - b450 + x10050 >= 0 e46536: - b450 + x10050 <= 0 e46537: b450 - b451 + x10051 >= 0 e46538: - b451 + x10051 <= 0 e46539: b451 - b452 + x10052 >= 0 e46540: - b452 + x10052 <= 0 e46541: b452 - b453 + x10053 >= 0 e46542: - b453 + x10053 <= 0 e46543: b453 - b454 + x10054 >= 0 e46544: - b454 + x10054 <= 0 e46545: b454 - b455 + x10055 >= 0 e46546: - b455 + x10055 <= 0 e46547: b455 - b456 + x10056 >= 0 e46548: - b456 + x10056 <= 0 e46549: b456 - b457 + x10057 >= 0 e46550: - b457 + x10057 <= 0 e46551: x19295 = 0 e46552: - b458 + x10058 + x19295 >= 0 e46553: - b458 + x10058 <= 0 e46554: b458 - b459 + x10059 >= 0 e46555: - b459 + x10059 <= 0 e46556: b459 - b460 + x10060 >= 0 e46557: - b460 + x10060 <= 0 e46558: b460 - b461 + x10061 >= 0 e46559: - b461 + x10061 <= 0 e46560: b461 - b462 + x10062 >= 0 e46561: - b462 + x10062 <= 0 e46562: b462 - b463 + x10063 >= 0 e46563: - b463 + x10063 <= 0 e46564: b463 - b464 + x10064 >= 0 e46565: - b464 + x10064 <= 0 e46566: b464 - b465 + x10065 >= 0 e46567: - b465 + x10065 <= 0 e46568: b465 - b466 + x10066 >= 0 e46569: - b466 + x10066 <= 0 e46570: b466 - b467 + x10067 >= 0 e46571: - b467 + x10067 <= 0 e46572: b467 - b468 + x10068 >= 0 e46573: - b468 + x10068 <= 0 e46574: b468 - b469 + x10069 >= 0 e46575: - b469 + x10069 <= 0 e46576: b469 - b470 + x10070 >= 0 e46577: - b470 + x10070 <= 0 e46578: b470 - b471 + x10071 >= 0 e46579: - b471 + x10071 <= 0 e46580: b471 - b472 + x10072 >= 0 e46581: - b472 + x10072 <= 0 e46582: b472 - b473 + x10073 >= 0 e46583: - b473 + x10073 <= 0 e46584: b473 - b474 + x10074 >= 0 e46585: - b474 + x10074 <= 0 e46586: b474 - b475 + x10075 >= 0 e46587: - b475 + x10075 <= 0 e46588: b475 - b476 + x10076 >= 0 e46589: - b476 + x10076 <= 0 e46590: b476 - b477 + x10077 >= 0 e46591: - b477 + x10077 <= 0 e46592: b477 - b478 + x10078 >= 0 e46593: - b478 + x10078 <= 0 e46594: b478 - b479 + x10079 >= 0 e46595: - b479 + x10079 <= 0 e46596: b479 - b480 + x10080 >= 0 e46597: - b480 + x10080 <= 0 e46598: b480 - b481 + x10081 >= 0 e46599: - b481 + x10081 <= 0 e46600: x19216 = 1 e46601: - b482 + x10082 + x19216 >= 0 e46602: - b482 + x10082 <= 0 e46603: b482 - b483 + x10083 >= 0 e46604: - b483 + x10083 <= 0 e46605: b483 - b484 + x10084 >= 0 e46606: - b484 + x10084 <= 0 e46607: b484 - b485 + x10085 >= 0 e46608: - b485 + x10085 <= 0 e46609: b485 - b486 + x10086 >= 0 e46610: - b486 + x10086 <= 0 e46611: b486 - b487 + x10087 >= 0 e46612: - b487 + x10087 <= 0 e46613: b487 - b488 + x10088 >= 0 e46614: - b488 + x10088 <= 0 e46615: b488 - b489 + x10089 >= 0 e46616: - b489 + x10089 <= 0 e46617: b489 - b490 + x10090 >= 0 e46618: - b490 + x10090 <= 0 e46619: b490 - b491 + x10091 >= 0 e46620: - b491 + x10091 <= 0 e46621: b491 - b492 + x10092 >= 0 e46622: - b492 + x10092 <= 0 e46623: b492 - b493 + x10093 >= 0 e46624: - b493 + x10093 <= 0 e46625: b493 - b494 + x10094 >= 0 e46626: - b494 + x10094 <= 0 e46627: b494 - b495 + x10095 >= 0 e46628: - b495 + x10095 <= 0 e46629: b495 - b496 + x10096 >= 0 e46630: - b496 + x10096 <= 0 e46631: b496 - b497 + x10097 >= 0 e46632: - b497 + x10097 <= 0 e46633: b497 - b498 + x10098 >= 0 e46634: - b498 + x10098 <= 0 e46635: b498 - b499 + x10099 >= 0 e46636: - b499 + x10099 <= 0 e46637: b499 - b500 + x10100 >= 0 e46638: - b500 + x10100 <= 0 e46639: b500 - b501 + x10101 >= 0 e46640: - b501 + x10101 <= 0 e46641: b501 - b502 + x10102 >= 0 e46642: - b502 + x10102 <= 0 e46643: b502 - b503 + x10103 >= 0 e46644: - b503 + x10103 <= 0 e46645: b503 - b504 + x10104 >= 0 e46646: - b504 + x10104 <= 0 e46647: b504 - b505 + x10105 >= 0 e46648: - b505 + x10105 <= 0 e46649: x19217 = 0 e46650: - b506 + x10106 + x19217 >= 0 e46651: - b506 + x10106 <= 0 e46652: b506 - b507 + x10107 >= 0 e46653: - b507 + x10107 <= 0 e46654: b507 - b508 + x10108 >= 0 e46655: - b508 + x10108 <= 0 e46656: b508 - b509 + x10109 >= 0 e46657: - b509 + x10109 <= 0 e46658: b509 - b510 + x10110 >= 0 e46659: - b510 + x10110 <= 0 e46660: b510 - b511 + x10111 >= 0 e46661: - b511 + x10111 <= 0 e46662: b511 - b512 + x10112 >= 0 e46663: - b512 + x10112 <= 0 e46664: b512 - b513 + x10113 >= 0 e46665: - b513 + x10113 <= 0 e46666: b513 - b514 + x10114 >= 0 e46667: - b514 + x10114 <= 0 e46668: b514 - b515 + x10115 >= 0 e46669: - b515 + x10115 <= 0 e46670: b515 - b516 + x10116 >= 0 e46671: - b516 + x10116 <= 0 e46672: b516 - b517 + x10117 >= 0 e46673: - b517 + x10117 <= 0 e46674: b517 - b518 + x10118 >= 0 e46675: - b518 + x10118 <= 0 e46676: b518 - b519 + x10119 >= 0 e46677: - b519 + x10119 <= 0 e46678: b519 - b520 + x10120 >= 0 e46679: - b520 + x10120 <= 0 e46680: b520 - b521 + x10121 >= 0 e46681: - b521 + x10121 <= 0 e46682: b521 - b522 + x10122 >= 0 e46683: - b522 + x10122 <= 0 e46684: b522 - b523 + x10123 >= 0 e46685: - b523 + x10123 <= 0 e46686: b523 - b524 + x10124 >= 0 e46687: - b524 + x10124 <= 0 e46688: b524 - b525 + x10125 >= 0 e46689: - b525 + x10125 <= 0 e46690: b525 - b526 + x10126 >= 0 e46691: - b526 + x10126 <= 0 e46692: b526 - b527 + x10127 >= 0 e46693: - b527 + x10127 <= 0 e46694: b527 - b528 + x10128 >= 0 e46695: - b528 + x10128 <= 0 e46696: b528 - b529 + x10129 >= 0 e46697: - b529 + x10129 <= 0 e46698: x19218 = 1 e46699: - b530 + x10130 + x19218 >= 0 e46700: - b530 + x10130 <= 0 e46701: b530 - b531 + x10131 >= 0 e46702: - b531 + x10131 <= 0 e46703: b531 - b532 + x10132 >= 0 e46704: - b532 + x10132 <= 0 e46705: b532 - b533 + x10133 >= 0 e46706: - b533 + x10133 <= 0 e46707: b533 - b534 + x10134 >= 0 e46708: - b534 + x10134 <= 0 e46709: b534 - b535 + x10135 >= 0 e46710: - b535 + x10135 <= 0 e46711: b535 - b536 + x10136 >= 0 e46712: - b536 + x10136 <= 0 e46713: b536 - b537 + x10137 >= 0 e46714: - b537 + x10137 <= 0 e46715: b537 - b538 + x10138 >= 0 e46716: - b538 + x10138 <= 0 e46717: b538 - b539 + x10139 >= 0 e46718: - b539 + x10139 <= 0 e46719: b539 - b540 + x10140 >= 0 e46720: - b540 + x10140 <= 0 e46721: b540 - b541 + x10141 >= 0 e46722: - b541 + x10141 <= 0 e46723: b541 - b542 + x10142 >= 0 e46724: - b542 + x10142 <= 0 e46725: b542 - b543 + x10143 >= 0 e46726: - b543 + x10143 <= 0 e46727: b543 - b544 + x10144 >= 0 e46728: - b544 + x10144 <= 0 e46729: b544 - b545 + x10145 >= 0 e46730: - b545 + x10145 <= 0 e46731: b545 - b546 + x10146 >= 0 e46732: - b546 + x10146 <= 0 e46733: b546 - b547 + x10147 >= 0 e46734: - b547 + x10147 <= 0 e46735: b547 - b548 + x10148 >= 0 e46736: - b548 + x10148 <= 0 e46737: b548 - b549 + x10149 >= 0 e46738: - b549 + x10149 <= 0 e46739: b549 - b550 + x10150 >= 0 e46740: - b550 + x10150 <= 0 e46741: b550 - b551 + x10151 >= 0 e46742: - b551 + x10151 <= 0 e46743: b551 - b552 + x10152 >= 0 e46744: - b552 + x10152 <= 0 e46745: b552 - b553 + x10153 >= 0 e46746: - b553 + x10153 <= 0 e46747: b555 = 1 e46748: b554 = 1 e46749: b555 = 1 e46750: b555 - b556 + x10156 >= 0 e46751: - b556 + x10156 <= 0 e46752: b556 - b557 + x10157 >= 0 e46753: - b557 + x10157 <= 0 e46754: b557 - b558 + x10158 >= 0 e46755: - b558 + x10158 <= 0 e46756: b558 - b559 + x10159 >= 0 e46757: - b559 + x10159 <= 0 e46758: b559 - b560 + x10160 >= 0 e46759: - b560 + x10160 <= 0 e46760: b560 - b561 + x10161 >= 0 e46761: - b561 + x10161 <= 0 e46762: b561 - b562 + x10162 >= 0 e46763: - b562 + x10162 <= 0 e46764: b562 - b563 + x10163 >= 0 e46765: - b563 + x10163 <= 0 e46766: b563 - b564 + x10164 >= 0 e46767: - b564 + x10164 <= 0 e46768: b564 - b565 + x10165 >= 0 e46769: - b565 + x10165 <= 0 e46770: b565 - b566 + x10166 >= 0 e46771: - b566 + x10166 <= 0 e46772: b566 - b567 + x10167 >= 0 e46773: - b567 + x10167 <= 0 e46774: b567 - b568 + x10168 >= 0 e46775: - b568 + x10168 <= 0 e46776: b568 - b569 + x10169 >= 0 e46777: - b569 + x10169 <= 0 e46778: b569 - b570 + x10170 >= 0 e46779: - b570 + x10170 <= 0 e46780: b570 - b571 + x10171 >= 0 e46781: - b571 + x10171 <= 0 e46782: b571 - b572 + x10172 >= 0 e46783: - b572 + x10172 <= 0 e46784: b572 - b573 + x10173 >= 0 e46785: - b573 + x10173 <= 0 e46786: b573 - b574 + x10174 >= 0 e46787: - b574 + x10174 <= 0 e46788: b574 - b575 + x10175 >= 0 e46789: - b575 + x10175 <= 0 e46790: b575 - b576 + x10176 >= 0 e46791: - b576 + x10176 <= 0 e46792: b576 - b577 + x10177 >= 0 e46793: - b577 + x10177 <= 0 e46794: x19219 = 0 e46795: - b578 + x10178 + x19219 >= 0 e46796: - b578 + x10178 <= 0 e46797: b578 - b579 + x10179 >= 0 e46798: - b579 + x10179 <= 0 e46799: b579 - b580 + x10180 >= 0 e46800: - b580 + x10180 <= 0 e46801: b580 - b581 + x10181 >= 0 e46802: - b581 + x10181 <= 0 e46803: b581 - b582 + x10182 >= 0 e46804: - b582 + x10182 <= 0 e46805: b582 - b583 + x10183 >= 0 e46806: - b583 + x10183 <= 0 e46807: b583 - b584 + x10184 >= 0 e46808: - b584 + x10184 <= 0 e46809: b584 - b585 + x10185 >= 0 e46810: - b585 + x10185 <= 0 e46811: b585 - b586 + x10186 >= 0 e46812: - b586 + x10186 <= 0 e46813: b586 - b587 + x10187 >= 0 e46814: - b587 + x10187 <= 0 e46815: b587 - b588 + x10188 >= 0 e46816: - b588 + x10188 <= 0 e46817: b588 - b589 + x10189 >= 0 e46818: - b589 + x10189 <= 0 e46819: b589 - b590 + x10190 >= 0 e46820: - b590 + x10190 <= 0 e46821: b590 - b591 + x10191 >= 0 e46822: - b591 + x10191 <= 0 e46823: b591 - b592 + x10192 >= 0 e46824: - b592 + x10192 <= 0 e46825: b592 - b593 + x10193 >= 0 e46826: - b593 + x10193 <= 0 e46827: b593 - b594 + x10194 >= 0 e46828: - b594 + x10194 <= 0 e46829: b594 - b595 + x10195 >= 0 e46830: - b595 + x10195 <= 0 e46831: b595 - b596 + x10196 >= 0 e46832: - b596 + x10196 <= 0 e46833: b596 - b597 + x10197 >= 0 e46834: - b597 + x10197 <= 0 e46835: b597 - b598 + x10198 >= 0 e46836: - b598 + x10198 <= 0 e46837: b598 - b599 + x10199 >= 0 e46838: - b599 + x10199 <= 0 e46839: b599 - b600 + x10200 >= 0 e46840: - b600 + x10200 <= 0 e46841: b600 - b601 + x10201 >= 0 e46842: - b601 + x10201 <= 0 e46843: x19220 = 0 e46844: - b602 + x10202 + x19220 >= 0 e46845: - b602 + x10202 <= 0 e46846: b602 - b603 + x10203 >= 0 e46847: - b603 + x10203 <= 0 e46848: b603 - b604 + x10204 >= 0 e46849: - b604 + x10204 <= 0 e46850: b604 - b605 + x10205 >= 0 e46851: - b605 + x10205 <= 0 e46852: b605 - b606 + x10206 >= 0 e46853: - b606 + x10206 <= 0 e46854: b606 - b607 + x10207 >= 0 e46855: - b607 + x10207 <= 0 e46856: b607 - b608 + x10208 >= 0 e46857: - b608 + x10208 <= 0 e46858: b608 - b609 + x10209 >= 0 e46859: - b609 + x10209 <= 0 e46860: b609 - b610 + x10210 >= 0 e46861: - b610 + x10210 <= 0 e46862: b610 - b611 + x10211 >= 0 e46863: - b611 + x10211 <= 0 e46864: b611 - b612 + x10212 >= 0 e46865: - b612 + x10212 <= 0 e46866: b612 - b613 + x10213 >= 0 e46867: - b613 + x10213 <= 0 e46868: b613 - b614 + x10214 >= 0 e46869: - b614 + x10214 <= 0 e46870: b614 - b615 + x10215 >= 0 e46871: - b615 + x10215 <= 0 e46872: b615 - b616 + x10216 >= 0 e46873: - b616 + x10216 <= 0 e46874: b616 - b617 + x10217 >= 0 e46875: - b617 + x10217 <= 0 e46876: b617 - b618 + x10218 >= 0 e46877: - b618 + x10218 <= 0 e46878: b618 - b619 + x10219 >= 0 e46879: - b619 + x10219 <= 0 e46880: b619 - b620 + x10220 >= 0 e46881: - b620 + x10220 <= 0 e46882: b620 - b621 + x10221 >= 0 e46883: - b621 + x10221 <= 0 e46884: b621 - b622 + x10222 >= 0 e46885: - b622 + x10222 <= 0 e46886: b622 - b623 + x10223 >= 0 e46887: - b623 + x10223 <= 0 e46888: b623 - b624 + x10224 >= 0 e46889: - b624 + x10224 <= 0 e46890: b624 - b625 + x10225 >= 0 e46891: - b625 + x10225 <= 0 e46892: x19296 = 0 e46893: - b626 + x10226 + x19296 >= 0 e46894: - b626 + x10226 <= 0 e46895: b626 - b627 + x10227 >= 0 e46896: - b627 + x10227 <= 0 e46897: b627 - b628 + x10228 >= 0 e46898: - b628 + x10228 <= 0 e46899: b628 - b629 + x10229 >= 0 e46900: - b629 + x10229 <= 0 e46901: b629 - b630 + x10230 >= 0 e46902: - b630 + x10230 <= 0 e46903: b630 - b631 + x10231 >= 0 e46904: - b631 + x10231 <= 0 e46905: b631 - b632 + x10232 >= 0 e46906: - b632 + x10232 <= 0 e46907: b632 - b633 + x10233 >= 0 e46908: - b633 + x10233 <= 0 e46909: b633 - b634 + x10234 >= 0 e46910: - b634 + x10234 <= 0 e46911: b634 - b635 + x10235 >= 0 e46912: - b635 + x10235 <= 0 e46913: b635 - b636 + x10236 >= 0 e46914: - b636 + x10236 <= 0 e46915: b636 - b637 + x10237 >= 0 e46916: - b637 + x10237 <= 0 e46917: b637 - b638 + x10238 >= 0 e46918: - b638 + x10238 <= 0 e46919: b638 - b639 + x10239 >= 0 e46920: - b639 + x10239 <= 0 e46921: b639 - b640 + x10240 >= 0 e46922: - b640 + x10240 <= 0 e46923: b640 - b641 + x10241 >= 0 e46924: - b641 + x10241 <= 0 e46925: b641 - b642 + x10242 >= 0 e46926: - b642 + x10242 <= 0 e46927: b642 - b643 + x10243 >= 0 e46928: - b643 + x10243 <= 0 e46929: b643 - b644 + x10244 >= 0 e46930: - b644 + x10244 <= 0 e46931: b644 - b645 + x10245 >= 0 e46932: - b645 + x10245 <= 0 e46933: b645 - b646 + x10246 >= 0 e46934: - b646 + x10246 <= 0 e46935: b646 - b647 + x10247 >= 0 e46936: - b647 + x10247 <= 0 e46937: b647 - b648 + x10248 >= 0 e46938: - b648 + x10248 <= 0 e46939: b648 - b649 + x10249 >= 0 e46940: - b649 + x10249 <= 0 e46941: x19221 = 0 e46942: - b650 + x10250 + x19221 >= 0 e46943: - b650 + x10250 <= 0 e46944: b650 - b651 + x10251 >= 0 e46945: - b651 + x10251 <= 0 e46946: b651 - b652 + x10252 >= 0 e46947: - b652 + x10252 <= 0 e46948: b652 - b653 + x10253 >= 0 e46949: - b653 + x10253 <= 0 e46950: b653 - b654 + x10254 >= 0 e46951: - b654 + x10254 <= 0 e46952: b654 - b655 + x10255 >= 0 e46953: - b655 + x10255 <= 0 e46954: b655 - b656 + x10256 >= 0 e46955: - b656 + x10256 <= 0 e46956: b656 - b657 + x10257 >= 0 e46957: - b657 + x10257 <= 0 e46958: b657 - b658 + x10258 >= 0 e46959: - b658 + x10258 <= 0 e46960: b658 - b659 + x10259 >= 0 e46961: - b659 + x10259 <= 0 e46962: b659 - b660 + x10260 >= 0 e46963: - b660 + x10260 <= 0 e46964: b660 - b661 + x10261 >= 0 e46965: - b661 + x10261 <= 0 e46966: b661 - b662 + x10262 >= 0 e46967: - b662 + x10262 <= 0 e46968: b662 - b663 + x10263 >= 0 e46969: - b663 + x10263 <= 0 e46970: b663 - b664 + x10264 >= 0 e46971: - b664 + x10264 <= 0 e46972: b664 - b665 + x10265 >= 0 e46973: - b665 + x10265 <= 0 e46974: b665 - b666 + x10266 >= 0 e46975: - b666 + x10266 <= 0 e46976: b666 - b667 + x10267 >= 0 e46977: - b667 + x10267 <= 0 e46978: b667 - b668 + x10268 >= 0 e46979: - b668 + x10268 <= 0 e46980: b668 - b669 + x10269 >= 0 e46981: - b669 + x10269 <= 0 e46982: b669 - b670 + x10270 >= 0 e46983: - b670 + x10270 <= 0 e46984: b670 - b671 + x10271 >= 0 e46985: - b671 + x10271 <= 0 e46986: b671 - b672 + x10272 >= 0 e46987: - b672 + x10272 <= 0 e46988: b672 - b673 + x10273 >= 0 e46989: - b673 + x10273 <= 0 e46990: x19222 = 0 e46991: - b674 + x10274 + x19222 >= 0 e46992: - b674 + x10274 <= 0 e46993: b674 - b675 + x10275 >= 0 e46994: - b675 + x10275 <= 0 e46995: b675 - b676 + x10276 >= 0 e46996: - b676 + x10276 <= 0 e46997: b676 - b677 + x10277 >= 0 e46998: - b677 + x10277 <= 0 e46999: b677 - b678 + x10278 >= 0 e47000: - b678 + x10278 <= 0 e47001: b678 - b679 + x10279 >= 0 e47002: - b679 + x10279 <= 0 e47003: b679 - b680 + x10280 >= 0 e47004: - b680 + x10280 <= 0 e47005: b680 - b681 + x10281 >= 0 e47006: - b681 + x10281 <= 0 e47007: b681 - b682 + x10282 >= 0 e47008: - b682 + x10282 <= 0 e47009: b682 - b683 + x10283 >= 0 e47010: - b683 + x10283 <= 0 e47011: b683 - b684 + x10284 >= 0 e47012: - b684 + x10284 <= 0 e47013: b684 - b685 + x10285 >= 0 e47014: - b685 + x10285 <= 0 e47015: b685 - b686 + x10286 >= 0 e47016: - b686 + x10286 <= 0 e47017: b686 - b687 + x10287 >= 0 e47018: - b687 + x10287 <= 0 e47019: b687 - b688 + x10288 >= 0 e47020: - b688 + x10288 <= 0 e47021: b688 - b689 + x10289 >= 0 e47022: - b689 + x10289 <= 0 e47023: b689 - b690 + x10290 >= 0 e47024: - b690 + x10290 <= 0 e47025: b690 - b691 + x10291 >= 0 e47026: - b691 + x10291 <= 0 e47027: b691 - b692 + x10292 >= 0 e47028: - b692 + x10292 <= 0 e47029: b692 - b693 + x10293 >= 0 e47030: - b693 + x10293 <= 0 e47031: b693 - b694 + x10294 >= 0 e47032: - b694 + x10294 <= 0 e47033: b694 - b695 + x10295 >= 0 e47034: - b695 + x10295 <= 0 e47035: b695 - b696 + x10296 >= 0 e47036: - b696 + x10296 <= 0 e47037: b696 - b697 + x10297 >= 0 e47038: - b697 + x10297 <= 0 e47039: x19223 = 1 e47040: - b698 + x10298 + x19223 >= 0 e47041: - b698 + x10298 <= 0 e47042: b698 - b699 + x10299 >= 0 e47043: - b699 + x10299 <= 0 e47044: b699 - b700 + x10300 >= 0 e47045: - b700 + x10300 <= 0 e47046: b700 - b701 + x10301 >= 0 e47047: - b701 + x10301 <= 0 e47048: b701 - b702 + x10302 >= 0 e47049: - b702 + x10302 <= 0 e47050: b702 - b703 + x10303 >= 0 e47051: - b703 + x10303 <= 0 e47052: b703 - b704 + x10304 >= 0 e47053: - b704 + x10304 <= 0 e47054: b704 - b705 + x10305 >= 0 e47055: - b705 + x10305 <= 0 e47056: b705 - b706 + x10306 >= 0 e47057: - b706 + x10306 <= 0 e47058: b706 - b707 + x10307 >= 0 e47059: - b707 + x10307 <= 0 e47060: b707 - b708 + x10308 >= 0 e47061: - b708 + x10308 <= 0 e47062: b708 - b709 + x10309 >= 0 e47063: - b709 + x10309 <= 0 e47064: b709 - b710 + x10310 >= 0 e47065: - b710 + x10310 <= 0 e47066: b710 - b711 + x10311 >= 0 e47067: - b711 + x10311 <= 0 e47068: b711 - b712 + x10312 >= 0 e47069: - b712 + x10312 <= 0 e47070: b712 - b713 + x10313 >= 0 e47071: - b713 + x10313 <= 0 e47072: b713 - b714 + x10314 >= 0 e47073: - b714 + x10314 <= 0 e47074: b714 - b715 + x10315 >= 0 e47075: - b715 + x10315 <= 0 e47076: b715 - b716 + x10316 >= 0 e47077: - b716 + x10316 <= 0 e47078: b716 - b717 + x10317 >= 0 e47079: - b717 + x10317 <= 0 e47080: b717 - b718 + x10318 >= 0 e47081: - b718 + x10318 <= 0 e47082: b718 - b719 + x10319 >= 0 e47083: - b719 + x10319 <= 0 e47084: b719 - b720 + x10320 >= 0 e47085: - b720 + x10320 <= 0 e47086: b720 - b721 + x10321 >= 0 e47087: - b721 + x10321 <= 0 e47088: b724 = 1 e47089: b722 = 1 e47090: b723 = 1 e47091: b724 = 1 e47092: b724 - b725 + x10325 >= 0 e47093: - b725 + x10325 <= 0 e47094: b725 - b726 + x10326 >= 0 e47095: - b726 + x10326 <= 0 e47096: b726 - b727 + x10327 >= 0 e47097: - b727 + x10327 <= 0 e47098: b727 - b728 + x10328 >= 0 e47099: - b728 + x10328 <= 0 e47100: b728 - b729 + x10329 >= 0 e47101: - b729 + x10329 <= 0 e47102: b729 - b730 + x10330 >= 0 e47103: - b730 + x10330 <= 0 e47104: b730 - b731 + x10331 >= 0 e47105: - b731 + x10331 <= 0 e47106: b731 - b732 + x10332 >= 0 e47107: - b732 + x10332 <= 0 e47108: b732 - b733 + x10333 >= 0 e47109: - b733 + x10333 <= 0 e47110: b733 - b734 + x10334 >= 0 e47111: - b734 + x10334 <= 0 e47112: b734 - b735 + x10335 >= 0 e47113: - b735 + x10335 <= 0 e47114: b735 - b736 + x10336 >= 0 e47115: - b736 + x10336 <= 0 e47116: b736 - b737 + x10337 >= 0 e47117: - b737 + x10337 <= 0 e47118: b737 - b738 + x10338 >= 0 e47119: - b738 + x10338 <= 0 e47120: b738 - b739 + x10339 >= 0 e47121: - b739 + x10339 <= 0 e47122: b739 - b740 + x10340 >= 0 e47123: - b740 + x10340 <= 0 e47124: b740 - b741 + x10341 >= 0 e47125: - b741 + x10341 <= 0 e47126: b741 - b742 + x10342 >= 0 e47127: - b742 + x10342 <= 0 e47128: b742 - b743 + x10343 >= 0 e47129: - b743 + x10343 <= 0 e47130: b743 - b744 + x10344 >= 0 e47131: - b744 + x10344 <= 0 e47132: b744 - b745 + x10345 >= 0 e47133: - b745 + x10345 <= 0 e47134: x19224 = 0 e47135: - b746 + x10346 + x19224 >= 0 e47136: - b746 + x10346 <= 0 e47137: b746 - b747 + x10347 >= 0 e47138: - b747 + x10347 <= 0 e47139: b747 - b748 + x10348 >= 0 e47140: - b748 + x10348 <= 0 e47141: b748 - b749 + x10349 >= 0 e47142: - b749 + x10349 <= 0 e47143: b749 - b750 + x10350 >= 0 e47144: - b750 + x10350 <= 0 e47145: b750 - b751 + x10351 >= 0 e47146: - b751 + x10351 <= 0 e47147: b751 - b752 + x10352 >= 0 e47148: - b752 + x10352 <= 0 e47149: b752 - b753 + x10353 >= 0 e47150: - b753 + x10353 <= 0 e47151: b753 - b754 + x10354 >= 0 e47152: - b754 + x10354 <= 0 e47153: b754 - b755 + x10355 >= 0 e47154: - b755 + x10355 <= 0 e47155: b755 - b756 + x10356 >= 0 e47156: - b756 + x10356 <= 0 e47157: b756 - b757 + x10357 >= 0 e47158: - b757 + x10357 <= 0 e47159: b757 - b758 + x10358 >= 0 e47160: - b758 + x10358 <= 0 e47161: b758 - b759 + x10359 >= 0 e47162: - b759 + x10359 <= 0 e47163: b759 - b760 + x10360 >= 0 e47164: - b760 + x10360 <= 0 e47165: b760 - b761 + x10361 >= 0 e47166: - b761 + x10361 <= 0 e47167: b761 - b762 + x10362 >= 0 e47168: - b762 + x10362 <= 0 e47169: b762 - b763 + x10363 >= 0 e47170: - b763 + x10363 <= 0 e47171: b763 - b764 + x10364 >= 0 e47172: - b764 + x10364 <= 0 e47173: b764 - b765 + x10365 >= 0 e47174: - b765 + x10365 <= 0 e47175: b765 - b766 + x10366 >= 0 e47176: - b766 + x10366 <= 0 e47177: b766 - b767 + x10367 >= 0 e47178: - b767 + x10367 <= 0 e47179: b767 - b768 + x10368 >= 0 e47180: - b768 + x10368 <= 0 e47181: b768 - b769 + x10369 >= 0 e47182: - b769 + x10369 <= 0 e47183: x19225 = 0 e47184: - b770 + x10370 + x19225 >= 0 e47185: - b770 + x10370 <= 0 e47186: b770 - b771 + x10371 >= 0 e47187: - b771 + x10371 <= 0 e47188: b771 - b772 + x10372 >= 0 e47189: - b772 + x10372 <= 0 e47190: b772 - b773 + x10373 >= 0 e47191: - b773 + x10373 <= 0 e47192: b773 - b774 + x10374 >= 0 e47193: - b774 + x10374 <= 0 e47194: b774 - b775 + x10375 >= 0 e47195: - b775 + x10375 <= 0 e47196: b775 - b776 + x10376 >= 0 e47197: - b776 + x10376 <= 0 e47198: b776 - b777 + x10377 >= 0 e47199: - b777 + x10377 <= 0 e47200: b777 - b778 + x10378 >= 0 e47201: - b778 + x10378 <= 0 e47202: b778 - b779 + x10379 >= 0 e47203: - b779 + x10379 <= 0 e47204: b779 - b780 + x10380 >= 0 e47205: - b780 + x10380 <= 0 e47206: b780 - b781 + x10381 >= 0 e47207: - b781 + x10381 <= 0 e47208: b781 - b782 + x10382 >= 0 e47209: - b782 + x10382 <= 0 e47210: b782 - b783 + x10383 >= 0 e47211: - b783 + x10383 <= 0 e47212: b783 - b784 + x10384 >= 0 e47213: - b784 + x10384 <= 0 e47214: b784 - b785 + x10385 >= 0 e47215: - b785 + x10385 <= 0 e47216: b785 - b786 + x10386 >= 0 e47217: - b786 + x10386 <= 0 e47218: b786 - b787 + x10387 >= 0 e47219: - b787 + x10387 <= 0 e47220: b787 - b788 + x10388 >= 0 e47221: - b788 + x10388 <= 0 e47222: b788 - b789 + x10389 >= 0 e47223: - b789 + x10389 <= 0 e47224: b789 - b790 + x10390 >= 0 e47225: - b790 + x10390 <= 0 e47226: b790 - b791 + x10391 >= 0 e47227: - b791 + x10391 <= 0 e47228: b791 - b792 + x10392 >= 0 e47229: - b792 + x10392 <= 0 e47230: b792 - b793 + x10393 >= 0 e47231: - b793 + x10393 <= 0 e47232: b796 = 1 e47233: b794 = 1 e47234: b795 = 1 e47235: b796 = 1 e47236: b796 - b797 + x10397 >= 0 e47237: - b797 + x10397 <= 0 e47238: b797 - b798 + x10398 >= 0 e47239: - b798 + x10398 <= 0 e47240: b798 - b799 + x10399 >= 0 e47241: - b799 + x10399 <= 0 e47242: b799 - b800 + x10400 >= 0 e47243: - b800 + x10400 <= 0 e47244: b800 - b801 + x10401 >= 0 e47245: - b801 + x10401 <= 0 e47246: b801 - b802 + x10402 >= 0 e47247: - b802 + x10402 <= 0 e47248: b802 - b803 + x10403 >= 0 e47249: - b803 + x10403 <= 0 e47250: b803 - b804 + x10404 >= 0 e47251: - b804 + x10404 <= 0 e47252: b804 - b805 + x10405 >= 0 e47253: - b805 + x10405 <= 0 e47254: b805 - b806 + x10406 >= 0 e47255: - b806 + x10406 <= 0 e47256: b806 - b807 + x10407 >= 0 e47257: - b807 + x10407 <= 0 e47258: b807 - b808 + x10408 >= 0 e47259: - b808 + x10408 <= 0 e47260: b808 - b809 + x10409 >= 0 e47261: - b809 + x10409 <= 0 e47262: b809 - b810 + x10410 >= 0 e47263: - b810 + x10410 <= 0 e47264: b810 - b811 + x10411 >= 0 e47265: - b811 + x10411 <= 0 e47266: b811 - b812 + x10412 >= 0 e47267: - b812 + x10412 <= 0 e47268: b812 - b813 + x10413 >= 0 e47269: - b813 + x10413 <= 0 e47270: b813 - b814 + x10414 >= 0 e47271: - b814 + x10414 <= 0 e47272: b814 - b815 + x10415 >= 0 e47273: - b815 + x10415 <= 0 e47274: b815 - b816 + x10416 >= 0 e47275: - b816 + x10416 <= 0 e47276: b816 - b817 + x10417 >= 0 e47277: - b817 + x10417 <= 0 e47278: x19297 = 0 e47279: - b818 + x10418 + x19297 >= 0 e47280: - b818 + x10418 <= 0 e47281: b818 - b819 + x10419 >= 0 e47282: - b819 + x10419 <= 0 e47283: b819 - b820 + x10420 >= 0 e47284: - b820 + x10420 <= 0 e47285: b820 - b821 + x10421 >= 0 e47286: - b821 + x10421 <= 0 e47287: b821 - b822 + x10422 >= 0 e47288: - b822 + x10422 <= 0 e47289: b822 - b823 + x10423 >= 0 e47290: - b823 + x10423 <= 0 e47291: b823 - b824 + x10424 >= 0 e47292: - b824 + x10424 <= 0 e47293: b824 - b825 + x10425 >= 0 e47294: - b825 + x10425 <= 0 e47295: b825 - b826 + x10426 >= 0 e47296: - b826 + x10426 <= 0 e47297: b826 - b827 + x10427 >= 0 e47298: - b827 + x10427 <= 0 e47299: b827 - b828 + x10428 >= 0 e47300: - b828 + x10428 <= 0 e47301: b828 - b829 + x10429 >= 0 e47302: - b829 + x10429 <= 0 e47303: b829 - b830 + x10430 >= 0 e47304: - b830 + x10430 <= 0 e47305: b830 - b831 + x10431 >= 0 e47306: - b831 + x10431 <= 0 e47307: b831 - b832 + x10432 >= 0 e47308: - b832 + x10432 <= 0 e47309: b832 - b833 + x10433 >= 0 e47310: - b833 + x10433 <= 0 e47311: b833 - b834 + x10434 >= 0 e47312: - b834 + x10434 <= 0 e47313: b834 - b835 + x10435 >= 0 e47314: - b835 + x10435 <= 0 e47315: b835 - b836 + x10436 >= 0 e47316: - b836 + x10436 <= 0 e47317: b836 - b837 + x10437 >= 0 e47318: - b837 + x10437 <= 0 e47319: b837 - b838 + x10438 >= 0 e47320: - b838 + x10438 <= 0 e47321: b838 - b839 + x10439 >= 0 e47322: - b839 + x10439 <= 0 e47323: b839 - b840 + x10440 >= 0 e47324: - b840 + x10440 <= 0 e47325: b840 - b841 + x10441 >= 0 e47326: - b841 + x10441 <= 0 e47327: x19298 = 0 e47328: - b842 + x10442 + x19298 >= 0 e47329: - b842 + x10442 <= 0 e47330: b842 - b843 + x10443 >= 0 e47331: - b843 + x10443 <= 0 e47332: b843 - b844 + x10444 >= 0 e47333: - b844 + x10444 <= 0 e47334: b844 - b845 + x10445 >= 0 e47335: - b845 + x10445 <= 0 e47336: b845 - b846 + x10446 >= 0 e47337: - b846 + x10446 <= 0 e47338: b846 - b847 + x10447 >= 0 e47339: - b847 + x10447 <= 0 e47340: b847 - b848 + x10448 >= 0 e47341: - b848 + x10448 <= 0 e47342: b848 - b849 + x10449 >= 0 e47343: - b849 + x10449 <= 0 e47344: b849 - b850 + x10450 >= 0 e47345: - b850 + x10450 <= 0 e47346: b850 - b851 + x10451 >= 0 e47347: - b851 + x10451 <= 0 e47348: b851 - b852 + x10452 >= 0 e47349: - b852 + x10452 <= 0 e47350: b852 - b853 + x10453 >= 0 e47351: - b853 + x10453 <= 0 e47352: b853 - b854 + x10454 >= 0 e47353: - b854 + x10454 <= 0 e47354: b854 - b855 + x10455 >= 0 e47355: - b855 + x10455 <= 0 e47356: b855 - b856 + x10456 >= 0 e47357: - b856 + x10456 <= 0 e47358: b856 - b857 + x10457 >= 0 e47359: - b857 + x10457 <= 0 e47360: b857 - b858 + x10458 >= 0 e47361: - b858 + x10458 <= 0 e47362: b858 - b859 + x10459 >= 0 e47363: - b859 + x10459 <= 0 e47364: b859 - b860 + x10460 >= 0 e47365: - b860 + x10460 <= 0 e47366: b860 - b861 + x10461 >= 0 e47367: - b861 + x10461 <= 0 e47368: b861 - b862 + x10462 >= 0 e47369: - b862 + x10462 <= 0 e47370: b862 - b863 + x10463 >= 0 e47371: - b863 + x10463 <= 0 e47372: b863 - b864 + x10464 >= 0 e47373: - b864 + x10464 <= 0 e47374: b864 - b865 + x10465 >= 0 e47375: - b865 + x10465 <= 0 e47376: x19226 = 1 e47377: - b866 + x10466 + x19226 >= 0 e47378: - b866 + x10466 <= 0 e47379: b866 - b867 + x10467 >= 0 e47380: - b867 + x10467 <= 0 e47381: b867 - b868 + x10468 >= 0 e47382: - b868 + x10468 <= 0 e47383: b868 - b869 + x10469 >= 0 e47384: - b869 + x10469 <= 0 e47385: b869 - b870 + x10470 >= 0 e47386: - b870 + x10470 <= 0 e47387: b870 - b871 + x10471 >= 0 e47388: - b871 + x10471 <= 0 e47389: b871 - b872 + x10472 >= 0 e47390: - b872 + x10472 <= 0 e47391: b872 - b873 + x10473 >= 0 e47392: - b873 + x10473 <= 0 e47393: b873 - b874 + x10474 >= 0 e47394: - b874 + x10474 <= 0 e47395: b874 - b875 + x10475 >= 0 e47396: - b875 + x10475 <= 0 e47397: b875 - b876 + x10476 >= 0 e47398: - b876 + x10476 <= 0 e47399: b876 - b877 + x10477 >= 0 e47400: - b877 + x10477 <= 0 e47401: b877 - b878 + x10478 >= 0 e47402: - b878 + x10478 <= 0 e47403: b878 - b879 + x10479 >= 0 e47404: - b879 + x10479 <= 0 e47405: b879 - b880 + x10480 >= 0 e47406: - b880 + x10480 <= 0 e47407: b880 - b881 + x10481 >= 0 e47408: - b881 + x10481 <= 0 e47409: b881 - b882 + x10482 >= 0 e47410: - b882 + x10482 <= 0 e47411: b882 - b883 + x10483 >= 0 e47412: - b883 + x10483 <= 0 e47413: b883 - b884 + x10484 >= 0 e47414: - b884 + x10484 <= 0 e47415: b884 - b885 + x10485 >= 0 e47416: - b885 + x10485 <= 0 e47417: b885 - b886 + x10486 >= 0 e47418: - b886 + x10486 <= 0 e47419: b886 - b887 + x10487 >= 0 e47420: - b887 + x10487 <= 0 e47421: b887 - b888 + x10488 >= 0 e47422: - b888 + x10488 <= 0 e47423: b888 - b889 + x10489 >= 0 e47424: - b889 + x10489 <= 0 e47425: x19227 = 1 e47426: - b890 + x10490 + x19227 >= 0 e47427: - b890 + x10490 <= 0 e47428: b890 - b891 + x10491 >= 0 e47429: - b891 + x10491 <= 0 e47430: b891 - b892 + x10492 >= 0 e47431: - b892 + x10492 <= 0 e47432: b892 - b893 + x10493 >= 0 e47433: - b893 + x10493 <= 0 e47434: b893 - b894 + x10494 >= 0 e47435: - b894 + x10494 <= 0 e47436: b894 - b895 + x10495 >= 0 e47437: - b895 + x10495 <= 0 e47438: b895 - b896 + x10496 >= 0 e47439: - b896 + x10496 <= 0 e47440: b896 - b897 + x10497 >= 0 e47441: - b897 + x10497 <= 0 e47442: b897 - b898 + x10498 >= 0 e47443: - b898 + x10498 <= 0 e47444: b898 - b899 + x10499 >= 0 e47445: - b899 + x10499 <= 0 e47446: b899 - b900 + x10500 >= 0 e47447: - b900 + x10500 <= 0 e47448: b900 - b901 + x10501 >= 0 e47449: - b901 + x10501 <= 0 e47450: b901 - b902 + x10502 >= 0 e47451: - b902 + x10502 <= 0 e47452: b902 - b903 + x10503 >= 0 e47453: - b903 + x10503 <= 0 e47454: b903 - b904 + x10504 >= 0 e47455: - b904 + x10504 <= 0 e47456: b904 - b905 + x10505 >= 0 e47457: - b905 + x10505 <= 0 e47458: b905 - b906 + x10506 >= 0 e47459: - b906 + x10506 <= 0 e47460: b906 - b907 + x10507 >= 0 e47461: - b907 + x10507 <= 0 e47462: b907 - b908 + x10508 >= 0 e47463: - b908 + x10508 <= 0 e47464: b908 - b909 + x10509 >= 0 e47465: - b909 + x10509 <= 0 e47466: b909 - b910 + x10510 >= 0 e47467: - b910 + x10510 <= 0 e47468: b910 - b911 + x10511 >= 0 e47469: - b911 + x10511 <= 0 e47470: b911 - b912 + x10512 >= 0 e47471: - b912 + x10512 <= 0 e47472: b912 - b913 + x10513 >= 0 e47473: - b913 + x10513 <= 0 e47474: b916 = 1 e47475: b914 = 1 e47476: b915 = 1 e47477: b916 = 1 e47478: b916 - b917 + x10517 >= 0 e47479: - b917 + x10517 <= 0 e47480: b917 - b918 + x10518 >= 0 e47481: - b918 + x10518 <= 0 e47482: b918 - b919 + x10519 >= 0 e47483: - b919 + x10519 <= 0 e47484: b919 - b920 + x10520 >= 0 e47485: - b920 + x10520 <= 0 e47486: b920 - b921 + x10521 >= 0 e47487: - b921 + x10521 <= 0 e47488: b921 - b922 + x10522 >= 0 e47489: - b922 + x10522 <= 0 e47490: b922 - b923 + x10523 >= 0 e47491: - b923 + x10523 <= 0 e47492: b923 - b924 + x10524 >= 0 e47493: - b924 + x10524 <= 0 e47494: b924 - b925 + x10525 >= 0 e47495: - b925 + x10525 <= 0 e47496: b925 - b926 + x10526 >= 0 e47497: - b926 + x10526 <= 0 e47498: b926 - b927 + x10527 >= 0 e47499: - b927 + x10527 <= 0 e47500: b927 - b928 + x10528 >= 0 e47501: - b928 + x10528 <= 0 e47502: b928 - b929 + x10529 >= 0 e47503: - b929 + x10529 <= 0 e47504: b929 - b930 + x10530 >= 0 e47505: - b930 + x10530 <= 0 e47506: b930 - b931 + x10531 >= 0 e47507: - b931 + x10531 <= 0 e47508: b931 - b932 + x10532 >= 0 e47509: - b932 + x10532 <= 0 e47510: b932 - b933 + x10533 >= 0 e47511: - b933 + x10533 <= 0 e47512: b933 - b934 + x10534 >= 0 e47513: - b934 + x10534 <= 0 e47514: b934 - b935 + x10535 >= 0 e47515: - b935 + x10535 <= 0 e47516: b935 - b936 + x10536 >= 0 e47517: - b936 + x10536 <= 0 e47518: b936 - b937 + x10537 >= 0 e47519: - b937 + x10537 <= 0 e47520: x19299 = 0 e47521: - b938 + x10538 + x19299 >= 0 e47522: - b938 + x10538 <= 0 e47523: b938 - b939 + x10539 >= 0 e47524: - b939 + x10539 <= 0 e47525: b939 - b940 + x10540 >= 0 e47526: - b940 + x10540 <= 0 e47527: b940 - b941 + x10541 >= 0 e47528: - b941 + x10541 <= 0 e47529: b941 - b942 + x10542 >= 0 e47530: - b942 + x10542 <= 0 e47531: b942 - b943 + x10543 >= 0 e47532: - b943 + x10543 <= 0 e47533: b943 - b944 + x10544 >= 0 e47534: - b944 + x10544 <= 0 e47535: b944 - b945 + x10545 >= 0 e47536: - b945 + x10545 <= 0 e47537: b945 - b946 + x10546 >= 0 e47538: - b946 + x10546 <= 0 e47539: b946 - b947 + x10547 >= 0 e47540: - b947 + x10547 <= 0 e47541: b947 - b948 + x10548 >= 0 e47542: - b948 + x10548 <= 0 e47543: b948 - b949 + x10549 >= 0 e47544: - b949 + x10549 <= 0 e47545: b949 - b950 + x10550 >= 0 e47546: - b950 + x10550 <= 0 e47547: b950 - b951 + x10551 >= 0 e47548: - b951 + x10551 <= 0 e47549: b951 - b952 + x10552 >= 0 e47550: - b952 + x10552 <= 0 e47551: b952 - b953 + x10553 >= 0 e47552: - b953 + x10553 <= 0 e47553: b953 - b954 + x10554 >= 0 e47554: - b954 + x10554 <= 0 e47555: b954 - b955 + x10555 >= 0 e47556: - b955 + x10555 <= 0 e47557: b955 - b956 + x10556 >= 0 e47558: - b956 + x10556 <= 0 e47559: b956 - b957 + x10557 >= 0 e47560: - b957 + x10557 <= 0 e47561: b957 - b958 + x10558 >= 0 e47562: - b958 + x10558 <= 0 e47563: b958 - b959 + x10559 >= 0 e47564: - b959 + x10559 <= 0 e47565: b959 - b960 + x10560 >= 0 e47566: - b960 + x10560 <= 0 e47567: b960 - b961 + x10561 >= 0 e47568: - b961 + x10561 <= 0 e47569: x19228 = 0 e47570: - b962 + x10562 + x19228 >= 0 e47571: - b962 + x10562 <= 0 e47572: b962 - b963 + x10563 >= 0 e47573: - b963 + x10563 <= 0 e47574: b963 - b964 + x10564 >= 0 e47575: - b964 + x10564 <= 0 e47576: b964 - b965 + x10565 >= 0 e47577: - b965 + x10565 <= 0 e47578: b965 - b966 + x10566 >= 0 e47579: - b966 + x10566 <= 0 e47580: b966 - b967 + x10567 >= 0 e47581: - b967 + x10567 <= 0 e47582: b967 - b968 + x10568 >= 0 e47583: - b968 + x10568 <= 0 e47584: b968 - b969 + x10569 >= 0 e47585: - b969 + x10569 <= 0 e47586: b969 - b970 + x10570 >= 0 e47587: - b970 + x10570 <= 0 e47588: b970 - b971 + x10571 >= 0 e47589: - b971 + x10571 <= 0 e47590: b971 - b972 + x10572 >= 0 e47591: - b972 + x10572 <= 0 e47592: b972 - b973 + x10573 >= 0 e47593: - b973 + x10573 <= 0 e47594: b973 - b974 + x10574 >= 0 e47595: - b974 + x10574 <= 0 e47596: b974 - b975 + x10575 >= 0 e47597: - b975 + x10575 <= 0 e47598: b975 - b976 + x10576 >= 0 e47599: - b976 + x10576 <= 0 e47600: b976 - b977 + x10577 >= 0 e47601: - b977 + x10577 <= 0 e47602: b977 - b978 + x10578 >= 0 e47603: - b978 + x10578 <= 0 e47604: b978 - b979 + x10579 >= 0 e47605: - b979 + x10579 <= 0 e47606: b979 - b980 + x10580 >= 0 e47607: - b980 + x10580 <= 0 e47608: b980 - b981 + x10581 >= 0 e47609: - b981 + x10581 <= 0 e47610: b981 - b982 + x10582 >= 0 e47611: - b982 + x10582 <= 0 e47612: b982 - b983 + x10583 >= 0 e47613: - b983 + x10583 <= 0 e47614: b983 - b984 + x10584 >= 0 e47615: - b984 + x10584 <= 0 e47616: b984 - b985 + x10585 >= 0 e47617: - b985 + x10585 <= 0 e47618: x19300 = 0 e47619: - b986 + x10586 + x19300 >= 0 e47620: - b986 + x10586 <= 0 e47621: b986 - b987 + x10587 >= 0 e47622: - b987 + x10587 <= 0 e47623: b987 - b988 + x10588 >= 0 e47624: - b988 + x10588 <= 0 e47625: b988 - b989 + x10589 >= 0 e47626: - b989 + x10589 <= 0 e47627: b989 - b990 + x10590 >= 0 e47628: - b990 + x10590 <= 0 e47629: b990 - b991 + x10591 >= 0 e47630: - b991 + x10591 <= 0 e47631: b991 - b992 + x10592 >= 0 e47632: - b992 + x10592 <= 0 e47633: b992 - b993 + x10593 >= 0 e47634: - b993 + x10593 <= 0 e47635: b993 - b994 + x10594 >= 0 e47636: - b994 + x10594 <= 0 e47637: b994 - b995 + x10595 >= 0 e47638: - b995 + x10595 <= 0 e47639: b995 - b996 + x10596 >= 0 e47640: - b996 + x10596 <= 0 e47641: b996 - b997 + x10597 >= 0 e47642: - b997 + x10597 <= 0 e47643: b997 - b998 + x10598 >= 0 e47644: - b998 + x10598 <= 0 e47645: b998 - b999 + x10599 >= 0 e47646: - b999 + x10599 <= 0 e47647: b999 - b1000 + x10600 >= 0 e47648: - b1000 + x10600 <= 0 e47649: b1000 - b1001 + x10601 >= 0 e47650: - b1001 + x10601 <= 0 e47651: b1001 - b1002 + x10602 >= 0 e47652: - b1002 + x10602 <= 0 e47653: b1002 - b1003 + x10603 >= 0 e47654: - b1003 + x10603 <= 0 e47655: b1003 - b1004 + x10604 >= 0 e47656: - b1004 + x10604 <= 0 e47657: b1004 - b1005 + x10605 >= 0 e47658: - b1005 + x10605 <= 0 e47659: b1005 - b1006 + x10606 >= 0 e47660: - b1006 + x10606 <= 0 e47661: b1006 - b1007 + x10607 >= 0 e47662: - b1007 + x10607 <= 0 e47663: b1007 - b1008 + x10608 >= 0 e47664: - b1008 + x10608 <= 0 e47665: b1008 - b1009 + x10609 >= 0 e47666: - b1009 + x10609 <= 0 e47667: x19301 = 0 e47668: - b1010 + x10610 + x19301 >= 0 e47669: - b1010 + x10610 <= 0 e47670: b1010 - b1011 + x10611 >= 0 e47671: - b1011 + x10611 <= 0 e47672: b1011 - b1012 + x10612 >= 0 e47673: - b1012 + x10612 <= 0 e47674: b1012 - b1013 + x10613 >= 0 e47675: - b1013 + x10613 <= 0 e47676: b1013 - b1014 + x10614 >= 0 e47677: - b1014 + x10614 <= 0 e47678: b1014 - b1015 + x10615 >= 0 e47679: - b1015 + x10615 <= 0 e47680: b1015 - b1016 + x10616 >= 0 e47681: - b1016 + x10616 <= 0 e47682: b1016 - b1017 + x10617 >= 0 e47683: - b1017 + x10617 <= 0 e47684: b1017 - b1018 + x10618 >= 0 e47685: - b1018 + x10618 <= 0 e47686: b1018 - b1019 + x10619 >= 0 e47687: - b1019 + x10619 <= 0 e47688: b1019 - b1020 + x10620 >= 0 e47689: - b1020 + x10620 <= 0 e47690: b1020 - b1021 + x10621 >= 0 e47691: - b1021 + x10621 <= 0 e47692: b1021 - b1022 + x10622 >= 0 e47693: - b1022 + x10622 <= 0 e47694: b1022 - b1023 + x10623 >= 0 e47695: - b1023 + x10623 <= 0 e47696: b1023 - b1024 + x10624 >= 0 e47697: - b1024 + x10624 <= 0 e47698: b1024 - b1025 + x10625 >= 0 e47699: - b1025 + x10625 <= 0 e47700: b1025 - b1026 + x10626 >= 0 e47701: - b1026 + x10626 <= 0 e47702: b1026 - b1027 + x10627 >= 0 e47703: - b1027 + x10627 <= 0 e47704: b1027 - b1028 + x10628 >= 0 e47705: - b1028 + x10628 <= 0 e47706: b1028 - b1029 + x10629 >= 0 e47707: - b1029 + x10629 <= 0 e47708: b1029 - b1030 + x10630 >= 0 e47709: - b1030 + x10630 <= 0 e47710: b1030 - b1031 + x10631 >= 0 e47711: - b1031 + x10631 <= 0 e47712: b1031 - b1032 + x10632 >= 0 e47713: - b1032 + x10632 <= 0 e47714: b1032 - b1033 + x10633 >= 0 e47715: - b1033 + x10633 <= 0 e47716: x19302 = 0 e47717: - b1034 + x10634 + x19302 >= 0 e47718: - b1034 + x10634 <= 0 e47719: b1034 - b1035 + x10635 >= 0 e47720: - b1035 + x10635 <= 0 e47721: b1035 - b1036 + x10636 >= 0 e47722: - b1036 + x10636 <= 0 e47723: b1036 - b1037 + x10637 >= 0 e47724: - b1037 + x10637 <= 0 e47725: b1037 - b1038 + x10638 >= 0 e47726: - b1038 + x10638 <= 0 e47727: b1038 - b1039 + x10639 >= 0 e47728: - b1039 + x10639 <= 0 e47729: b1039 - b1040 + x10640 >= 0 e47730: - b1040 + x10640 <= 0 e47731: b1040 - b1041 + x10641 >= 0 e47732: - b1041 + x10641 <= 0 e47733: b1041 - b1042 + x10642 >= 0 e47734: - b1042 + x10642 <= 0 e47735: b1042 - b1043 + x10643 >= 0 e47736: - b1043 + x10643 <= 0 e47737: b1043 - b1044 + x10644 >= 0 e47738: - b1044 + x10644 <= 0 e47739: b1044 - b1045 + x10645 >= 0 e47740: - b1045 + x10645 <= 0 e47741: b1045 - b1046 + x10646 >= 0 e47742: - b1046 + x10646 <= 0 e47743: b1046 - b1047 + x10647 >= 0 e47744: - b1047 + x10647 <= 0 e47745: b1047 - b1048 + x10648 >= 0 e47746: - b1048 + x10648 <= 0 e47747: b1048 - b1049 + x10649 >= 0 e47748: - b1049 + x10649 <= 0 e47749: b1049 - b1050 + x10650 >= 0 e47750: - b1050 + x10650 <= 0 e47751: b1050 - b1051 + x10651 >= 0 e47752: - b1051 + x10651 <= 0 e47753: b1051 - b1052 + x10652 >= 0 e47754: - b1052 + x10652 <= 0 e47755: b1052 - b1053 + x10653 >= 0 e47756: - b1053 + x10653 <= 0 e47757: b1053 - b1054 + x10654 >= 0 e47758: - b1054 + x10654 <= 0 e47759: b1054 - b1055 + x10655 >= 0 e47760: - b1055 + x10655 <= 0 e47761: b1055 - b1056 + x10656 >= 0 e47762: - b1056 + x10656 <= 0 e47763: b1056 - b1057 + x10657 >= 0 e47764: - b1057 + x10657 <= 0 e47765: x19229 = 1 e47766: - b1058 + x10658 + x19229 >= 0 e47767: - b1058 + x10658 <= 0 e47768: b1058 - b1059 + x10659 >= 0 e47769: - b1059 + x10659 <= 0 e47770: b1059 - b1060 + x10660 >= 0 e47771: - b1060 + x10660 <= 0 e47772: b1060 - b1061 + x10661 >= 0 e47773: - b1061 + x10661 <= 0 e47774: b1061 - b1062 + x10662 >= 0 e47775: - b1062 + x10662 <= 0 e47776: b1062 - b1063 + x10663 >= 0 e47777: - b1063 + x10663 <= 0 e47778: b1063 - b1064 + x10664 >= 0 e47779: - b1064 + x10664 <= 0 e47780: b1064 - b1065 + x10665 >= 0 e47781: - b1065 + x10665 <= 0 e47782: b1065 - b1066 + x10666 >= 0 e47783: - b1066 + x10666 <= 0 e47784: b1066 - b1067 + x10667 >= 0 e47785: - b1067 + x10667 <= 0 e47786: b1067 - b1068 + x10668 >= 0 e47787: - b1068 + x10668 <= 0 e47788: b1068 - b1069 + x10669 >= 0 e47789: - b1069 + x10669 <= 0 e47790: b1069 - b1070 + x10670 >= 0 e47791: - b1070 + x10670 <= 0 e47792: b1070 - b1071 + x10671 >= 0 e47793: - b1071 + x10671 <= 0 e47794: b1071 - b1072 + x10672 >= 0 e47795: - b1072 + x10672 <= 0 e47796: b1072 - b1073 + x10673 >= 0 e47797: - b1073 + x10673 <= 0 e47798: b1073 - b1074 + x10674 >= 0 e47799: - b1074 + x10674 <= 0 e47800: b1074 - b1075 + x10675 >= 0 e47801: - b1075 + x10675 <= 0 e47802: b1075 - b1076 + x10676 >= 0 e47803: - b1076 + x10676 <= 0 e47804: b1076 - b1077 + x10677 >= 0 e47805: - b1077 + x10677 <= 0 e47806: b1077 - b1078 + x10678 >= 0 e47807: - b1078 + x10678 <= 0 e47808: b1078 - b1079 + x10679 >= 0 e47809: - b1079 + x10679 <= 0 e47810: b1079 - b1080 + x10680 >= 0 e47811: - b1080 + x10680 <= 0 e47812: b1080 - b1081 + x10681 >= 0 e47813: - b1081 + x10681 <= 0 e47814: x19230 = 0 e47815: - b1082 + x10682 + x19230 >= 0 e47816: - b1082 + x10682 <= 0 e47817: b1082 - b1083 + x10683 >= 0 e47818: - b1083 + x10683 <= 0 e47819: b1083 - b1084 + x10684 >= 0 e47820: - b1084 + x10684 <= 0 e47821: b1084 - b1085 + x10685 >= 0 e47822: - b1085 + x10685 <= 0 e47823: b1085 - b1086 + x10686 >= 0 e47824: - b1086 + x10686 <= 0 e47825: b1086 - b1087 + x10687 >= 0 e47826: - b1087 + x10687 <= 0 e47827: b1087 - b1088 + x10688 >= 0 e47828: - b1088 + x10688 <= 0 e47829: b1088 - b1089 + x10689 >= 0 e47830: - b1089 + x10689 <= 0 e47831: b1089 - b1090 + x10690 >= 0 e47832: - b1090 + x10690 <= 0 e47833: b1090 - b1091 + x10691 >= 0 e47834: - b1091 + x10691 <= 0 e47835: b1091 - b1092 + x10692 >= 0 e47836: - b1092 + x10692 <= 0 e47837: b1092 - b1093 + x10693 >= 0 e47838: - b1093 + x10693 <= 0 e47839: b1093 - b1094 + x10694 >= 0 e47840: - b1094 + x10694 <= 0 e47841: b1094 - b1095 + x10695 >= 0 e47842: - b1095 + x10695 <= 0 e47843: b1095 - b1096 + x10696 >= 0 e47844: - b1096 + x10696 <= 0 e47845: b1096 - b1097 + x10697 >= 0 e47846: - b1097 + x10697 <= 0 e47847: b1097 - b1098 + x10698 >= 0 e47848: - b1098 + x10698 <= 0 e47849: b1098 - b1099 + x10699 >= 0 e47850: - b1099 + x10699 <= 0 e47851: b1099 - b1100 + x10700 >= 0 e47852: - b1100 + x10700 <= 0 e47853: b1100 - b1101 + x10701 >= 0 e47854: - b1101 + x10701 <= 0 e47855: b1101 - b1102 + x10702 >= 0 e47856: - b1102 + x10702 <= 0 e47857: b1102 - b1103 + x10703 >= 0 e47858: - b1103 + x10703 <= 0 e47859: b1103 - b1104 + x10704 >= 0 e47860: - b1104 + x10704 <= 0 e47861: b1104 - b1105 + x10705 >= 0 e47862: - b1105 + x10705 <= 0 e47863: b1106 = 1 e47864: b1106 = 1 e47865: b1106 - b1107 + x10707 >= 0 e47866: - b1107 + x10707 <= 0 e47867: b1107 - b1108 + x10708 >= 0 e47868: - b1108 + x10708 <= 0 e47869: b1108 - b1109 + x10709 >= 0 e47870: - b1109 + x10709 <= 0 e47871: b1109 - b1110 + x10710 >= 0 e47872: - b1110 + x10710 <= 0 e47873: b1110 - b1111 + x10711 >= 0 e47874: - b1111 + x10711 <= 0 e47875: b1111 - b1112 + x10712 >= 0 e47876: - b1112 + x10712 <= 0 e47877: b1112 - b1113 + x10713 >= 0 e47878: - b1113 + x10713 <= 0 e47879: b1113 - b1114 + x10714 >= 0 e47880: - b1114 + x10714 <= 0 e47881: b1114 - b1115 + x10715 >= 0 e47882: - b1115 + x10715 <= 0 e47883: b1115 - b1116 + x10716 >= 0 e47884: - b1116 + x10716 <= 0 e47885: b1116 - b1117 + x10717 >= 0 e47886: - b1117 + x10717 <= 0 e47887: b1117 - b1118 + x10718 >= 0 e47888: - b1118 + x10718 <= 0 e47889: b1118 - b1119 + x10719 >= 0 e47890: - b1119 + x10719 <= 0 e47891: b1119 - b1120 + x10720 >= 0 e47892: - b1120 + x10720 <= 0 e47893: b1120 - b1121 + x10721 >= 0 e47894: - b1121 + x10721 <= 0 e47895: b1121 - b1122 + x10722 >= 0 e47896: - b1122 + x10722 <= 0 e47897: b1122 - b1123 + x10723 >= 0 e47898: - b1123 + x10723 <= 0 e47899: b1123 - b1124 + x10724 >= 0 e47900: - b1124 + x10724 <= 0 e47901: b1124 - b1125 + x10725 >= 0 e47902: - b1125 + x10725 <= 0 e47903: b1125 - b1126 + x10726 >= 0 e47904: - b1126 + x10726 <= 0 e47905: b1126 - b1127 + x10727 >= 0 e47906: - b1127 + x10727 <= 0 e47907: b1127 - b1128 + x10728 >= 0 e47908: - b1128 + x10728 <= 0 e47909: b1128 - b1129 + x10729 >= 0 e47910: - b1129 + x10729 <= 0 e47911: b1131 = 1 e47912: b1130 = 1 e47913: b1131 = 1 e47914: b1131 - b1132 + x10732 >= 0 e47915: - b1132 + x10732 <= 0 e47916: b1132 - b1133 + x10733 >= 0 e47917: - b1133 + x10733 <= 0 e47918: b1133 - b1134 + x10734 >= 0 e47919: - b1134 + x10734 <= 0 e47920: b1134 - b1135 + x10735 >= 0 e47921: - b1135 + x10735 <= 0 e47922: b1135 - b1136 + x10736 >= 0 e47923: - b1136 + x10736 <= 0 e47924: b1136 - b1137 + x10737 >= 0 e47925: - b1137 + x10737 <= 0 e47926: b1137 - b1138 + x10738 >= 0 e47927: - b1138 + x10738 <= 0 e47928: b1138 - b1139 + x10739 >= 0 e47929: - b1139 + x10739 <= 0 e47930: b1139 - b1140 + x10740 >= 0 e47931: - b1140 + x10740 <= 0 e47932: b1140 - b1141 + x10741 >= 0 e47933: - b1141 + x10741 <= 0 e47934: b1141 - b1142 + x10742 >= 0 e47935: - b1142 + x10742 <= 0 e47936: b1142 - b1143 + x10743 >= 0 e47937: - b1143 + x10743 <= 0 e47938: b1143 - b1144 + x10744 >= 0 e47939: - b1144 + x10744 <= 0 e47940: b1144 - b1145 + x10745 >= 0 e47941: - b1145 + x10745 <= 0 e47942: b1145 - b1146 + x10746 >= 0 e47943: - b1146 + x10746 <= 0 e47944: b1146 - b1147 + x10747 >= 0 e47945: - b1147 + x10747 <= 0 e47946: b1147 - b1148 + x10748 >= 0 e47947: - b1148 + x10748 <= 0 e47948: b1148 - b1149 + x10749 >= 0 e47949: - b1149 + x10749 <= 0 e47950: b1149 - b1150 + x10750 >= 0 e47951: - b1150 + x10750 <= 0 e47952: b1150 - b1151 + x10751 >= 0 e47953: - b1151 + x10751 <= 0 e47954: b1151 - b1152 + x10752 >= 0 e47955: - b1152 + x10752 <= 0 e47956: b1152 - b1153 + x10753 >= 0 e47957: - b1153 + x10753 <= 0 e47958: x19231 = 1 e47959: - b1154 + x10754 + x19231 >= 0 e47960: - b1154 + x10754 <= 0 e47961: b1154 - b1155 + x10755 >= 0 e47962: - b1155 + x10755 <= 0 e47963: b1155 - b1156 + x10756 >= 0 e47964: - b1156 + x10756 <= 0 e47965: b1156 - b1157 + x10757 >= 0 e47966: - b1157 + x10757 <= 0 e47967: b1157 - b1158 + x10758 >= 0 e47968: - b1158 + x10758 <= 0 e47969: b1158 - b1159 + x10759 >= 0 e47970: - b1159 + x10759 <= 0 e47971: b1159 - b1160 + x10760 >= 0 e47972: - b1160 + x10760 <= 0 e47973: b1160 - b1161 + x10761 >= 0 e47974: - b1161 + x10761 <= 0 e47975: b1161 - b1162 + x10762 >= 0 e47976: - b1162 + x10762 <= 0 e47977: b1162 - b1163 + x10763 >= 0 e47978: - b1163 + x10763 <= 0 e47979: b1163 - b1164 + x10764 >= 0 e47980: - b1164 + x10764 <= 0 e47981: b1164 - b1165 + x10765 >= 0 e47982: - b1165 + x10765 <= 0 e47983: b1165 - b1166 + x10766 >= 0 e47984: - b1166 + x10766 <= 0 e47985: b1166 - b1167 + x10767 >= 0 e47986: - b1167 + x10767 <= 0 e47987: b1167 - b1168 + x10768 >= 0 e47988: - b1168 + x10768 <= 0 e47989: b1168 - b1169 + x10769 >= 0 e47990: - b1169 + x10769 <= 0 e47991: b1169 - b1170 + x10770 >= 0 e47992: - b1170 + x10770 <= 0 e47993: b1170 - b1171 + x10771 >= 0 e47994: - b1171 + x10771 <= 0 e47995: b1171 - b1172 + x10772 >= 0 e47996: - b1172 + x10772 <= 0 e47997: b1172 - b1173 + x10773 >= 0 e47998: - b1173 + x10773 <= 0 e47999: b1173 - b1174 + x10774 >= 0 e48000: - b1174 + x10774 <= 0 e48001: b1174 - b1175 + x10775 >= 0 e48002: - b1175 + x10775 <= 0 e48003: b1175 - b1176 + x10776 >= 0 e48004: - b1176 + x10776 <= 0 e48005: b1176 - b1177 + x10777 >= 0 e48006: - b1177 + x10777 <= 0 e48007: x19232 = 0 e48008: - b1178 + x10778 + x19232 >= 0 e48009: - b1178 + x10778 <= 0 e48010: b1178 - b1179 + x10779 >= 0 e48011: - b1179 + x10779 <= 0 e48012: b1179 - b1180 + x10780 >= 0 e48013: - b1180 + x10780 <= 0 e48014: b1180 - b1181 + x10781 >= 0 e48015: - b1181 + x10781 <= 0 e48016: b1181 - b1182 + x10782 >= 0 e48017: - b1182 + x10782 <= 0 e48018: b1182 - b1183 + x10783 >= 0 e48019: - b1183 + x10783 <= 0 e48020: b1183 - b1184 + x10784 >= 0 e48021: - b1184 + x10784 <= 0 e48022: b1184 - b1185 + x10785 >= 0 e48023: - b1185 + x10785 <= 0 e48024: b1185 - b1186 + x10786 >= 0 e48025: - b1186 + x10786 <= 0 e48026: b1186 - b1187 + x10787 >= 0 e48027: - b1187 + x10787 <= 0 e48028: b1187 - b1188 + x10788 >= 0 e48029: - b1188 + x10788 <= 0 e48030: b1188 - b1189 + x10789 >= 0 e48031: - b1189 + x10789 <= 0 e48032: b1189 - b1190 + x10790 >= 0 e48033: - b1190 + x10790 <= 0 e48034: b1190 - b1191 + x10791 >= 0 e48035: - b1191 + x10791 <= 0 e48036: b1191 - b1192 + x10792 >= 0 e48037: - b1192 + x10792 <= 0 e48038: b1192 - b1193 + x10793 >= 0 e48039: - b1193 + x10793 <= 0 e48040: b1193 - b1194 + x10794 >= 0 e48041: - b1194 + x10794 <= 0 e48042: b1194 - b1195 + x10795 >= 0 e48043: - b1195 + x10795 <= 0 e48044: b1195 - b1196 + x10796 >= 0 e48045: - b1196 + x10796 <= 0 e48046: b1196 - b1197 + x10797 >= 0 e48047: - b1197 + x10797 <= 0 e48048: b1197 - b1198 + x10798 >= 0 e48049: - b1198 + x10798 <= 0 e48050: b1198 - b1199 + x10799 >= 0 e48051: - b1199 + x10799 <= 0 e48052: b1199 - b1200 + x10800 >= 0 e48053: - b1200 + x10800 <= 0 e48054: b1200 - b1201 + x10801 >= 0 e48055: - b1201 + x10801 <= 0 e48056: x19233 = 0 e48057: - b1202 + x10802 + x19233 >= 0 e48058: - b1202 + x10802 <= 0 e48059: b1202 - b1203 + x10803 >= 0 e48060: - b1203 + x10803 <= 0 e48061: b1203 - b1204 + x10804 >= 0 e48062: - b1204 + x10804 <= 0 e48063: b1204 - b1205 + x10805 >= 0 e48064: - b1205 + x10805 <= 0 e48065: b1205 - b1206 + x10806 >= 0 e48066: - b1206 + x10806 <= 0 e48067: b1206 - b1207 + x10807 >= 0 e48068: - b1207 + x10807 <= 0 e48069: b1207 - b1208 + x10808 >= 0 e48070: - b1208 + x10808 <= 0 e48071: b1208 - b1209 + x10809 >= 0 e48072: - b1209 + x10809 <= 0 e48073: b1209 - b1210 + x10810 >= 0 e48074: - b1210 + x10810 <= 0 e48075: b1210 - b1211 + x10811 >= 0 e48076: - b1211 + x10811 <= 0 e48077: b1211 - b1212 + x10812 >= 0 e48078: - b1212 + x10812 <= 0 e48079: b1212 - b1213 + x10813 >= 0 e48080: - b1213 + x10813 <= 0 e48081: b1213 - b1214 + x10814 >= 0 e48082: - b1214 + x10814 <= 0 e48083: b1214 - b1215 + x10815 >= 0 e48084: - b1215 + x10815 <= 0 e48085: b1215 - b1216 + x10816 >= 0 e48086: - b1216 + x10816 <= 0 e48087: b1216 - b1217 + x10817 >= 0 e48088: - b1217 + x10817 <= 0 e48089: b1217 - b1218 + x10818 >= 0 e48090: - b1218 + x10818 <= 0 e48091: b1218 - b1219 + x10819 >= 0 e48092: - b1219 + x10819 <= 0 e48093: b1219 - b1220 + x10820 >= 0 e48094: - b1220 + x10820 <= 0 e48095: b1220 - b1221 + x10821 >= 0 e48096: - b1221 + x10821 <= 0 e48097: b1221 - b1222 + x10822 >= 0 e48098: - b1222 + x10822 <= 0 e48099: b1222 - b1223 + x10823 >= 0 e48100: - b1223 + x10823 <= 0 e48101: b1223 - b1224 + x10824 >= 0 e48102: - b1224 + x10824 <= 0 e48103: b1224 - b1225 + x10825 >= 0 e48104: - b1225 + x10825 <= 0 e48105: x19303 = 0 e48106: - b1226 + x10826 + x19303 >= 0 e48107: - b1226 + x10826 <= 0 e48108: b1226 - b1227 + x10827 >= 0 e48109: - b1227 + x10827 <= 0 e48110: b1227 - b1228 + x10828 >= 0 e48111: - b1228 + x10828 <= 0 e48112: b1228 - b1229 + x10829 >= 0 e48113: - b1229 + x10829 <= 0 e48114: b1229 - b1230 + x10830 >= 0 e48115: - b1230 + x10830 <= 0 e48116: b1230 - b1231 + x10831 >= 0 e48117: - b1231 + x10831 <= 0 e48118: b1231 - b1232 + x10832 >= 0 e48119: - b1232 + x10832 <= 0 e48120: b1232 - b1233 + x10833 >= 0 e48121: - b1233 + x10833 <= 0 e48122: b1233 - b1234 + x10834 >= 0 e48123: - b1234 + x10834 <= 0 e48124: b1234 - b1235 + x10835 >= 0 e48125: - b1235 + x10835 <= 0 e48126: b1235 - b1236 + x10836 >= 0 e48127: - b1236 + x10836 <= 0 e48128: b1236 - b1237 + x10837 >= 0 e48129: - b1237 + x10837 <= 0 e48130: b1237 - b1238 + x10838 >= 0 e48131: - b1238 + x10838 <= 0 e48132: b1238 - b1239 + x10839 >= 0 e48133: - b1239 + x10839 <= 0 e48134: b1239 - b1240 + x10840 >= 0 e48135: - b1240 + x10840 <= 0 e48136: b1240 - b1241 + x10841 >= 0 e48137: - b1241 + x10841 <= 0 e48138: b1241 - b1242 + x10842 >= 0 e48139: - b1242 + x10842 <= 0 e48140: b1242 - b1243 + x10843 >= 0 e48141: - b1243 + x10843 <= 0 e48142: b1243 - b1244 + x10844 >= 0 e48143: - b1244 + x10844 <= 0 e48144: b1244 - b1245 + x10845 >= 0 e48145: - b1245 + x10845 <= 0 e48146: b1245 - b1246 + x10846 >= 0 e48147: - b1246 + x10846 <= 0 e48148: b1246 - b1247 + x10847 >= 0 e48149: - b1247 + x10847 <= 0 e48150: b1247 - b1248 + x10848 >= 0 e48151: - b1248 + x10848 <= 0 e48152: b1248 - b1249 + x10849 >= 0 e48153: - b1249 + x10849 <= 0 e48154: x19234 = 0 e48155: - b1250 + x10850 + x19234 >= 0 e48156: - b1250 + x10850 <= 0 e48157: b1250 - b1251 + x10851 >= 0 e48158: - b1251 + x10851 <= 0 e48159: b1251 - b1252 + x10852 >= 0 e48160: - b1252 + x10852 <= 0 e48161: b1252 - b1253 + x10853 >= 0 e48162: - b1253 + x10853 <= 0 e48163: b1253 - b1254 + x10854 >= 0 e48164: - b1254 + x10854 <= 0 e48165: b1254 - b1255 + x10855 >= 0 e48166: - b1255 + x10855 <= 0 e48167: b1255 - b1256 + x10856 >= 0 e48168: - b1256 + x10856 <= 0 e48169: b1256 - b1257 + x10857 >= 0 e48170: - b1257 + x10857 <= 0 e48171: b1257 - b1258 + x10858 >= 0 e48172: - b1258 + x10858 <= 0 e48173: b1258 - b1259 + x10859 >= 0 e48174: - b1259 + x10859 <= 0 e48175: b1259 - b1260 + x10860 >= 0 e48176: - b1260 + x10860 <= 0 e48177: b1260 - b1261 + x10861 >= 0 e48178: - b1261 + x10861 <= 0 e48179: b1261 - b1262 + x10862 >= 0 e48180: - b1262 + x10862 <= 0 e48181: b1262 - b1263 + x10863 >= 0 e48182: - b1263 + x10863 <= 0 e48183: b1263 - b1264 + x10864 >= 0 e48184: - b1264 + x10864 <= 0 e48185: b1264 - b1265 + x10865 >= 0 e48186: - b1265 + x10865 <= 0 e48187: b1265 - b1266 + x10866 >= 0 e48188: - b1266 + x10866 <= 0 e48189: b1266 - b1267 + x10867 >= 0 e48190: - b1267 + x10867 <= 0 e48191: b1267 - b1268 + x10868 >= 0 e48192: - b1268 + x10868 <= 0 e48193: b1268 - b1269 + x10869 >= 0 e48194: - b1269 + x10869 <= 0 e48195: b1269 - b1270 + x10870 >= 0 e48196: - b1270 + x10870 <= 0 e48197: b1270 - b1271 + x10871 >= 0 e48198: - b1271 + x10871 <= 0 e48199: b1271 - b1272 + x10872 >= 0 e48200: - b1272 + x10872 <= 0 e48201: b1272 - b1273 + x10873 >= 0 e48202: - b1273 + x10873 <= 0 e48203: x19235 = 1 e48204: - b1274 + x10874 + x19235 >= 0 e48205: - b1274 + x10874 <= 0 e48206: b1274 - b1275 + x10875 >= 0 e48207: - b1275 + x10875 <= 0 e48208: b1275 - b1276 + x10876 >= 0 e48209: - b1276 + x10876 <= 0 e48210: b1276 - b1277 + x10877 >= 0 e48211: - b1277 + x10877 <= 0 e48212: b1277 - b1278 + x10878 >= 0 e48213: - b1278 + x10878 <= 0 e48214: b1278 - b1279 + x10879 >= 0 e48215: - b1279 + x10879 <= 0 e48216: b1279 - b1280 + x10880 >= 0 e48217: - b1280 + x10880 <= 0 e48218: b1280 - b1281 + x10881 >= 0 e48219: - b1281 + x10881 <= 0 e48220: b1281 - b1282 + x10882 >= 0 e48221: - b1282 + x10882 <= 0 e48222: b1282 - b1283 + x10883 >= 0 e48223: - b1283 + x10883 <= 0 e48224: b1283 - b1284 + x10884 >= 0 e48225: - b1284 + x10884 <= 0 e48226: b1284 - b1285 + x10885 >= 0 e48227: - b1285 + x10885 <= 0 e48228: b1285 - b1286 + x10886 >= 0 e48229: - b1286 + x10886 <= 0 e48230: b1286 - b1287 + x10887 >= 0 e48231: - b1287 + x10887 <= 0 e48232: b1287 - b1288 + x10888 >= 0 e48233: - b1288 + x10888 <= 0 e48234: b1288 - b1289 + x10889 >= 0 e48235: - b1289 + x10889 <= 0 e48236: b1289 - b1290 + x10890 >= 0 e48237: - b1290 + x10890 <= 0 e48238: b1290 - b1291 + x10891 >= 0 e48239: - b1291 + x10891 <= 0 e48240: b1291 - b1292 + x10892 >= 0 e48241: - b1292 + x10892 <= 0 e48242: b1292 - b1293 + x10893 >= 0 e48243: - b1293 + x10893 <= 0 e48244: b1293 - b1294 + x10894 >= 0 e48245: - b1294 + x10894 <= 0 e48246: b1294 - b1295 + x10895 >= 0 e48247: - b1295 + x10895 <= 0 e48248: b1295 - b1296 + x10896 >= 0 e48249: - b1296 + x10896 <= 0 e48250: b1296 - b1297 + x10897 >= 0 e48251: - b1297 + x10897 <= 0 e48252: b1298 = 1 e48253: b1298 = 1 e48254: b1298 - b1299 + x10899 >= 0 e48255: - b1299 + x10899 <= 0 e48256: b1299 - b1300 + x10900 >= 0 e48257: - b1300 + x10900 <= 0 e48258: b1300 - b1301 + x10901 >= 0 e48259: - b1301 + x10901 <= 0 e48260: b1301 - b1302 + x10902 >= 0 e48261: - b1302 + x10902 <= 0 e48262: b1302 - b1303 + x10903 >= 0 e48263: - b1303 + x10903 <= 0 e48264: b1303 - b1304 + x10904 >= 0 e48265: - b1304 + x10904 <= 0 e48266: b1304 - b1305 + x10905 >= 0 e48267: - b1305 + x10905 <= 0 e48268: b1305 - b1306 + x10906 >= 0 e48269: - b1306 + x10906 <= 0 e48270: b1306 - b1307 + x10907 >= 0 e48271: - b1307 + x10907 <= 0 e48272: b1307 - b1308 + x10908 >= 0 e48273: - b1308 + x10908 <= 0 e48274: b1308 - b1309 + x10909 >= 0 e48275: - b1309 + x10909 <= 0 e48276: b1309 - b1310 + x10910 >= 0 e48277: - b1310 + x10910 <= 0 e48278: b1310 - b1311 + x10911 >= 0 e48279: - b1311 + x10911 <= 0 e48280: b1311 - b1312 + x10912 >= 0 e48281: - b1312 + x10912 <= 0 e48282: b1312 - b1313 + x10913 >= 0 e48283: - b1313 + x10913 <= 0 e48284: b1313 - b1314 + x10914 >= 0 e48285: - b1314 + x10914 <= 0 e48286: b1314 - b1315 + x10915 >= 0 e48287: - b1315 + x10915 <= 0 e48288: b1315 - b1316 + x10916 >= 0 e48289: - b1316 + x10916 <= 0 e48290: b1316 - b1317 + x10917 >= 0 e48291: - b1317 + x10917 <= 0 e48292: b1317 - b1318 + x10918 >= 0 e48293: - b1318 + x10918 <= 0 e48294: b1318 - b1319 + x10919 >= 0 e48295: - b1319 + x10919 <= 0 e48296: b1319 - b1320 + x10920 >= 0 e48297: - b1320 + x10920 <= 0 e48298: b1320 - b1321 + x10921 >= 0 e48299: - b1321 + x10921 <= 0 e48300: x19236 = 1 e48301: - b1322 + x10922 + x19236 >= 0 e48302: - b1322 + x10922 <= 0 e48303: b1322 - b1323 + x10923 >= 0 e48304: - b1323 + x10923 <= 0 e48305: b1323 - b1324 + x10924 >= 0 e48306: - b1324 + x10924 <= 0 e48307: b1324 - b1325 + x10925 >= 0 e48308: - b1325 + x10925 <= 0 e48309: b1325 - b1326 + x10926 >= 0 e48310: - b1326 + x10926 <= 0 e48311: b1326 - b1327 + x10927 >= 0 e48312: - b1327 + x10927 <= 0 e48313: b1327 - b1328 + x10928 >= 0 e48314: - b1328 + x10928 <= 0 e48315: b1328 - b1329 + x10929 >= 0 e48316: - b1329 + x10929 <= 0 e48317: b1329 - b1330 + x10930 >= 0 e48318: - b1330 + x10930 <= 0 e48319: b1330 - b1331 + x10931 >= 0 e48320: - b1331 + x10931 <= 0 e48321: b1331 - b1332 + x10932 >= 0 e48322: - b1332 + x10932 <= 0 e48323: b1332 - b1333 + x10933 >= 0 e48324: - b1333 + x10933 <= 0 e48325: b1333 - b1334 + x10934 >= 0 e48326: - b1334 + x10934 <= 0 e48327: b1334 - b1335 + x10935 >= 0 e48328: - b1335 + x10935 <= 0 e48329: b1335 - b1336 + x10936 >= 0 e48330: - b1336 + x10936 <= 0 e48331: b1336 - b1337 + x10937 >= 0 e48332: - b1337 + x10937 <= 0 e48333: b1337 - b1338 + x10938 >= 0 e48334: - b1338 + x10938 <= 0 e48335: b1338 - b1339 + x10939 >= 0 e48336: - b1339 + x10939 <= 0 e48337: b1339 - b1340 + x10940 >= 0 e48338: - b1340 + x10940 <= 0 e48339: b1340 - b1341 + x10941 >= 0 e48340: - b1341 + x10941 <= 0 e48341: b1341 - b1342 + x10942 >= 0 e48342: - b1342 + x10942 <= 0 e48343: b1342 - b1343 + x10943 >= 0 e48344: - b1343 + x10943 <= 0 e48345: b1343 - b1344 + x10944 >= 0 e48346: - b1344 + x10944 <= 0 e48347: b1344 - b1345 + x10945 >= 0 e48348: - b1345 + x10945 <= 0 e48349: x19304 = 0 e48350: - b1346 + x10946 + x19304 >= 0 e48351: - b1346 + x10946 <= 0 e48352: b1346 - b1347 + x10947 >= 0 e48353: - b1347 + x10947 <= 0 e48354: b1347 - b1348 + x10948 >= 0 e48355: - b1348 + x10948 <= 0 e48356: b1348 - b1349 + x10949 >= 0 e48357: - b1349 + x10949 <= 0 e48358: b1349 - b1350 + x10950 >= 0 e48359: - b1350 + x10950 <= 0 e48360: b1350 - b1351 + x10951 >= 0 e48361: - b1351 + x10951 <= 0 e48362: b1351 - b1352 + x10952 >= 0 e48363: - b1352 + x10952 <= 0 e48364: b1352 - b1353 + x10953 >= 0 e48365: - b1353 + x10953 <= 0 e48366: b1353 - b1354 + x10954 >= 0 e48367: - b1354 + x10954 <= 0 e48368: b1354 - b1355 + x10955 >= 0 e48369: - b1355 + x10955 <= 0 e48370: b1355 - b1356 + x10956 >= 0 e48371: - b1356 + x10956 <= 0 e48372: b1356 - b1357 + x10957 >= 0 e48373: - b1357 + x10957 <= 0 e48374: b1357 - b1358 + x10958 >= 0 e48375: - b1358 + x10958 <= 0 e48376: b1358 - b1359 + x10959 >= 0 e48377: - b1359 + x10959 <= 0 e48378: b1359 - b1360 + x10960 >= 0 e48379: - b1360 + x10960 <= 0 e48380: b1360 - b1361 + x10961 >= 0 e48381: - b1361 + x10961 <= 0 e48382: b1361 - b1362 + x10962 >= 0 e48383: - b1362 + x10962 <= 0 e48384: b1362 - b1363 + x10963 >= 0 e48385: - b1363 + x10963 <= 0 e48386: b1363 - b1364 + x10964 >= 0 e48387: - b1364 + x10964 <= 0 e48388: b1364 - b1365 + x10965 >= 0 e48389: - b1365 + x10965 <= 0 e48390: b1365 - b1366 + x10966 >= 0 e48391: - b1366 + x10966 <= 0 e48392: b1366 - b1367 + x10967 >= 0 e48393: - b1367 + x10967 <= 0 e48394: b1367 - b1368 + x10968 >= 0 e48395: - b1368 + x10968 <= 0 e48396: b1368 - b1369 + x10969 >= 0 e48397: - b1369 + x10969 <= 0 e48398: x19237 = 1 e48399: - b1370 + x10970 + x19237 >= 0 e48400: - b1370 + x10970 <= 0 e48401: b1370 - b1371 + x10971 >= 0 e48402: - b1371 + x10971 <= 0 e48403: b1371 - b1372 + x10972 >= 0 e48404: - b1372 + x10972 <= 0 e48405: b1372 - b1373 + x10973 >= 0 e48406: - b1373 + x10973 <= 0 e48407: b1373 - b1374 + x10974 >= 0 e48408: - b1374 + x10974 <= 0 e48409: b1374 - b1375 + x10975 >= 0 e48410: - b1375 + x10975 <= 0 e48411: b1375 - b1376 + x10976 >= 0 e48412: - b1376 + x10976 <= 0 e48413: b1376 - b1377 + x10977 >= 0 e48414: - b1377 + x10977 <= 0 e48415: b1377 - b1378 + x10978 >= 0 e48416: - b1378 + x10978 <= 0 e48417: b1378 - b1379 + x10979 >= 0 e48418: - b1379 + x10979 <= 0 e48419: b1379 - b1380 + x10980 >= 0 e48420: - b1380 + x10980 <= 0 e48421: b1380 - b1381 + x10981 >= 0 e48422: - b1381 + x10981 <= 0 e48423: b1381 - b1382 + x10982 >= 0 e48424: - b1382 + x10982 <= 0 e48425: b1382 - b1383 + x10983 >= 0 e48426: - b1383 + x10983 <= 0 e48427: b1383 - b1384 + x10984 >= 0 e48428: - b1384 + x10984 <= 0 e48429: b1384 - b1385 + x10985 >= 0 e48430: - b1385 + x10985 <= 0 e48431: b1385 - b1386 + x10986 >= 0 e48432: - b1386 + x10986 <= 0 e48433: b1386 - b1387 + x10987 >= 0 e48434: - b1387 + x10987 <= 0 e48435: b1387 - b1388 + x10988 >= 0 e48436: - b1388 + x10988 <= 0 e48437: b1388 - b1389 + x10989 >= 0 e48438: - b1389 + x10989 <= 0 e48439: b1389 - b1390 + x10990 >= 0 e48440: - b1390 + x10990 <= 0 e48441: b1390 - b1391 + x10991 >= 0 e48442: - b1391 + x10991 <= 0 e48443: b1391 - b1392 + x10992 >= 0 e48444: - b1392 + x10992 <= 0 e48445: b1392 - b1393 + x10993 >= 0 e48446: - b1393 + x10993 <= 0 e48447: x19238 = 1 e48448: - b1394 + x10994 + x19238 >= 0 e48449: - b1394 + x10994 <= 0 e48450: b1394 - b1395 + x10995 >= 0 e48451: - b1395 + x10995 <= 0 e48452: b1395 - b1396 + x10996 >= 0 e48453: - b1396 + x10996 <= 0 e48454: b1396 - b1397 + x10997 >= 0 e48455: - b1397 + x10997 <= 0 e48456: b1397 - b1398 + x10998 >= 0 e48457: - b1398 + x10998 <= 0 e48458: b1398 - b1399 + x10999 >= 0 e48459: - b1399 + x10999 <= 0 e48460: b1399 - b1400 + x11000 >= 0 e48461: - b1400 + x11000 <= 0 e48462: b1400 - b1401 + x11001 >= 0 e48463: - b1401 + x11001 <= 0 e48464: b1401 - b1402 + x11002 >= 0 e48465: - b1402 + x11002 <= 0 e48466: b1402 - b1403 + x11003 >= 0 e48467: - b1403 + x11003 <= 0 e48468: b1403 - b1404 + x11004 >= 0 e48469: - b1404 + x11004 <= 0 e48470: b1404 - b1405 + x11005 >= 0 e48471: - b1405 + x11005 <= 0 e48472: b1405 - b1406 + x11006 >= 0 e48473: - b1406 + x11006 <= 0 e48474: b1406 - b1407 + x11007 >= 0 e48475: - b1407 + x11007 <= 0 e48476: b1407 - b1408 + x11008 >= 0 e48477: - b1408 + x11008 <= 0 e48478: b1408 - b1409 + x11009 >= 0 e48479: - b1409 + x11009 <= 0 e48480: b1409 - b1410 + x11010 >= 0 e48481: - b1410 + x11010 <= 0 e48482: b1410 - b1411 + x11011 >= 0 e48483: - b1411 + x11011 <= 0 e48484: b1411 - b1412 + x11012 >= 0 e48485: - b1412 + x11012 <= 0 e48486: b1412 - b1413 + x11013 >= 0 e48487: - b1413 + x11013 <= 0 e48488: b1413 - b1414 + x11014 >= 0 e48489: - b1414 + x11014 <= 0 e48490: b1414 - b1415 + x11015 >= 0 e48491: - b1415 + x11015 <= 0 e48492: b1415 - b1416 + x11016 >= 0 e48493: - b1416 + x11016 <= 0 e48494: b1416 - b1417 + x11017 >= 0 e48495: - b1417 + x11017 <= 0 e48496: x19239 = 0 e48497: - b1418 + x11018 + x19239 >= 0 e48498: - b1418 + x11018 <= 0 e48499: b1418 - b1419 + x11019 >= 0 e48500: - b1419 + x11019 <= 0 e48501: b1419 - b1420 + x11020 >= 0 e48502: - b1420 + x11020 <= 0 e48503: b1420 - b1421 + x11021 >= 0 e48504: - b1421 + x11021 <= 0 e48505: b1421 - b1422 + x11022 >= 0 e48506: - b1422 + x11022 <= 0 e48507: b1422 - b1423 + x11023 >= 0 e48508: - b1423 + x11023 <= 0 e48509: b1423 - b1424 + x11024 >= 0 e48510: - b1424 + x11024 <= 0 e48511: b1424 - b1425 + x11025 >= 0 e48512: - b1425 + x11025 <= 0 e48513: b1425 - b1426 + x11026 >= 0 e48514: - b1426 + x11026 <= 0 e48515: b1426 - b1427 + x11027 >= 0 e48516: - b1427 + x11027 <= 0 e48517: b1427 - b1428 + x11028 >= 0 e48518: - b1428 + x11028 <= 0 e48519: b1428 - b1429 + x11029 >= 0 e48520: - b1429 + x11029 <= 0 e48521: b1429 - b1430 + x11030 >= 0 e48522: - b1430 + x11030 <= 0 e48523: b1430 - b1431 + x11031 >= 0 e48524: - b1431 + x11031 <= 0 e48525: b1431 - b1432 + x11032 >= 0 e48526: - b1432 + x11032 <= 0 e48527: b1432 - b1433 + x11033 >= 0 e48528: - b1433 + x11033 <= 0 e48529: b1433 - b1434 + x11034 >= 0 e48530: - b1434 + x11034 <= 0 e48531: b1434 - b1435 + x11035 >= 0 e48532: - b1435 + x11035 <= 0 e48533: b1435 - b1436 + x11036 >= 0 e48534: - b1436 + x11036 <= 0 e48535: b1436 - b1437 + x11037 >= 0 e48536: - b1437 + x11037 <= 0 e48537: b1437 - b1438 + x11038 >= 0 e48538: - b1438 + x11038 <= 0 e48539: b1438 - b1439 + x11039 >= 0 e48540: - b1439 + x11039 <= 0 e48541: b1439 - b1440 + x11040 >= 0 e48542: - b1440 + x11040 <= 0 e48543: b1440 - b1441 + x11041 >= 0 e48544: - b1441 + x11041 <= 0 e48545: x19305 = 0 e48546: - b1442 + x11042 + x19305 >= 0 e48547: - b1442 + x11042 <= 0 e48548: b1442 - b1443 + x11043 >= 0 e48549: - b1443 + x11043 <= 0 e48550: b1443 - b1444 + x11044 >= 0 e48551: - b1444 + x11044 <= 0 e48552: b1444 - b1445 + x11045 >= 0 e48553: - b1445 + x11045 <= 0 e48554: b1445 - b1446 + x11046 >= 0 e48555: - b1446 + x11046 <= 0 e48556: b1446 - b1447 + x11047 >= 0 e48557: - b1447 + x11047 <= 0 e48558: b1447 - b1448 + x11048 >= 0 e48559: - b1448 + x11048 <= 0 e48560: b1448 - b1449 + x11049 >= 0 e48561: - b1449 + x11049 <= 0 e48562: b1449 - b1450 + x11050 >= 0 e48563: - b1450 + x11050 <= 0 e48564: b1450 - b1451 + x11051 >= 0 e48565: - b1451 + x11051 <= 0 e48566: b1451 - b1452 + x11052 >= 0 e48567: - b1452 + x11052 <= 0 e48568: b1452 - b1453 + x11053 >= 0 e48569: - b1453 + x11053 <= 0 e48570: b1453 - b1454 + x11054 >= 0 e48571: - b1454 + x11054 <= 0 e48572: b1454 - b1455 + x11055 >= 0 e48573: - b1455 + x11055 <= 0 e48574: b1455 - b1456 + x11056 >= 0 e48575: - b1456 + x11056 <= 0 e48576: b1456 - b1457 + x11057 >= 0 e48577: - b1457 + x11057 <= 0 e48578: b1457 - b1458 + x11058 >= 0 e48579: - b1458 + x11058 <= 0 e48580: b1458 - b1459 + x11059 >= 0 e48581: - b1459 + x11059 <= 0 e48582: b1459 - b1460 + x11060 >= 0 e48583: - b1460 + x11060 <= 0 e48584: b1460 - b1461 + x11061 >= 0 e48585: - b1461 + x11061 <= 0 e48586: b1461 - b1462 + x11062 >= 0 e48587: - b1462 + x11062 <= 0 e48588: b1462 - b1463 + x11063 >= 0 e48589: - b1463 + x11063 <= 0 e48590: b1463 - b1464 + x11064 >= 0 e48591: - b1464 + x11064 <= 0 e48592: b1464 - b1465 + x11065 >= 0 e48593: - b1465 + x11065 <= 0 e48594: x19240 = 0 e48595: - b1466 + x11066 + x19240 >= 0 e48596: - b1466 + x11066 <= 0 e48597: b1466 - b1467 + x11067 >= 0 e48598: - b1467 + x11067 <= 0 e48599: b1467 - b1468 + x11068 >= 0 e48600: - b1468 + x11068 <= 0 e48601: b1468 - b1469 + x11069 >= 0 e48602: - b1469 + x11069 <= 0 e48603: b1469 - b1470 + x11070 >= 0 e48604: - b1470 + x11070 <= 0 e48605: b1470 - b1471 + x11071 >= 0 e48606: - b1471 + x11071 <= 0 e48607: b1471 - b1472 + x11072 >= 0 e48608: - b1472 + x11072 <= 0 e48609: b1472 - b1473 + x11073 >= 0 e48610: - b1473 + x11073 <= 0 e48611: b1473 - b1474 + x11074 >= 0 e48612: - b1474 + x11074 <= 0 e48613: b1474 - b1475 + x11075 >= 0 e48614: - b1475 + x11075 <= 0 e48615: b1475 - b1476 + x11076 >= 0 e48616: - b1476 + x11076 <= 0 e48617: b1476 - b1477 + x11077 >= 0 e48618: - b1477 + x11077 <= 0 e48619: b1477 - b1478 + x11078 >= 0 e48620: - b1478 + x11078 <= 0 e48621: b1478 - b1479 + x11079 >= 0 e48622: - b1479 + x11079 <= 0 e48623: b1479 - b1480 + x11080 >= 0 e48624: - b1480 + x11080 <= 0 e48625: b1480 - b1481 + x11081 >= 0 e48626: - b1481 + x11081 <= 0 e48627: b1481 - b1482 + x11082 >= 0 e48628: - b1482 + x11082 <= 0 e48629: b1482 - b1483 + x11083 >= 0 e48630: - b1483 + x11083 <= 0 e48631: b1483 - b1484 + x11084 >= 0 e48632: - b1484 + x11084 <= 0 e48633: b1484 - b1485 + x11085 >= 0 e48634: - b1485 + x11085 <= 0 e48635: b1485 - b1486 + x11086 >= 0 e48636: - b1486 + x11086 <= 0 e48637: b1486 - b1487 + x11087 >= 0 e48638: - b1487 + x11087 <= 0 e48639: b1487 - b1488 + x11088 >= 0 e48640: - b1488 + x11088 <= 0 e48641: b1488 - b1489 + x11089 >= 0 e48642: - b1489 + x11089 <= 0 e48643: x19241 = 0 e48644: - b1490 + x11090 + x19241 >= 0 e48645: - b1490 + x11090 <= 0 e48646: b1490 - b1491 + x11091 >= 0 e48647: - b1491 + x11091 <= 0 e48648: b1491 - b1492 + x11092 >= 0 e48649: - b1492 + x11092 <= 0 e48650: b1492 - b1493 + x11093 >= 0 e48651: - b1493 + x11093 <= 0 e48652: b1493 - b1494 + x11094 >= 0 e48653: - b1494 + x11094 <= 0 e48654: b1494 - b1495 + x11095 >= 0 e48655: - b1495 + x11095 <= 0 e48656: b1495 - b1496 + x11096 >= 0 e48657: - b1496 + x11096 <= 0 e48658: b1496 - b1497 + x11097 >= 0 e48659: - b1497 + x11097 <= 0 e48660: b1497 - b1498 + x11098 >= 0 e48661: - b1498 + x11098 <= 0 e48662: b1498 - b1499 + x11099 >= 0 e48663: - b1499 + x11099 <= 0 e48664: b1499 - b1500 + x11100 >= 0 e48665: - b1500 + x11100 <= 0 e48666: b1500 - b1501 + x11101 >= 0 e48667: - b1501 + x11101 <= 0 e48668: b1501 - b1502 + x11102 >= 0 e48669: - b1502 + x11102 <= 0 e48670: b1502 - b1503 + x11103 >= 0 e48671: - b1503 + x11103 <= 0 e48672: b1503 - b1504 + x11104 >= 0 e48673: - b1504 + x11104 <= 0 e48674: b1504 - b1505 + x11105 >= 0 e48675: - b1505 + x11105 <= 0 e48676: b1505 - b1506 + x11106 >= 0 e48677: - b1506 + x11106 <= 0 e48678: b1506 - b1507 + x11107 >= 0 e48679: - b1507 + x11107 <= 0 e48680: b1507 - b1508 + x11108 >= 0 e48681: - b1508 + x11108 <= 0 e48682: b1508 - b1509 + x11109 >= 0 e48683: - b1509 + x11109 <= 0 e48684: b1509 - b1510 + x11110 >= 0 e48685: - b1510 + x11110 <= 0 e48686: b1510 - b1511 + x11111 >= 0 e48687: - b1511 + x11111 <= 0 e48688: b1511 - b1512 + x11112 >= 0 e48689: - b1512 + x11112 <= 0 e48690: b1512 - b1513 + x11113 >= 0 e48691: - b1513 + x11113 <= 0 e48692: x19242 = 1 e48693: - b1514 + x11114 + x19242 >= 0 e48694: - b1514 + x11114 <= 0 e48695: b1514 - b1515 + x11115 >= 0 e48696: - b1515 + x11115 <= 0 e48697: b1515 - b1516 + x11116 >= 0 e48698: - b1516 + x11116 <= 0 e48699: b1516 - b1517 + x11117 >= 0 e48700: - b1517 + x11117 <= 0 e48701: b1517 - b1518 + x11118 >= 0 e48702: - b1518 + x11118 <= 0 e48703: b1518 - b1519 + x11119 >= 0 e48704: - b1519 + x11119 <= 0 e48705: b1519 - b1520 + x11120 >= 0 e48706: - b1520 + x11120 <= 0 e48707: b1520 - b1521 + x11121 >= 0 e48708: - b1521 + x11121 <= 0 e48709: b1521 - b1522 + x11122 >= 0 e48710: - b1522 + x11122 <= 0 e48711: b1522 - b1523 + x11123 >= 0 e48712: - b1523 + x11123 <= 0 e48713: b1523 - b1524 + x11124 >= 0 e48714: - b1524 + x11124 <= 0 e48715: b1524 - b1525 + x11125 >= 0 e48716: - b1525 + x11125 <= 0 e48717: b1525 - b1526 + x11126 >= 0 e48718: - b1526 + x11126 <= 0 e48719: b1526 - b1527 + x11127 >= 0 e48720: - b1527 + x11127 <= 0 e48721: b1527 - b1528 + x11128 >= 0 e48722: - b1528 + x11128 <= 0 e48723: b1528 - b1529 + x11129 >= 0 e48724: - b1529 + x11129 <= 0 e48725: b1529 - b1530 + x11130 >= 0 e48726: - b1530 + x11130 <= 0 e48727: b1530 - b1531 + x11131 >= 0 e48728: - b1531 + x11131 <= 0 e48729: b1531 - b1532 + x11132 >= 0 e48730: - b1532 + x11132 <= 0 e48731: b1532 - b1533 + x11133 >= 0 e48732: - b1533 + x11133 <= 0 e48733: b1533 - b1534 + x11134 >= 0 e48734: - b1534 + x11134 <= 0 e48735: b1534 - b1535 + x11135 >= 0 e48736: - b1535 + x11135 <= 0 e48737: b1535 - b1536 + x11136 >= 0 e48738: - b1536 + x11136 <= 0 e48739: b1536 - b1537 + x11137 >= 0 e48740: - b1537 + x11137 <= 0 e48741: b1538 = 1 e48742: b1538 = 1 e48743: b1538 - b1539 + x11139 >= 0 e48744: - b1539 + x11139 <= 0 e48745: b1539 - b1540 + x11140 >= 0 e48746: - b1540 + x11140 <= 0 e48747: b1540 - b1541 + x11141 >= 0 e48748: - b1541 + x11141 <= 0 e48749: b1541 - b1542 + x11142 >= 0 e48750: - b1542 + x11142 <= 0 e48751: b1542 - b1543 + x11143 >= 0 e48752: - b1543 + x11143 <= 0 e48753: b1543 - b1544 + x11144 >= 0 e48754: - b1544 + x11144 <= 0 e48755: b1544 - b1545 + x11145 >= 0 e48756: - b1545 + x11145 <= 0 e48757: b1545 - b1546 + x11146 >= 0 e48758: - b1546 + x11146 <= 0 e48759: b1546 - b1547 + x11147 >= 0 e48760: - b1547 + x11147 <= 0 e48761: b1547 - b1548 + x11148 >= 0 e48762: - b1548 + x11148 <= 0 e48763: b1548 - b1549 + x11149 >= 0 e48764: - b1549 + x11149 <= 0 e48765: b1549 - b1550 + x11150 >= 0 e48766: - b1550 + x11150 <= 0 e48767: b1550 - b1551 + x11151 >= 0 e48768: - b1551 + x11151 <= 0 e48769: b1551 - b1552 + x11152 >= 0 e48770: - b1552 + x11152 <= 0 e48771: b1552 - b1553 + x11153 >= 0 e48772: - b1553 + x11153 <= 0 e48773: b1553 - b1554 + x11154 >= 0 e48774: - b1554 + x11154 <= 0 e48775: b1554 - b1555 + x11155 >= 0 e48776: - b1555 + x11155 <= 0 e48777: b1555 - b1556 + x11156 >= 0 e48778: - b1556 + x11156 <= 0 e48779: b1556 - b1557 + x11157 >= 0 e48780: - b1557 + x11157 <= 0 e48781: b1557 - b1558 + x11158 >= 0 e48782: - b1558 + x11158 <= 0 e48783: b1558 - b1559 + x11159 >= 0 e48784: - b1559 + x11159 <= 0 e48785: b1559 - b1560 + x11160 >= 0 e48786: - b1560 + x11160 <= 0 e48787: b1560 - b1561 + x11161 >= 0 e48788: - b1561 + x11161 <= 0 e48789: x19243 = 1 e48790: - b1562 + x11162 + x19243 >= 0 e48791: - b1562 + x11162 <= 0 e48792: b1562 - b1563 + x11163 >= 0 e48793: - b1563 + x11163 <= 0 e48794: b1563 - b1564 + x11164 >= 0 e48795: - b1564 + x11164 <= 0 e48796: b1564 - b1565 + x11165 >= 0 e48797: - b1565 + x11165 <= 0 e48798: b1565 - b1566 + x11166 >= 0 e48799: - b1566 + x11166 <= 0 e48800: b1566 - b1567 + x11167 >= 0 e48801: - b1567 + x11167 <= 0 e48802: b1567 - b1568 + x11168 >= 0 e48803: - b1568 + x11168 <= 0 e48804: b1568 - b1569 + x11169 >= 0 e48805: - b1569 + x11169 <= 0 e48806: b1569 - b1570 + x11170 >= 0 e48807: - b1570 + x11170 <= 0 e48808: b1570 - b1571 + x11171 >= 0 e48809: - b1571 + x11171 <= 0 e48810: b1571 - b1572 + x11172 >= 0 e48811: - b1572 + x11172 <= 0 e48812: b1572 - b1573 + x11173 >= 0 e48813: - b1573 + x11173 <= 0 e48814: b1573 - b1574 + x11174 >= 0 e48815: - b1574 + x11174 <= 0 e48816: b1574 - b1575 + x11175 >= 0 e48817: - b1575 + x11175 <= 0 e48818: b1575 - b1576 + x11176 >= 0 e48819: - b1576 + x11176 <= 0 e48820: b1576 - b1577 + x11177 >= 0 e48821: - b1577 + x11177 <= 0 e48822: b1577 - b1578 + x11178 >= 0 e48823: - b1578 + x11178 <= 0 e48824: b1578 - b1579 + x11179 >= 0 e48825: - b1579 + x11179 <= 0 e48826: b1579 - b1580 + x11180 >= 0 e48827: - b1580 + x11180 <= 0 e48828: b1580 - b1581 + x11181 >= 0 e48829: - b1581 + x11181 <= 0 e48830: b1581 - b1582 + x11182 >= 0 e48831: - b1582 + x11182 <= 0 e48832: b1582 - b1583 + x11183 >= 0 e48833: - b1583 + x11183 <= 0 e48834: b1583 - b1584 + x11184 >= 0 e48835: - b1584 + x11184 <= 0 e48836: b1584 - b1585 + x11185 >= 0 e48837: - b1585 + x11185 <= 0 e48838: b1587 = 1 e48839: b1586 = 1 e48840: b1587 = 1 e48841: b1587 - b1588 + x11188 >= 0 e48842: - b1588 + x11188 <= 0 e48843: b1588 - b1589 + x11189 >= 0 e48844: - b1589 + x11189 <= 0 e48845: b1589 - b1590 + x11190 >= 0 e48846: - b1590 + x11190 <= 0 e48847: b1590 - b1591 + x11191 >= 0 e48848: - b1591 + x11191 <= 0 e48849: b1591 - b1592 + x11192 >= 0 e48850: - b1592 + x11192 <= 0 e48851: b1592 - b1593 + x11193 >= 0 e48852: - b1593 + x11193 <= 0 e48853: b1593 - b1594 + x11194 >= 0 e48854: - b1594 + x11194 <= 0 e48855: b1594 - b1595 + x11195 >= 0 e48856: - b1595 + x11195 <= 0 e48857: b1595 - b1596 + x11196 >= 0 e48858: - b1596 + x11196 <= 0 e48859: b1596 - b1597 + x11197 >= 0 e48860: - b1597 + x11197 <= 0 e48861: b1597 - b1598 + x11198 >= 0 e48862: - b1598 + x11198 <= 0 e48863: b1598 - b1599 + x11199 >= 0 e48864: - b1599 + x11199 <= 0 e48865: b1599 - b1600 + x11200 >= 0 e48866: - b1600 + x11200 <= 0 e48867: b1600 - b1601 + x11201 >= 0 e48868: - b1601 + x11201 <= 0 e48869: b1601 - b1602 + x11202 >= 0 e48870: - b1602 + x11202 <= 0 e48871: b1602 - b1603 + x11203 >= 0 e48872: - b1603 + x11203 <= 0 e48873: b1603 - b1604 + x11204 >= 0 e48874: - b1604 + x11204 <= 0 e48875: b1604 - b1605 + x11205 >= 0 e48876: - b1605 + x11205 <= 0 e48877: b1605 - b1606 + x11206 >= 0 e48878: - b1606 + x11206 <= 0 e48879: b1606 - b1607 + x11207 >= 0 e48880: - b1607 + x11207 <= 0 e48881: b1607 - b1608 + x11208 >= 0 e48882: - b1608 + x11208 <= 0 e48883: b1608 - b1609 + x11209 >= 0 e48884: - b1609 + x11209 <= 0 e48885: b1610 = 1 e48886: b1610 = 1 e48887: b1610 - b1611 + x11211 >= 0 e48888: - b1611 + x11211 <= 0 e48889: b1611 - b1612 + x11212 >= 0 e48890: - b1612 + x11212 <= 0 e48891: b1612 - b1613 + x11213 >= 0 e48892: - b1613 + x11213 <= 0 e48893: b1613 - b1614 + x11214 >= 0 e48894: - b1614 + x11214 <= 0 e48895: b1614 - b1615 + x11215 >= 0 e48896: - b1615 + x11215 <= 0 e48897: b1615 - b1616 + x11216 >= 0 e48898: - b1616 + x11216 <= 0 e48899: b1616 - b1617 + x11217 >= 0 e48900: - b1617 + x11217 <= 0 e48901: b1617 - b1618 + x11218 >= 0 e48902: - b1618 + x11218 <= 0 e48903: b1618 - b1619 + x11219 >= 0 e48904: - b1619 + x11219 <= 0 e48905: b1619 - b1620 + x11220 >= 0 e48906: - b1620 + x11220 <= 0 e48907: b1620 - b1621 + x11221 >= 0 e48908: - b1621 + x11221 <= 0 e48909: b1621 - b1622 + x11222 >= 0 e48910: - b1622 + x11222 <= 0 e48911: b1622 - b1623 + x11223 >= 0 e48912: - b1623 + x11223 <= 0 e48913: b1623 - b1624 + x11224 >= 0 e48914: - b1624 + x11224 <= 0 e48915: b1624 - b1625 + x11225 >= 0 e48916: - b1625 + x11225 <= 0 e48917: b1625 - b1626 + x11226 >= 0 e48918: - b1626 + x11226 <= 0 e48919: b1626 - b1627 + x11227 >= 0 e48920: - b1627 + x11227 <= 0 e48921: b1627 - b1628 + x11228 >= 0 e48922: - b1628 + x11228 <= 0 e48923: b1628 - b1629 + x11229 >= 0 e48924: - b1629 + x11229 <= 0 e48925: b1629 - b1630 + x11230 >= 0 e48926: - b1630 + x11230 <= 0 e48927: b1630 - b1631 + x11231 >= 0 e48928: - b1631 + x11231 <= 0 e48929: b1631 - b1632 + x11232 >= 0 e48930: - b1632 + x11232 <= 0 e48931: b1632 - b1633 + x11233 >= 0 e48932: - b1633 + x11233 <= 0 e48933: b1634 = 1 e48934: b1634 = 1 e48935: b1634 - b1635 + x11235 >= 0 e48936: - b1635 + x11235 <= 0 e48937: b1635 - b1636 + x11236 >= 0 e48938: - b1636 + x11236 <= 0 e48939: b1636 - b1637 + x11237 >= 0 e48940: - b1637 + x11237 <= 0 e48941: b1637 - b1638 + x11238 >= 0 e48942: - b1638 + x11238 <= 0 e48943: b1638 - b1639 + x11239 >= 0 e48944: - b1639 + x11239 <= 0 e48945: b1639 - b1640 + x11240 >= 0 e48946: - b1640 + x11240 <= 0 e48947: b1640 - b1641 + x11241 >= 0 e48948: - b1641 + x11241 <= 0 e48949: b1641 - b1642 + x11242 >= 0 e48950: - b1642 + x11242 <= 0 e48951: b1642 - b1643 + x11243 >= 0 e48952: - b1643 + x11243 <= 0 e48953: b1643 - b1644 + x11244 >= 0 e48954: - b1644 + x11244 <= 0 e48955: b1644 - b1645 + x11245 >= 0 e48956: - b1645 + x11245 <= 0 e48957: b1645 - b1646 + x11246 >= 0 e48958: - b1646 + x11246 <= 0 e48959: b1646 - b1647 + x11247 >= 0 e48960: - b1647 + x11247 <= 0 e48961: b1647 - b1648 + x11248 >= 0 e48962: - b1648 + x11248 <= 0 e48963: b1648 - b1649 + x11249 >= 0 e48964: - b1649 + x11249 <= 0 e48965: b1649 - b1650 + x11250 >= 0 e48966: - b1650 + x11250 <= 0 e48967: b1650 - b1651 + x11251 >= 0 e48968: - b1651 + x11251 <= 0 e48969: b1651 - b1652 + x11252 >= 0 e48970: - b1652 + x11252 <= 0 e48971: b1652 - b1653 + x11253 >= 0 e48972: - b1653 + x11253 <= 0 e48973: b1653 - b1654 + x11254 >= 0 e48974: - b1654 + x11254 <= 0 e48975: b1654 - b1655 + x11255 >= 0 e48976: - b1655 + x11255 <= 0 e48977: b1655 - b1656 + x11256 >= 0 e48978: - b1656 + x11256 <= 0 e48979: b1656 - b1657 + x11257 >= 0 e48980: - b1657 + x11257 <= 0 e48981: b1658 = 1 e48982: b1658 = 1 e48983: b1658 - b1659 + x11259 >= 0 e48984: - b1659 + x11259 <= 0 e48985: b1659 - b1660 + x11260 >= 0 e48986: - b1660 + x11260 <= 0 e48987: b1660 - b1661 + x11261 >= 0 e48988: - b1661 + x11261 <= 0 e48989: b1661 - b1662 + x11262 >= 0 e48990: - b1662 + x11262 <= 0 e48991: b1662 - b1663 + x11263 >= 0 e48992: - b1663 + x11263 <= 0 e48993: b1663 - b1664 + x11264 >= 0 e48994: - b1664 + x11264 <= 0 e48995: b1664 - b1665 + x11265 >= 0 e48996: - b1665 + x11265 <= 0 e48997: b1665 - b1666 + x11266 >= 0 e48998: - b1666 + x11266 <= 0 e48999: b1666 - b1667 + x11267 >= 0 e49000: - b1667 + x11267 <= 0 e49001: b1667 - b1668 + x11268 >= 0 e49002: - b1668 + x11268 <= 0 e49003: b1668 - b1669 + x11269 >= 0 e49004: - b1669 + x11269 <= 0 e49005: b1669 - b1670 + x11270 >= 0 e49006: - b1670 + x11270 <= 0 e49007: b1670 - b1671 + x11271 >= 0 e49008: - b1671 + x11271 <= 0 e49009: b1671 - b1672 + x11272 >= 0 e49010: - b1672 + x11272 <= 0 e49011: b1672 - b1673 + x11273 >= 0 e49012: - b1673 + x11273 <= 0 e49013: b1673 - b1674 + x11274 >= 0 e49014: - b1674 + x11274 <= 0 e49015: b1674 - b1675 + x11275 >= 0 e49016: - b1675 + x11275 <= 0 e49017: b1675 - b1676 + x11276 >= 0 e49018: - b1676 + x11276 <= 0 e49019: b1676 - b1677 + x11277 >= 0 e49020: - b1677 + x11277 <= 0 e49021: b1677 - b1678 + x11278 >= 0 e49022: - b1678 + x11278 <= 0 e49023: b1678 - b1679 + x11279 >= 0 e49024: - b1679 + x11279 <= 0 e49025: b1679 - b1680 + x11280 >= 0 e49026: - b1680 + x11280 <= 0 e49027: b1680 - b1681 + x11281 >= 0 e49028: - b1681 + x11281 <= 0 e49029: x19244 = 0 e49030: - b1682 + x11282 + x19244 >= 0 e49031: - b1682 + x11282 <= 0 e49032: b1682 - b1683 + x11283 >= 0 e49033: - b1683 + x11283 <= 0 e49034: b1683 - b1684 + x11284 >= 0 e49035: - b1684 + x11284 <= 0 e49036: b1684 - b1685 + x11285 >= 0 e49037: - b1685 + x11285 <= 0 e49038: b1685 - b1686 + x11286 >= 0 e49039: - b1686 + x11286 <= 0 e49040: b1686 - b1687 + x11287 >= 0 e49041: - b1687 + x11287 <= 0 e49042: b1687 - b1688 + x11288 >= 0 e49043: - b1688 + x11288 <= 0 e49044: b1688 - b1689 + x11289 >= 0 e49045: - b1689 + x11289 <= 0 e49046: b1689 - b1690 + x11290 >= 0 e49047: - b1690 + x11290 <= 0 e49048: b1690 - b1691 + x11291 >= 0 e49049: - b1691 + x11291 <= 0 e49050: b1691 - b1692 + x11292 >= 0 e49051: - b1692 + x11292 <= 0 e49052: b1692 - b1693 + x11293 >= 0 e49053: - b1693 + x11293 <= 0 e49054: b1693 - b1694 + x11294 >= 0 e49055: - b1694 + x11294 <= 0 e49056: b1694 - b1695 + x11295 >= 0 e49057: - b1695 + x11295 <= 0 e49058: b1695 - b1696 + x11296 >= 0 e49059: - b1696 + x11296 <= 0 e49060: b1696 - b1697 + x11297 >= 0 e49061: - b1697 + x11297 <= 0 e49062: b1697 - b1698 + x11298 >= 0 e49063: - b1698 + x11298 <= 0 e49064: b1698 - b1699 + x11299 >= 0 e49065: - b1699 + x11299 <= 0 e49066: b1699 - b1700 + x11300 >= 0 e49067: - b1700 + x11300 <= 0 e49068: b1700 - b1701 + x11301 >= 0 e49069: - b1701 + x11301 <= 0 e49070: b1701 - b1702 + x11302 >= 0 e49071: - b1702 + x11302 <= 0 e49072: b1702 - b1703 + x11303 >= 0 e49073: - b1703 + x11303 <= 0 e49074: b1703 - b1704 + x11304 >= 0 e49075: - b1704 + x11304 <= 0 e49076: b1704 - b1705 + x11305 >= 0 e49077: - b1705 + x11305 <= 0 e49078: x19245 = 1 e49079: - b1706 + x11306 + x19245 >= 0 e49080: - b1706 + x11306 <= 0 e49081: b1706 - b1707 + x11307 >= 0 e49082: - b1707 + x11307 <= 0 e49083: b1707 - b1708 + x11308 >= 0 e49084: - b1708 + x11308 <= 0 e49085: b1708 - b1709 + x11309 >= 0 e49086: - b1709 + x11309 <= 0 e49087: b1709 - b1710 + x11310 >= 0 e49088: - b1710 + x11310 <= 0 e49089: b1710 - b1711 + x11311 >= 0 e49090: - b1711 + x11311 <= 0 e49091: b1711 - b1712 + x11312 >= 0 e49092: - b1712 + x11312 <= 0 e49093: b1712 - b1713 + x11313 >= 0 e49094: - b1713 + x11313 <= 0 e49095: b1713 - b1714 + x11314 >= 0 e49096: - b1714 + x11314 <= 0 e49097: b1714 - b1715 + x11315 >= 0 e49098: - b1715 + x11315 <= 0 e49099: b1715 - b1716 + x11316 >= 0 e49100: - b1716 + x11316 <= 0 e49101: b1716 - b1717 + x11317 >= 0 e49102: - b1717 + x11317 <= 0 e49103: b1717 - b1718 + x11318 >= 0 e49104: - b1718 + x11318 <= 0 e49105: b1718 - b1719 + x11319 >= 0 e49106: - b1719 + x11319 <= 0 e49107: b1719 - b1720 + x11320 >= 0 e49108: - b1720 + x11320 <= 0 e49109: b1720 - b1721 + x11321 >= 0 e49110: - b1721 + x11321 <= 0 e49111: b1721 - b1722 + x11322 >= 0 e49112: - b1722 + x11322 <= 0 e49113: b1722 - b1723 + x11323 >= 0 e49114: - b1723 + x11323 <= 0 e49115: b1723 - b1724 + x11324 >= 0 e49116: - b1724 + x11324 <= 0 e49117: b1724 - b1725 + x11325 >= 0 e49118: - b1725 + x11325 <= 0 e49119: b1725 - b1726 + x11326 >= 0 e49120: - b1726 + x11326 <= 0 e49121: b1726 - b1727 + x11327 >= 0 e49122: - b1727 + x11327 <= 0 e49123: b1727 - b1728 + x11328 >= 0 e49124: - b1728 + x11328 <= 0 e49125: b1728 - b1729 + x11329 >= 0 e49126: - b1729 + x11329 <= 0 e49127: x19246 = 0 e49128: - b1730 + x11330 + x19246 >= 0 e49129: - b1730 + x11330 <= 0 e49130: b1730 - b1731 + x11331 >= 0 e49131: - b1731 + x11331 <= 0 e49132: b1731 - b1732 + x11332 >= 0 e49133: - b1732 + x11332 <= 0 e49134: b1732 - b1733 + x11333 >= 0 e49135: - b1733 + x11333 <= 0 e49136: b1733 - b1734 + x11334 >= 0 e49137: - b1734 + x11334 <= 0 e49138: b1734 - b1735 + x11335 >= 0 e49139: - b1735 + x11335 <= 0 e49140: b1735 - b1736 + x11336 >= 0 e49141: - b1736 + x11336 <= 0 e49142: b1736 - b1737 + x11337 >= 0 e49143: - b1737 + x11337 <= 0 e49144: b1737 - b1738 + x11338 >= 0 e49145: - b1738 + x11338 <= 0 e49146: b1738 - b1739 + x11339 >= 0 e49147: - b1739 + x11339 <= 0 e49148: b1739 - b1740 + x11340 >= 0 e49149: - b1740 + x11340 <= 0 e49150: b1740 - b1741 + x11341 >= 0 e49151: - b1741 + x11341 <= 0 e49152: b1741 - b1742 + x11342 >= 0 e49153: - b1742 + x11342 <= 0 e49154: b1742 - b1743 + x11343 >= 0 e49155: - b1743 + x11343 <= 0 e49156: b1743 - b1744 + x11344 >= 0 e49157: - b1744 + x11344 <= 0 e49158: b1744 - b1745 + x11345 >= 0 e49159: - b1745 + x11345 <= 0 e49160: b1745 - b1746 + x11346 >= 0 e49161: - b1746 + x11346 <= 0 e49162: b1746 - b1747 + x11347 >= 0 e49163: - b1747 + x11347 <= 0 e49164: b1747 - b1748 + x11348 >= 0 e49165: - b1748 + x11348 <= 0 e49166: b1748 - b1749 + x11349 >= 0 e49167: - b1749 + x11349 <= 0 e49168: b1749 - b1750 + x11350 >= 0 e49169: - b1750 + x11350 <= 0 e49170: b1750 - b1751 + x11351 >= 0 e49171: - b1751 + x11351 <= 0 e49172: b1751 - b1752 + x11352 >= 0 e49173: - b1752 + x11352 <= 0 e49174: b1752 - b1753 + x11353 >= 0 e49175: - b1753 + x11353 <= 0 e49176: x19247 = 1 e49177: - b1754 + x11354 + x19247 >= 0 e49178: - b1754 + x11354 <= 0 e49179: b1754 - b1755 + x11355 >= 0 e49180: - b1755 + x11355 <= 0 e49181: b1755 - b1756 + x11356 >= 0 e49182: - b1756 + x11356 <= 0 e49183: b1756 - b1757 + x11357 >= 0 e49184: - b1757 + x11357 <= 0 e49185: b1757 - b1758 + x11358 >= 0 e49186: - b1758 + x11358 <= 0 e49187: b1758 - b1759 + x11359 >= 0 e49188: - b1759 + x11359 <= 0 e49189: b1759 - b1760 + x11360 >= 0 e49190: - b1760 + x11360 <= 0 e49191: b1760 - b1761 + x11361 >= 0 e49192: - b1761 + x11361 <= 0 e49193: b1761 - b1762 + x11362 >= 0 e49194: - b1762 + x11362 <= 0 e49195: b1762 - b1763 + x11363 >= 0 e49196: - b1763 + x11363 <= 0 e49197: b1763 - b1764 + x11364 >= 0 e49198: - b1764 + x11364 <= 0 e49199: b1764 - b1765 + x11365 >= 0 e49200: - b1765 + x11365 <= 0 e49201: b1765 - b1766 + x11366 >= 0 e49202: - b1766 + x11366 <= 0 e49203: b1766 - b1767 + x11367 >= 0 e49204: - b1767 + x11367 <= 0 e49205: b1767 - b1768 + x11368 >= 0 e49206: - b1768 + x11368 <= 0 e49207: b1768 - b1769 + x11369 >= 0 e49208: - b1769 + x11369 <= 0 e49209: b1769 - b1770 + x11370 >= 0 e49210: - b1770 + x11370 <= 0 e49211: b1770 - b1771 + x11371 >= 0 e49212: - b1771 + x11371 <= 0 e49213: b1771 - b1772 + x11372 >= 0 e49214: - b1772 + x11372 <= 0 e49215: b1772 - b1773 + x11373 >= 0 e49216: - b1773 + x11373 <= 0 e49217: b1773 - b1774 + x11374 >= 0 e49218: - b1774 + x11374 <= 0 e49219: b1774 - b1775 + x11375 >= 0 e49220: - b1775 + x11375 <= 0 e49221: b1775 - b1776 + x11376 >= 0 e49222: - b1776 + x11376 <= 0 e49223: b1776 - b1777 + x11377 >= 0 e49224: - b1777 + x11377 <= 0 e49225: x19248 = 0 e49226: - b1778 + x11378 + x19248 >= 0 e49227: - b1778 + x11378 <= 0 e49228: b1778 - b1779 + x11379 >= 0 e49229: - b1779 + x11379 <= 0 e49230: b1779 - b1780 + x11380 >= 0 e49231: - b1780 + x11380 <= 0 e49232: b1780 - b1781 + x11381 >= 0 e49233: - b1781 + x11381 <= 0 e49234: b1781 - b1782 + x11382 >= 0 e49235: - b1782 + x11382 <= 0 e49236: b1782 - b1783 + x11383 >= 0 e49237: - b1783 + x11383 <= 0 e49238: b1783 - b1784 + x11384 >= 0 e49239: - b1784 + x11384 <= 0 e49240: b1784 - b1785 + x11385 >= 0 e49241: - b1785 + x11385 <= 0 e49242: b1785 - b1786 + x11386 >= 0 e49243: - b1786 + x11386 <= 0 e49244: b1786 - b1787 + x11387 >= 0 e49245: - b1787 + x11387 <= 0 e49246: b1787 - b1788 + x11388 >= 0 e49247: - b1788 + x11388 <= 0 e49248: b1788 - b1789 + x11389 >= 0 e49249: - b1789 + x11389 <= 0 e49250: b1789 - b1790 + x11390 >= 0 e49251: - b1790 + x11390 <= 0 e49252: b1790 - b1791 + x11391 >= 0 e49253: - b1791 + x11391 <= 0 e49254: b1791 - b1792 + x11392 >= 0 e49255: - b1792 + x11392 <= 0 e49256: b1792 - b1793 + x11393 >= 0 e49257: - b1793 + x11393 <= 0 e49258: b1793 - b1794 + x11394 >= 0 e49259: - b1794 + x11394 <= 0 e49260: b1794 - b1795 + x11395 >= 0 e49261: - b1795 + x11395 <= 0 e49262: b1795 - b1796 + x11396 >= 0 e49263: - b1796 + x11396 <= 0 e49264: b1796 - b1797 + x11397 >= 0 e49265: - b1797 + x11397 <= 0 e49266: b1797 - b1798 + x11398 >= 0 e49267: - b1798 + x11398 <= 0 e49268: b1798 - b1799 + x11399 >= 0 e49269: - b1799 + x11399 <= 0 e49270: b1799 - b1800 + x11400 >= 0 e49271: - b1800 + x11400 <= 0 e49272: b1800 - b1801 + x11401 >= 0 e49273: - b1801 + x11401 <= 0 e49274: x19249 = 0 e49275: - b1802 + x11402 + x19249 >= 0 e49276: - b1802 + x11402 <= 0 e49277: b1802 - b1803 + x11403 >= 0 e49278: - b1803 + x11403 <= 0 e49279: b1803 - b1804 + x11404 >= 0 e49280: - b1804 + x11404 <= 0 e49281: b1804 - b1805 + x11405 >= 0 e49282: - b1805 + x11405 <= 0 e49283: b1805 - b1806 + x11406 >= 0 e49284: - b1806 + x11406 <= 0 e49285: b1806 - b1807 + x11407 >= 0 e49286: - b1807 + x11407 <= 0 e49287: b1807 - b1808 + x11408 >= 0 e49288: - b1808 + x11408 <= 0 e49289: b1808 - b1809 + x11409 >= 0 e49290: - b1809 + x11409 <= 0 e49291: b1809 - b1810 + x11410 >= 0 e49292: - b1810 + x11410 <= 0 e49293: b1810 - b1811 + x11411 >= 0 e49294: - b1811 + x11411 <= 0 e49295: b1811 - b1812 + x11412 >= 0 e49296: - b1812 + x11412 <= 0 e49297: b1812 - b1813 + x11413 >= 0 e49298: - b1813 + x11413 <= 0 e49299: b1813 - b1814 + x11414 >= 0 e49300: - b1814 + x11414 <= 0 e49301: b1814 - b1815 + x11415 >= 0 e49302: - b1815 + x11415 <= 0 e49303: b1815 - b1816 + x11416 >= 0 e49304: - b1816 + x11416 <= 0 e49305: b1816 - b1817 + x11417 >= 0 e49306: - b1817 + x11417 <= 0 e49307: b1817 - b1818 + x11418 >= 0 e49308: - b1818 + x11418 <= 0 e49309: b1818 - b1819 + x11419 >= 0 e49310: - b1819 + x11419 <= 0 e49311: b1819 - b1820 + x11420 >= 0 e49312: - b1820 + x11420 <= 0 e49313: b1820 - b1821 + x11421 >= 0 e49314: - b1821 + x11421 <= 0 e49315: b1821 - b1822 + x11422 >= 0 e49316: - b1822 + x11422 <= 0 e49317: b1822 - b1823 + x11423 >= 0 e49318: - b1823 + x11423 <= 0 e49319: b1823 - b1824 + x11424 >= 0 e49320: - b1824 + x11424 <= 0 e49321: b1824 - b1825 + x11425 >= 0 e49322: - b1825 + x11425 <= 0 e49323: x19306 = 0 e49324: - b1826 + x11426 + x19306 >= 0 e49325: - b1826 + x11426 <= 0 e49326: b1826 - b1827 + x11427 >= 0 e49327: - b1827 + x11427 <= 0 e49328: b1827 - b1828 + x11428 >= 0 e49329: - b1828 + x11428 <= 0 e49330: b1828 - b1829 + x11429 >= 0 e49331: - b1829 + x11429 <= 0 e49332: b1829 - b1830 + x11430 >= 0 e49333: - b1830 + x11430 <= 0 e49334: b1830 - b1831 + x11431 >= 0 e49335: - b1831 + x11431 <= 0 e49336: b1831 - b1832 + x11432 >= 0 e49337: - b1832 + x11432 <= 0 e49338: b1832 - b1833 + x11433 >= 0 e49339: - b1833 + x11433 <= 0 e49340: b1833 - b1834 + x11434 >= 0 e49341: - b1834 + x11434 <= 0 e49342: b1834 - b1835 + x11435 >= 0 e49343: - b1835 + x11435 <= 0 e49344: b1835 - b1836 + x11436 >= 0 e49345: - b1836 + x11436 <= 0 e49346: b1836 - b1837 + x11437 >= 0 e49347: - b1837 + x11437 <= 0 e49348: b1837 - b1838 + x11438 >= 0 e49349: - b1838 + x11438 <= 0 e49350: b1838 - b1839 + x11439 >= 0 e49351: - b1839 + x11439 <= 0 e49352: b1839 - b1840 + x11440 >= 0 e49353: - b1840 + x11440 <= 0 e49354: b1840 - b1841 + x11441 >= 0 e49355: - b1841 + x11441 <= 0 e49356: b1841 - b1842 + x11442 >= 0 e49357: - b1842 + x11442 <= 0 e49358: b1842 - b1843 + x11443 >= 0 e49359: - b1843 + x11443 <= 0 e49360: b1843 - b1844 + x11444 >= 0 e49361: - b1844 + x11444 <= 0 e49362: b1844 - b1845 + x11445 >= 0 e49363: - b1845 + x11445 <= 0 e49364: b1845 - b1846 + x11446 >= 0 e49365: - b1846 + x11446 <= 0 e49366: b1846 - b1847 + x11447 >= 0 e49367: - b1847 + x11447 <= 0 e49368: b1847 - b1848 + x11448 >= 0 e49369: - b1848 + x11448 <= 0 e49370: b1848 - b1849 + x11449 >= 0 e49371: - b1849 + x11449 <= 0 e49372: x19250 = 0 e49373: - b1850 + x11450 + x19250 >= 0 e49374: - b1850 + x11450 <= 0 e49375: b1850 - b1851 + x11451 >= 0 e49376: - b1851 + x11451 <= 0 e49377: b1851 - b1852 + x11452 >= 0 e49378: - b1852 + x11452 <= 0 e49379: b1852 - b1853 + x11453 >= 0 e49380: - b1853 + x11453 <= 0 e49381: b1853 - b1854 + x11454 >= 0 e49382: - b1854 + x11454 <= 0 e49383: b1854 - b1855 + x11455 >= 0 e49384: - b1855 + x11455 <= 0 e49385: b1855 - b1856 + x11456 >= 0 e49386: - b1856 + x11456 <= 0 e49387: b1856 - b1857 + x11457 >= 0 e49388: - b1857 + x11457 <= 0 e49389: b1857 - b1858 + x11458 >= 0 e49390: - b1858 + x11458 <= 0 e49391: b1858 - b1859 + x11459 >= 0 e49392: - b1859 + x11459 <= 0 e49393: b1859 - b1860 + x11460 >= 0 e49394: - b1860 + x11460 <= 0 e49395: b1860 - b1861 + x11461 >= 0 e49396: - b1861 + x11461 <= 0 e49397: b1861 - b1862 + x11462 >= 0 e49398: - b1862 + x11462 <= 0 e49399: b1862 - b1863 + x11463 >= 0 e49400: - b1863 + x11463 <= 0 e49401: b1863 - b1864 + x11464 >= 0 e49402: - b1864 + x11464 <= 0 e49403: b1864 - b1865 + x11465 >= 0 e49404: - b1865 + x11465 <= 0 e49405: b1865 - b1866 + x11466 >= 0 e49406: - b1866 + x11466 <= 0 e49407: b1866 - b1867 + x11467 >= 0 e49408: - b1867 + x11467 <= 0 e49409: b1867 - b1868 + x11468 >= 0 e49410: - b1868 + x11468 <= 0 e49411: b1868 - b1869 + x11469 >= 0 e49412: - b1869 + x11469 <= 0 e49413: b1869 - b1870 + x11470 >= 0 e49414: - b1870 + x11470 <= 0 e49415: b1870 - b1871 + x11471 >= 0 e49416: - b1871 + x11471 <= 0 e49417: b1871 - b1872 + x11472 >= 0 e49418: - b1872 + x11472 <= 0 e49419: b1872 - b1873 + x11473 >= 0 e49420: - b1873 + x11473 <= 0 e49421: x19307 = 0 e49422: - b1874 + x11474 + x19307 >= 0 e49423: - b1874 + x11474 <= 0 e49424: b1874 - b1875 + x11475 >= 0 e49425: - b1875 + x11475 <= 0 e49426: b1875 - b1876 + x11476 >= 0 e49427: - b1876 + x11476 <= 0 e49428: b1876 - b1877 + x11477 >= 0 e49429: - b1877 + x11477 <= 0 e49430: b1877 - b1878 + x11478 >= 0 e49431: - b1878 + x11478 <= 0 e49432: b1878 - b1879 + x11479 >= 0 e49433: - b1879 + x11479 <= 0 e49434: b1879 - b1880 + x11480 >= 0 e49435: - b1880 + x11480 <= 0 e49436: b1880 - b1881 + x11481 >= 0 e49437: - b1881 + x11481 <= 0 e49438: b1881 - b1882 + x11482 >= 0 e49439: - b1882 + x11482 <= 0 e49440: b1882 - b1883 + x11483 >= 0 e49441: - b1883 + x11483 <= 0 e49442: b1883 - b1884 + x11484 >= 0 e49443: - b1884 + x11484 <= 0 e49444: b1884 - b1885 + x11485 >= 0 e49445: - b1885 + x11485 <= 0 e49446: b1885 - b1886 + x11486 >= 0 e49447: - b1886 + x11486 <= 0 e49448: b1886 - b1887 + x11487 >= 0 e49449: - b1887 + x11487 <= 0 e49450: b1887 - b1888 + x11488 >= 0 e49451: - b1888 + x11488 <= 0 e49452: b1888 - b1889 + x11489 >= 0 e49453: - b1889 + x11489 <= 0 e49454: b1889 - b1890 + x11490 >= 0 e49455: - b1890 + x11490 <= 0 e49456: b1890 - b1891 + x11491 >= 0 e49457: - b1891 + x11491 <= 0 e49458: b1891 - b1892 + x11492 >= 0 e49459: - b1892 + x11492 <= 0 e49460: b1892 - b1893 + x11493 >= 0 e49461: - b1893 + x11493 <= 0 e49462: b1893 - b1894 + x11494 >= 0 e49463: - b1894 + x11494 <= 0 e49464: b1894 - b1895 + x11495 >= 0 e49465: - b1895 + x11495 <= 0 e49466: b1895 - b1896 + x11496 >= 0 e49467: - b1896 + x11496 <= 0 e49468: b1896 - b1897 + x11497 >= 0 e49469: - b1897 + x11497 <= 0 e49470: x19251 = 1 e49471: - b1898 + x11498 + x19251 >= 0 e49472: - b1898 + x11498 <= 0 e49473: b1898 - b1899 + x11499 >= 0 e49474: - b1899 + x11499 <= 0 e49475: b1899 - b1900 + x11500 >= 0 e49476: - b1900 + x11500 <= 0 e49477: b1900 - b1901 + x11501 >= 0 e49478: - b1901 + x11501 <= 0 e49479: b1901 - b1902 + x11502 >= 0 e49480: - b1902 + x11502 <= 0 e49481: b1902 - b1903 + x11503 >= 0 e49482: - b1903 + x11503 <= 0 e49483: b1903 - b1904 + x11504 >= 0 e49484: - b1904 + x11504 <= 0 e49485: b1904 - b1905 + x11505 >= 0 e49486: - b1905 + x11505 <= 0 e49487: b1905 - b1906 + x11506 >= 0 e49488: - b1906 + x11506 <= 0 e49489: b1906 - b1907 + x11507 >= 0 e49490: - b1907 + x11507 <= 0 e49491: b1907 - b1908 + x11508 >= 0 e49492: - b1908 + x11508 <= 0 e49493: b1908 - b1909 + x11509 >= 0 e49494: - b1909 + x11509 <= 0 e49495: b1909 - b1910 + x11510 >= 0 e49496: - b1910 + x11510 <= 0 e49497: b1910 - b1911 + x11511 >= 0 e49498: - b1911 + x11511 <= 0 e49499: b1911 - b1912 + x11512 >= 0 e49500: - b1912 + x11512 <= 0 e49501: b1912 - b1913 + x11513 >= 0 e49502: - b1913 + x11513 <= 0 e49503: b1913 - b1914 + x11514 >= 0 e49504: - b1914 + x11514 <= 0 e49505: b1914 - b1915 + x11515 >= 0 e49506: - b1915 + x11515 <= 0 e49507: b1915 - b1916 + x11516 >= 0 e49508: - b1916 + x11516 <= 0 e49509: b1916 - b1917 + x11517 >= 0 e49510: - b1917 + x11517 <= 0 e49511: b1917 - b1918 + x11518 >= 0 e49512: - b1918 + x11518 <= 0 e49513: b1918 - b1919 + x11519 >= 0 e49514: - b1919 + x11519 <= 0 e49515: b1919 - b1920 + x11520 >= 0 e49516: - b1920 + x11520 <= 0 e49517: b1920 - b1921 + x11521 >= 0 e49518: - b1921 + x11521 <= 0 e49519: x19252 = 1 e49520: - b1922 + x11522 + x19252 >= 0 e49521: - b1922 + x11522 <= 0 e49522: b1922 - b1923 + x11523 >= 0 e49523: - b1923 + x11523 <= 0 e49524: b1923 - b1924 + x11524 >= 0 e49525: - b1924 + x11524 <= 0 e49526: b1924 - b1925 + x11525 >= 0 e49527: - b1925 + x11525 <= 0 e49528: b1925 - b1926 + x11526 >= 0 e49529: - b1926 + x11526 <= 0 e49530: b1926 - b1927 + x11527 >= 0 e49531: - b1927 + x11527 <= 0 e49532: b1927 - b1928 + x11528 >= 0 e49533: - b1928 + x11528 <= 0 e49534: b1928 - b1929 + x11529 >= 0 e49535: - b1929 + x11529 <= 0 e49536: b1929 - b1930 + x11530 >= 0 e49537: - b1930 + x11530 <= 0 e49538: b1930 - b1931 + x11531 >= 0 e49539: - b1931 + x11531 <= 0 e49540: b1931 - b1932 + x11532 >= 0 e49541: - b1932 + x11532 <= 0 e49542: b1932 - b1933 + x11533 >= 0 e49543: - b1933 + x11533 <= 0 e49544: b1933 - b1934 + x11534 >= 0 e49545: - b1934 + x11534 <= 0 e49546: b1934 - b1935 + x11535 >= 0 e49547: - b1935 + x11535 <= 0 e49548: b1935 - b1936 + x11536 >= 0 e49549: - b1936 + x11536 <= 0 e49550: b1936 - b1937 + x11537 >= 0 e49551: - b1937 + x11537 <= 0 e49552: b1937 - b1938 + x11538 >= 0 e49553: - b1938 + x11538 <= 0 e49554: b1938 - b1939 + x11539 >= 0 e49555: - b1939 + x11539 <= 0 e49556: b1939 - b1940 + x11540 >= 0 e49557: - b1940 + x11540 <= 0 e49558: b1940 - b1941 + x11541 >= 0 e49559: - b1941 + x11541 <= 0 e49560: b1941 - b1942 + x11542 >= 0 e49561: - b1942 + x11542 <= 0 e49562: b1942 - b1943 + x11543 >= 0 e49563: - b1943 + x11543 <= 0 e49564: b1943 - b1944 + x11544 >= 0 e49565: - b1944 + x11544 <= 0 e49566: b1944 - b1945 + x11545 >= 0 e49567: - b1945 + x11545 <= 0 e49568: x19308 = 0 e49569: - b1946 + x11546 + x19308 >= 0 e49570: - b1946 + x11546 <= 0 e49571: b1946 - b1947 + x11547 >= 0 e49572: - b1947 + x11547 <= 0 e49573: b1947 - b1948 + x11548 >= 0 e49574: - b1948 + x11548 <= 0 e49575: b1948 - b1949 + x11549 >= 0 e49576: - b1949 + x11549 <= 0 e49577: b1949 - b1950 + x11550 >= 0 e49578: - b1950 + x11550 <= 0 e49579: b1950 - b1951 + x11551 >= 0 e49580: - b1951 + x11551 <= 0 e49581: b1951 - b1952 + x11552 >= 0 e49582: - b1952 + x11552 <= 0 e49583: b1952 - b1953 + x11553 >= 0 e49584: - b1953 + x11553 <= 0 e49585: b1953 - b1954 + x11554 >= 0 e49586: - b1954 + x11554 <= 0 e49587: b1954 - b1955 + x11555 >= 0 e49588: - b1955 + x11555 <= 0 e49589: b1955 - b1956 + x11556 >= 0 e49590: - b1956 + x11556 <= 0 e49591: b1956 - b1957 + x11557 >= 0 e49592: - b1957 + x11557 <= 0 e49593: b1957 - b1958 + x11558 >= 0 e49594: - b1958 + x11558 <= 0 e49595: b1958 - b1959 + x11559 >= 0 e49596: - b1959 + x11559 <= 0 e49597: b1959 - b1960 + x11560 >= 0 e49598: - b1960 + x11560 <= 0 e49599: b1960 - b1961 + x11561 >= 0 e49600: - b1961 + x11561 <= 0 e49601: b1961 - b1962 + x11562 >= 0 e49602: - b1962 + x11562 <= 0 e49603: b1962 - b1963 + x11563 >= 0 e49604: - b1963 + x11563 <= 0 e49605: b1963 - b1964 + x11564 >= 0 e49606: - b1964 + x11564 <= 0 e49607: b1964 - b1965 + x11565 >= 0 e49608: - b1965 + x11565 <= 0 e49609: b1965 - b1966 + x11566 >= 0 e49610: - b1966 + x11566 <= 0 e49611: b1966 - b1967 + x11567 >= 0 e49612: - b1967 + x11567 <= 0 e49613: b1967 - b1968 + x11568 >= 0 e49614: - b1968 + x11568 <= 0 e49615: b1968 - b1969 + x11569 >= 0 e49616: - b1969 + x11569 <= 0 e49617: x19309 = 0 e49618: - b1970 + x11570 + x19309 >= 0 e49619: - b1970 + x11570 <= 0 e49620: b1970 - b1971 + x11571 >= 0 e49621: - b1971 + x11571 <= 0 e49622: b1971 - b1972 + x11572 >= 0 e49623: - b1972 + x11572 <= 0 e49624: b1972 - b1973 + x11573 >= 0 e49625: - b1973 + x11573 <= 0 e49626: b1973 - b1974 + x11574 >= 0 e49627: - b1974 + x11574 <= 0 e49628: b1974 - b1975 + x11575 >= 0 e49629: - b1975 + x11575 <= 0 e49630: b1975 - b1976 + x11576 >= 0 e49631: - b1976 + x11576 <= 0 e49632: b1976 - b1977 + x11577 >= 0 e49633: - b1977 + x11577 <= 0 e49634: b1977 - b1978 + x11578 >= 0 e49635: - b1978 + x11578 <= 0 e49636: b1978 - b1979 + x11579 >= 0 e49637: - b1979 + x11579 <= 0 e49638: b1979 - b1980 + x11580 >= 0 e49639: - b1980 + x11580 <= 0 e49640: b1980 - b1981 + x11581 >= 0 e49641: - b1981 + x11581 <= 0 e49642: b1981 - b1982 + x11582 >= 0 e49643: - b1982 + x11582 <= 0 e49644: b1982 - b1983 + x11583 >= 0 e49645: - b1983 + x11583 <= 0 e49646: b1983 - b1984 + x11584 >= 0 e49647: - b1984 + x11584 <= 0 e49648: b1984 - b1985 + x11585 >= 0 e49649: - b1985 + x11585 <= 0 e49650: b1985 - b1986 + x11586 >= 0 e49651: - b1986 + x11586 <= 0 e49652: b1986 - b1987 + x11587 >= 0 e49653: - b1987 + x11587 <= 0 e49654: b1987 - b1988 + x11588 >= 0 e49655: - b1988 + x11588 <= 0 e49656: b1988 - b1989 + x11589 >= 0 e49657: - b1989 + x11589 <= 0 e49658: b1989 - b1990 + x11590 >= 0 e49659: - b1990 + x11590 <= 0 e49660: b1990 - b1991 + x11591 >= 0 e49661: - b1991 + x11591 <= 0 e49662: b1991 - b1992 + x11592 >= 0 e49663: - b1992 + x11592 <= 0 e49664: b1992 - b1993 + x11593 >= 0 e49665: - b1993 + x11593 <= 0 e49666: x19310 = 0 e49667: - b1994 + x11594 + x19310 >= 0 e49668: - b1994 + x11594 <= 0 e49669: b1994 - b1995 + x11595 >= 0 e49670: - b1995 + x11595 <= 0 e49671: b1995 - b1996 + x11596 >= 0 e49672: - b1996 + x11596 <= 0 e49673: b1996 - b1997 + x11597 >= 0 e49674: - b1997 + x11597 <= 0 e49675: b1997 - b1998 + x11598 >= 0 e49676: - b1998 + x11598 <= 0 e49677: b1998 - b1999 + x11599 >= 0 e49678: - b1999 + x11599 <= 0 e49679: b1999 - b2000 + x11600 >= 0 e49680: - b2000 + x11600 <= 0 e49681: b2000 - b2001 + x11601 >= 0 e49682: - b2001 + x11601 <= 0 e49683: b2001 - b2002 + x11602 >= 0 e49684: - b2002 + x11602 <= 0 e49685: b2002 - b2003 + x11603 >= 0 e49686: - b2003 + x11603 <= 0 e49687: b2003 - b2004 + x11604 >= 0 e49688: - b2004 + x11604 <= 0 e49689: b2004 - b2005 + x11605 >= 0 e49690: - b2005 + x11605 <= 0 e49691: b2005 - b2006 + x11606 >= 0 e49692: - b2006 + x11606 <= 0 e49693: b2006 - b2007 + x11607 >= 0 e49694: - b2007 + x11607 <= 0 e49695: b2007 - b2008 + x11608 >= 0 e49696: - b2008 + x11608 <= 0 e49697: b2008 - b2009 + x11609 >= 0 e49698: - b2009 + x11609 <= 0 e49699: b2009 - b2010 + x11610 >= 0 e49700: - b2010 + x11610 <= 0 e49701: b2010 - b2011 + x11611 >= 0 e49702: - b2011 + x11611 <= 0 e49703: b2011 - b2012 + x11612 >= 0 e49704: - b2012 + x11612 <= 0 e49705: b2012 - b2013 + x11613 >= 0 e49706: - b2013 + x11613 <= 0 e49707: b2013 - b2014 + x11614 >= 0 e49708: - b2014 + x11614 <= 0 e49709: b2014 - b2015 + x11615 >= 0 e49710: - b2015 + x11615 <= 0 e49711: b2015 - b2016 + x11616 >= 0 e49712: - b2016 + x11616 <= 0 e49713: b2016 - b2017 + x11617 >= 0 e49714: - b2017 + x11617 <= 0 e49715: b2018 = 1 e49716: b2018 = 1 e49717: b2018 - b2019 + x11619 >= 0 e49718: - b2019 + x11619 <= 0 e49719: b2019 - b2020 + x11620 >= 0 e49720: - b2020 + x11620 <= 0 e49721: b2020 - b2021 + x11621 >= 0 e49722: - b2021 + x11621 <= 0 e49723: b2021 - b2022 + x11622 >= 0 e49724: - b2022 + x11622 <= 0 e49725: b2022 - b2023 + x11623 >= 0 e49726: - b2023 + x11623 <= 0 e49727: b2023 - b2024 + x11624 >= 0 e49728: - b2024 + x11624 <= 0 e49729: b2024 - b2025 + x11625 >= 0 e49730: - b2025 + x11625 <= 0 e49731: b2025 - b2026 + x11626 >= 0 e49732: - b2026 + x11626 <= 0 e49733: b2026 - b2027 + x11627 >= 0 e49734: - b2027 + x11627 <= 0 e49735: b2027 - b2028 + x11628 >= 0 e49736: - b2028 + x11628 <= 0 e49737: b2028 - b2029 + x11629 >= 0 e49738: - b2029 + x11629 <= 0 e49739: b2029 - b2030 + x11630 >= 0 e49740: - b2030 + x11630 <= 0 e49741: b2030 - b2031 + x11631 >= 0 e49742: - b2031 + x11631 <= 0 e49743: b2031 - b2032 + x11632 >= 0 e49744: - b2032 + x11632 <= 0 e49745: b2032 - b2033 + x11633 >= 0 e49746: - b2033 + x11633 <= 0 e49747: b2033 - b2034 + x11634 >= 0 e49748: - b2034 + x11634 <= 0 e49749: b2034 - b2035 + x11635 >= 0 e49750: - b2035 + x11635 <= 0 e49751: b2035 - b2036 + x11636 >= 0 e49752: - b2036 + x11636 <= 0 e49753: b2036 - b2037 + x11637 >= 0 e49754: - b2037 + x11637 <= 0 e49755: b2037 - b2038 + x11638 >= 0 e49756: - b2038 + x11638 <= 0 e49757: b2038 - b2039 + x11639 >= 0 e49758: - b2039 + x11639 <= 0 e49759: b2039 - b2040 + x11640 >= 0 e49760: - b2040 + x11640 <= 0 e49761: b2040 - b2041 + x11641 >= 0 e49762: - b2041 + x11641 <= 0 e49763: x19311 = 0 e49764: - b2042 + x11642 + x19311 >= 0 e49765: - b2042 + x11642 <= 0 e49766: b2042 - b2043 + x11643 >= 0 e49767: - b2043 + x11643 <= 0 e49768: b2043 - b2044 + x11644 >= 0 e49769: - b2044 + x11644 <= 0 e49770: b2044 - b2045 + x11645 >= 0 e49771: - b2045 + x11645 <= 0 e49772: b2045 - b2046 + x11646 >= 0 e49773: - b2046 + x11646 <= 0 e49774: b2046 - b2047 + x11647 >= 0 e49775: - b2047 + x11647 <= 0 e49776: b2047 - b2048 + x11648 >= 0 e49777: - b2048 + x11648 <= 0 e49778: b2048 - b2049 + x11649 >= 0 e49779: - b2049 + x11649 <= 0 e49780: b2049 - b2050 + x11650 >= 0 e49781: - b2050 + x11650 <= 0 e49782: b2050 - b2051 + x11651 >= 0 e49783: - b2051 + x11651 <= 0 e49784: b2051 - b2052 + x11652 >= 0 e49785: - b2052 + x11652 <= 0 e49786: b2052 - b2053 + x11653 >= 0 e49787: - b2053 + x11653 <= 0 e49788: b2053 - b2054 + x11654 >= 0 e49789: - b2054 + x11654 <= 0 e49790: b2054 - b2055 + x11655 >= 0 e49791: - b2055 + x11655 <= 0 e49792: b2055 - b2056 + x11656 >= 0 e49793: - b2056 + x11656 <= 0 e49794: b2056 - b2057 + x11657 >= 0 e49795: - b2057 + x11657 <= 0 e49796: b2057 - b2058 + x11658 >= 0 e49797: - b2058 + x11658 <= 0 e49798: b2058 - b2059 + x11659 >= 0 e49799: - b2059 + x11659 <= 0 e49800: b2059 - b2060 + x11660 >= 0 e49801: - b2060 + x11660 <= 0 e49802: b2060 - b2061 + x11661 >= 0 e49803: - b2061 + x11661 <= 0 e49804: b2061 - b2062 + x11662 >= 0 e49805: - b2062 + x11662 <= 0 e49806: b2062 - b2063 + x11663 >= 0 e49807: - b2063 + x11663 <= 0 e49808: b2063 - b2064 + x11664 >= 0 e49809: - b2064 + x11664 <= 0 e49810: b2064 - b2065 + x11665 >= 0 e49811: - b2065 + x11665 <= 0 e49812: x19253 = 0 e49813: - b2066 + x11666 + x19253 >= 0 e49814: - b2066 + x11666 <= 0 e49815: b2066 - b2067 + x11667 >= 0 e49816: - b2067 + x11667 <= 0 e49817: b2067 - b2068 + x11668 >= 0 e49818: - b2068 + x11668 <= 0 e49819: b2068 - b2069 + x11669 >= 0 e49820: - b2069 + x11669 <= 0 e49821: b2069 - b2070 + x11670 >= 0 e49822: - b2070 + x11670 <= 0 e49823: b2070 - b2071 + x11671 >= 0 e49824: - b2071 + x11671 <= 0 e49825: b2071 - b2072 + x11672 >= 0 e49826: - b2072 + x11672 <= 0 e49827: b2072 - b2073 + x11673 >= 0 e49828: - b2073 + x11673 <= 0 e49829: b2073 - b2074 + x11674 >= 0 e49830: - b2074 + x11674 <= 0 e49831: b2074 - b2075 + x11675 >= 0 e49832: - b2075 + x11675 <= 0 e49833: b2075 - b2076 + x11676 >= 0 e49834: - b2076 + x11676 <= 0 e49835: b2076 - b2077 + x11677 >= 0 e49836: - b2077 + x11677 <= 0 e49837: b2077 - b2078 + x11678 >= 0 e49838: - b2078 + x11678 <= 0 e49839: b2078 - b2079 + x11679 >= 0 e49840: - b2079 + x11679 <= 0 e49841: b2079 - b2080 + x11680 >= 0 e49842: - b2080 + x11680 <= 0 e49843: b2080 - b2081 + x11681 >= 0 e49844: - b2081 + x11681 <= 0 e49845: b2081 - b2082 + x11682 >= 0 e49846: - b2082 + x11682 <= 0 e49847: b2082 - b2083 + x11683 >= 0 e49848: - b2083 + x11683 <= 0 e49849: b2083 - b2084 + x11684 >= 0 e49850: - b2084 + x11684 <= 0 e49851: b2084 - b2085 + x11685 >= 0 e49852: - b2085 + x11685 <= 0 e49853: b2085 - b2086 + x11686 >= 0 e49854: - b2086 + x11686 <= 0 e49855: b2086 - b2087 + x11687 >= 0 e49856: - b2087 + x11687 <= 0 e49857: b2087 - b2088 + x11688 >= 0 e49858: - b2088 + x11688 <= 0 e49859: b2088 - b2089 + x11689 >= 0 e49860: - b2089 + x11689 <= 0 e49861: x19312 = 0 e49862: - b2090 + x11690 + x19312 >= 0 e49863: - b2090 + x11690 <= 0 e49864: b2090 - b2091 + x11691 >= 0 e49865: - b2091 + x11691 <= 0 e49866: b2091 - b2092 + x11692 >= 0 e49867: - b2092 + x11692 <= 0 e49868: b2092 - b2093 + x11693 >= 0 e49869: - b2093 + x11693 <= 0 e49870: b2093 - b2094 + x11694 >= 0 e49871: - b2094 + x11694 <= 0 e49872: b2094 - b2095 + x11695 >= 0 e49873: - b2095 + x11695 <= 0 e49874: b2095 - b2096 + x11696 >= 0 e49875: - b2096 + x11696 <= 0 e49876: b2096 - b2097 + x11697 >= 0 e49877: - b2097 + x11697 <= 0 e49878: b2097 - b2098 + x11698 >= 0 e49879: - b2098 + x11698 <= 0 e49880: b2098 - b2099 + x11699 >= 0 e49881: - b2099 + x11699 <= 0 e49882: b2099 - b2100 + x11700 >= 0 e49883: - b2100 + x11700 <= 0 e49884: b2100 - b2101 + x11701 >= 0 e49885: - b2101 + x11701 <= 0 e49886: b2101 - b2102 + x11702 >= 0 e49887: - b2102 + x11702 <= 0 e49888: b2102 - b2103 + x11703 >= 0 e49889: - b2103 + x11703 <= 0 e49890: b2103 - b2104 + x11704 >= 0 e49891: - b2104 + x11704 <= 0 e49892: b2104 - b2105 + x11705 >= 0 e49893: - b2105 + x11705 <= 0 e49894: b2105 - b2106 + x11706 >= 0 e49895: - b2106 + x11706 <= 0 e49896: b2106 - b2107 + x11707 >= 0 e49897: - b2107 + x11707 <= 0 e49898: b2107 - b2108 + x11708 >= 0 e49899: - b2108 + x11708 <= 0 e49900: b2108 - b2109 + x11709 >= 0 e49901: - b2109 + x11709 <= 0 e49902: b2109 - b2110 + x11710 >= 0 e49903: - b2110 + x11710 <= 0 e49904: b2110 - b2111 + x11711 >= 0 e49905: - b2111 + x11711 <= 0 e49906: b2111 - b2112 + x11712 >= 0 e49907: - b2112 + x11712 <= 0 e49908: b2112 - b2113 + x11713 >= 0 e49909: - b2113 + x11713 <= 0 e49910: x19313 = 0 e49911: - b2114 + x11714 + x19313 >= 0 e49912: - b2114 + x11714 <= 0 e49913: b2114 - b2115 + x11715 >= 0 e49914: - b2115 + x11715 <= 0 e49915: b2115 - b2116 + x11716 >= 0 e49916: - b2116 + x11716 <= 0 e49917: b2116 - b2117 + x11717 >= 0 e49918: - b2117 + x11717 <= 0 e49919: b2117 - b2118 + x11718 >= 0 e49920: - b2118 + x11718 <= 0 e49921: b2118 - b2119 + x11719 >= 0 e49922: - b2119 + x11719 <= 0 e49923: b2119 - b2120 + x11720 >= 0 e49924: - b2120 + x11720 <= 0 e49925: b2120 - b2121 + x11721 >= 0 e49926: - b2121 + x11721 <= 0 e49927: b2121 - b2122 + x11722 >= 0 e49928: - b2122 + x11722 <= 0 e49929: b2122 - b2123 + x11723 >= 0 e49930: - b2123 + x11723 <= 0 e49931: b2123 - b2124 + x11724 >= 0 e49932: - b2124 + x11724 <= 0 e49933: b2124 - b2125 + x11725 >= 0 e49934: - b2125 + x11725 <= 0 e49935: b2125 - b2126 + x11726 >= 0 e49936: - b2126 + x11726 <= 0 e49937: b2126 - b2127 + x11727 >= 0 e49938: - b2127 + x11727 <= 0 e49939: b2127 - b2128 + x11728 >= 0 e49940: - b2128 + x11728 <= 0 e49941: b2128 - b2129 + x11729 >= 0 e49942: - b2129 + x11729 <= 0 e49943: b2129 - b2130 + x11730 >= 0 e49944: - b2130 + x11730 <= 0 e49945: b2130 - b2131 + x11731 >= 0 e49946: - b2131 + x11731 <= 0 e49947: b2131 - b2132 + x11732 >= 0 e49948: - b2132 + x11732 <= 0 e49949: b2132 - b2133 + x11733 >= 0 e49950: - b2133 + x11733 <= 0 e49951: b2133 - b2134 + x11734 >= 0 e49952: - b2134 + x11734 <= 0 e49953: b2134 - b2135 + x11735 >= 0 e49954: - b2135 + x11735 <= 0 e49955: b2135 - b2136 + x11736 >= 0 e49956: - b2136 + x11736 <= 0 e49957: b2136 - b2137 + x11737 >= 0 e49958: - b2137 + x11737 <= 0 e49959: x19254 = 0 e49960: - b2138 + x11738 + x19254 >= 0 e49961: - b2138 + x11738 <= 0 e49962: b2138 - b2139 + x11739 >= 0 e49963: - b2139 + x11739 <= 0 e49964: b2139 - b2140 + x11740 >= 0 e49965: - b2140 + x11740 <= 0 e49966: b2140 - b2141 + x11741 >= 0 e49967: - b2141 + x11741 <= 0 e49968: b2141 - b2142 + x11742 >= 0 e49969: - b2142 + x11742 <= 0 e49970: b2142 - b2143 + x11743 >= 0 e49971: - b2143 + x11743 <= 0 e49972: b2143 - b2144 + x11744 >= 0 e49973: - b2144 + x11744 <= 0 e49974: b2144 - b2145 + x11745 >= 0 e49975: - b2145 + x11745 <= 0 e49976: b2145 - b2146 + x11746 >= 0 e49977: - b2146 + x11746 <= 0 e49978: b2146 - b2147 + x11747 >= 0 e49979: - b2147 + x11747 <= 0 e49980: b2147 - b2148 + x11748 >= 0 e49981: - b2148 + x11748 <= 0 e49982: b2148 - b2149 + x11749 >= 0 e49983: - b2149 + x11749 <= 0 e49984: b2149 - b2150 + x11750 >= 0 e49985: - b2150 + x11750 <= 0 e49986: b2150 - b2151 + x11751 >= 0 e49987: - b2151 + x11751 <= 0 e49988: b2151 - b2152 + x11752 >= 0 e49989: - b2152 + x11752 <= 0 e49990: b2152 - b2153 + x11753 >= 0 e49991: - b2153 + x11753 <= 0 e49992: b2153 - b2154 + x11754 >= 0 e49993: - b2154 + x11754 <= 0 e49994: b2154 - b2155 + x11755 >= 0 e49995: - b2155 + x11755 <= 0 e49996: b2155 - b2156 + x11756 >= 0 e49997: - b2156 + x11756 <= 0 e49998: b2156 - b2157 + x11757 >= 0 e49999: - b2157 + x11757 <= 0 e50000: b2157 - b2158 + x11758 >= 0 e50001: - b2158 + x11758 <= 0 e50002: b2158 - b2159 + x11759 >= 0 e50003: - b2159 + x11759 <= 0 e50004: b2159 - b2160 + x11760 >= 0 e50005: - b2160 + x11760 <= 0 e50006: b2160 - b2161 + x11761 >= 0 e50007: - b2161 + x11761 <= 0 e50008: x19255 = 0 e50009: - b2162 + x11762 + x19255 >= 0 e50010: - b2162 + x11762 <= 0 e50011: b2162 - b2163 + x11763 >= 0 e50012: - b2163 + x11763 <= 0 e50013: b2163 - b2164 + x11764 >= 0 e50014: - b2164 + x11764 <= 0 e50015: b2164 - b2165 + x11765 >= 0 e50016: - b2165 + x11765 <= 0 e50017: b2165 - b2166 + x11766 >= 0 e50018: - b2166 + x11766 <= 0 e50019: b2166 - b2167 + x11767 >= 0 e50020: - b2167 + x11767 <= 0 e50021: b2167 - b2168 + x11768 >= 0 e50022: - b2168 + x11768 <= 0 e50023: b2168 - b2169 + x11769 >= 0 e50024: - b2169 + x11769 <= 0 e50025: b2169 - b2170 + x11770 >= 0 e50026: - b2170 + x11770 <= 0 e50027: b2170 - b2171 + x11771 >= 0 e50028: - b2171 + x11771 <= 0 e50029: b2171 - b2172 + x11772 >= 0 e50030: - b2172 + x11772 <= 0 e50031: b2172 - b2173 + x11773 >= 0 e50032: - b2173 + x11773 <= 0 e50033: b2173 - b2174 + x11774 >= 0 e50034: - b2174 + x11774 <= 0 e50035: b2174 - b2175 + x11775 >= 0 e50036: - b2175 + x11775 <= 0 e50037: b2175 - b2176 + x11776 >= 0 e50038: - b2176 + x11776 <= 0 e50039: b2176 - b2177 + x11777 >= 0 e50040: - b2177 + x11777 <= 0 e50041: b2177 - b2178 + x11778 >= 0 e50042: - b2178 + x11778 <= 0 e50043: b2178 - b2179 + x11779 >= 0 e50044: - b2179 + x11779 <= 0 e50045: b2179 - b2180 + x11780 >= 0 e50046: - b2180 + x11780 <= 0 e50047: b2180 - b2181 + x11781 >= 0 e50048: - b2181 + x11781 <= 0 e50049: b2181 - b2182 + x11782 >= 0 e50050: - b2182 + x11782 <= 0 e50051: b2182 - b2183 + x11783 >= 0 e50052: - b2183 + x11783 <= 0 e50053: b2183 - b2184 + x11784 >= 0 e50054: - b2184 + x11784 <= 0 e50055: b2184 - b2185 + x11785 >= 0 e50056: - b2185 + x11785 <= 0 e50057: x19256 = 1 e50058: - b2186 + x11786 + x19256 >= 0 e50059: - b2186 + x11786 <= 0 e50060: b2186 - b2187 + x11787 >= 0 e50061: - b2187 + x11787 <= 0 e50062: b2187 - b2188 + x11788 >= 0 e50063: - b2188 + x11788 <= 0 e50064: b2188 - b2189 + x11789 >= 0 e50065: - b2189 + x11789 <= 0 e50066: b2189 - b2190 + x11790 >= 0 e50067: - b2190 + x11790 <= 0 e50068: b2190 - b2191 + x11791 >= 0 e50069: - b2191 + x11791 <= 0 e50070: b2191 - b2192 + x11792 >= 0 e50071: - b2192 + x11792 <= 0 e50072: b2192 - b2193 + x11793 >= 0 e50073: - b2193 + x11793 <= 0 e50074: b2193 - b2194 + x11794 >= 0 e50075: - b2194 + x11794 <= 0 e50076: b2194 - b2195 + x11795 >= 0 e50077: - b2195 + x11795 <= 0 e50078: b2195 - b2196 + x11796 >= 0 e50079: - b2196 + x11796 <= 0 e50080: b2196 - b2197 + x11797 >= 0 e50081: - b2197 + x11797 <= 0 e50082: b2197 - b2198 + x11798 >= 0 e50083: - b2198 + x11798 <= 0 e50084: b2198 - b2199 + x11799 >= 0 e50085: - b2199 + x11799 <= 0 e50086: b2199 - b2200 + x11800 >= 0 e50087: - b2200 + x11800 <= 0 e50088: b2200 - b2201 + x11801 >= 0 e50089: - b2201 + x11801 <= 0 e50090: b2201 - b2202 + x11802 >= 0 e50091: - b2202 + x11802 <= 0 e50092: b2202 - b2203 + x11803 >= 0 e50093: - b2203 + x11803 <= 0 e50094: b2203 - b2204 + x11804 >= 0 e50095: - b2204 + x11804 <= 0 e50096: b2204 - b2205 + x11805 >= 0 e50097: - b2205 + x11805 <= 0 e50098: b2205 - b2206 + x11806 >= 0 e50099: - b2206 + x11806 <= 0 e50100: b2206 - b2207 + x11807 >= 0 e50101: - b2207 + x11807 <= 0 e50102: b2207 - b2208 + x11808 >= 0 e50103: - b2208 + x11808 <= 0 e50104: b2208 - b2209 + x11809 >= 0 e50105: - b2209 + x11809 <= 0 e50106: b2210 = 1 e50107: b2210 = 1 e50108: b2210 - b2211 + x11811 >= 0 e50109: - b2211 + x11811 <= 0 e50110: b2211 - b2212 + x11812 >= 0 e50111: - b2212 + x11812 <= 0 e50112: b2212 - b2213 + x11813 >= 0 e50113: - b2213 + x11813 <= 0 e50114: b2213 - b2214 + x11814 >= 0 e50115: - b2214 + x11814 <= 0 e50116: b2214 - b2215 + x11815 >= 0 e50117: - b2215 + x11815 <= 0 e50118: b2215 - b2216 + x11816 >= 0 e50119: - b2216 + x11816 <= 0 e50120: b2216 - b2217 + x11817 >= 0 e50121: - b2217 + x11817 <= 0 e50122: b2217 - b2218 + x11818 >= 0 e50123: - b2218 + x11818 <= 0 e50124: b2218 - b2219 + x11819 >= 0 e50125: - b2219 + x11819 <= 0 e50126: b2219 - b2220 + x11820 >= 0 e50127: - b2220 + x11820 <= 0 e50128: b2220 - b2221 + x11821 >= 0 e50129: - b2221 + x11821 <= 0 e50130: b2221 - b2222 + x11822 >= 0 e50131: - b2222 + x11822 <= 0 e50132: b2222 - b2223 + x11823 >= 0 e50133: - b2223 + x11823 <= 0 e50134: b2223 - b2224 + x11824 >= 0 e50135: - b2224 + x11824 <= 0 e50136: b2224 - b2225 + x11825 >= 0 e50137: - b2225 + x11825 <= 0 e50138: b2225 - b2226 + x11826 >= 0 e50139: - b2226 + x11826 <= 0 e50140: b2226 - b2227 + x11827 >= 0 e50141: - b2227 + x11827 <= 0 e50142: b2227 - b2228 + x11828 >= 0 e50143: - b2228 + x11828 <= 0 e50144: b2228 - b2229 + x11829 >= 0 e50145: - b2229 + x11829 <= 0 e50146: b2229 - b2230 + x11830 >= 0 e50147: - b2230 + x11830 <= 0 e50148: b2230 - b2231 + x11831 >= 0 e50149: - b2231 + x11831 <= 0 e50150: b2231 - b2232 + x11832 >= 0 e50151: - b2232 + x11832 <= 0 e50152: b2232 - b2233 + x11833 >= 0 e50153: - b2233 + x11833 <= 0 e50154: b2235 = 1 e50155: b2234 = 1 e50156: b2235 = 1 e50157: b2235 - b2236 + x11836 >= 0 e50158: - b2236 + x11836 <= 0 e50159: b2236 - b2237 + x11837 >= 0 e50160: - b2237 + x11837 <= 0 e50161: b2237 - b2238 + x11838 >= 0 e50162: - b2238 + x11838 <= 0 e50163: b2238 - b2239 + x11839 >= 0 e50164: - b2239 + x11839 <= 0 e50165: b2239 - b2240 + x11840 >= 0 e50166: - b2240 + x11840 <= 0 e50167: b2240 - b2241 + x11841 >= 0 e50168: - b2241 + x11841 <= 0 e50169: b2241 - b2242 + x11842 >= 0 e50170: - b2242 + x11842 <= 0 e50171: b2242 - b2243 + x11843 >= 0 e50172: - b2243 + x11843 <= 0 e50173: b2243 - b2244 + x11844 >= 0 e50174: - b2244 + x11844 <= 0 e50175: b2244 - b2245 + x11845 >= 0 e50176: - b2245 + x11845 <= 0 e50177: b2245 - b2246 + x11846 >= 0 e50178: - b2246 + x11846 <= 0 e50179: b2246 - b2247 + x11847 >= 0 e50180: - b2247 + x11847 <= 0 e50181: b2247 - b2248 + x11848 >= 0 e50182: - b2248 + x11848 <= 0 e50183: b2248 - b2249 + x11849 >= 0 e50184: - b2249 + x11849 <= 0 e50185: b2249 - b2250 + x11850 >= 0 e50186: - b2250 + x11850 <= 0 e50187: b2250 - b2251 + x11851 >= 0 e50188: - b2251 + x11851 <= 0 e50189: b2251 - b2252 + x11852 >= 0 e50190: - b2252 + x11852 <= 0 e50191: b2252 - b2253 + x11853 >= 0 e50192: - b2253 + x11853 <= 0 e50193: b2253 - b2254 + x11854 >= 0 e50194: - b2254 + x11854 <= 0 e50195: b2254 - b2255 + x11855 >= 0 e50196: - b2255 + x11855 <= 0 e50197: b2255 - b2256 + x11856 >= 0 e50198: - b2256 + x11856 <= 0 e50199: b2256 - b2257 + x11857 >= 0 e50200: - b2257 + x11857 <= 0 e50201: x19257 = 0 e50202: - b2258 + x11858 + x19257 >= 0 e50203: - b2258 + x11858 <= 0 e50204: b2258 - b2259 + x11859 >= 0 e50205: - b2259 + x11859 <= 0 e50206: b2259 - b2260 + x11860 >= 0 e50207: - b2260 + x11860 <= 0 e50208: b2260 - b2261 + x11861 >= 0 e50209: - b2261 + x11861 <= 0 e50210: b2261 - b2262 + x11862 >= 0 e50211: - b2262 + x11862 <= 0 e50212: b2262 - b2263 + x11863 >= 0 e50213: - b2263 + x11863 <= 0 e50214: b2263 - b2264 + x11864 >= 0 e50215: - b2264 + x11864 <= 0 e50216: b2264 - b2265 + x11865 >= 0 e50217: - b2265 + x11865 <= 0 e50218: b2265 - b2266 + x11866 >= 0 e50219: - b2266 + x11866 <= 0 e50220: b2266 - b2267 + x11867 >= 0 e50221: - b2267 + x11867 <= 0 e50222: b2267 - b2268 + x11868 >= 0 e50223: - b2268 + x11868 <= 0 e50224: b2268 - b2269 + x11869 >= 0 e50225: - b2269 + x11869 <= 0 e50226: b2269 - b2270 + x11870 >= 0 e50227: - b2270 + x11870 <= 0 e50228: b2270 - b2271 + x11871 >= 0 e50229: - b2271 + x11871 <= 0 e50230: b2271 - b2272 + x11872 >= 0 e50231: - b2272 + x11872 <= 0 e50232: b2272 - b2273 + x11873 >= 0 e50233: - b2273 + x11873 <= 0 e50234: b2273 - b2274 + x11874 >= 0 e50235: - b2274 + x11874 <= 0 e50236: b2274 - b2275 + x11875 >= 0 e50237: - b2275 + x11875 <= 0 e50238: b2275 - b2276 + x11876 >= 0 e50239: - b2276 + x11876 <= 0 e50240: b2276 - b2277 + x11877 >= 0 e50241: - b2277 + x11877 <= 0 e50242: b2277 - b2278 + x11878 >= 0 e50243: - b2278 + x11878 <= 0 e50244: b2278 - b2279 + x11879 >= 0 e50245: - b2279 + x11879 <= 0 e50246: b2279 - b2280 + x11880 >= 0 e50247: - b2280 + x11880 <= 0 e50248: b2280 - b2281 + x11881 >= 0 e50249: - b2281 + x11881 <= 0 e50250: b2282 = 1 e50251: b2282 = 1 e50252: b2282 - b2283 + x11883 >= 0 e50253: - b2283 + x11883 <= 0 e50254: b2283 - b2284 + x11884 >= 0 e50255: - b2284 + x11884 <= 0 e50256: b2284 - b2285 + x11885 >= 0 e50257: - b2285 + x11885 <= 0 e50258: b2285 - b2286 + x11886 >= 0 e50259: - b2286 + x11886 <= 0 e50260: b2286 - b2287 + x11887 >= 0 e50261: - b2287 + x11887 <= 0 e50262: b2287 - b2288 + x11888 >= 0 e50263: - b2288 + x11888 <= 0 e50264: b2288 - b2289 + x11889 >= 0 e50265: - b2289 + x11889 <= 0 e50266: b2289 - b2290 + x11890 >= 0 e50267: - b2290 + x11890 <= 0 e50268: b2290 - b2291 + x11891 >= 0 e50269: - b2291 + x11891 <= 0 e50270: b2291 - b2292 + x11892 >= 0 e50271: - b2292 + x11892 <= 0 e50272: b2292 - b2293 + x11893 >= 0 e50273: - b2293 + x11893 <= 0 e50274: b2293 - b2294 + x11894 >= 0 e50275: - b2294 + x11894 <= 0 e50276: b2294 - b2295 + x11895 >= 0 e50277: - b2295 + x11895 <= 0 e50278: b2295 - b2296 + x11896 >= 0 e50279: - b2296 + x11896 <= 0 e50280: b2296 - b2297 + x11897 >= 0 e50281: - b2297 + x11897 <= 0 e50282: b2297 - b2298 + x11898 >= 0 e50283: - b2298 + x11898 <= 0 e50284: b2298 - b2299 + x11899 >= 0 e50285: - b2299 + x11899 <= 0 e50286: b2299 - b2300 + x11900 >= 0 e50287: - b2300 + x11900 <= 0 e50288: b2300 - b2301 + x11901 >= 0 e50289: - b2301 + x11901 <= 0 e50290: b2301 - b2302 + x11902 >= 0 e50291: - b2302 + x11902 <= 0 e50292: b2302 - b2303 + x11903 >= 0 e50293: - b2303 + x11903 <= 0 e50294: b2303 - b2304 + x11904 >= 0 e50295: - b2304 + x11904 <= 0 e50296: b2304 - b2305 + x11905 >= 0 e50297: - b2305 + x11905 <= 0 e50298: x19314 = 0 e50299: - b2306 + x11906 + x19314 >= 0 e50300: - b2306 + x11906 <= 0 e50301: b2306 - b2307 + x11907 >= 0 e50302: - b2307 + x11907 <= 0 e50303: b2307 - b2308 + x11908 >= 0 e50304: - b2308 + x11908 <= 0 e50305: b2308 - b2309 + x11909 >= 0 e50306: - b2309 + x11909 <= 0 e50307: b2309 - b2310 + x11910 >= 0 e50308: - b2310 + x11910 <= 0 e50309: b2310 - b2311 + x11911 >= 0 e50310: - b2311 + x11911 <= 0 e50311: b2311 - b2312 + x11912 >= 0 e50312: - b2312 + x11912 <= 0 e50313: b2312 - b2313 + x11913 >= 0 e50314: - b2313 + x11913 <= 0 e50315: b2313 - b2314 + x11914 >= 0 e50316: - b2314 + x11914 <= 0 e50317: b2314 - b2315 + x11915 >= 0 e50318: - b2315 + x11915 <= 0 e50319: b2315 - b2316 + x11916 >= 0 e50320: - b2316 + x11916 <= 0 e50321: b2316 - b2317 + x11917 >= 0 e50322: - b2317 + x11917 <= 0 e50323: b2317 - b2318 + x11918 >= 0 e50324: - b2318 + x11918 <= 0 e50325: b2318 - b2319 + x11919 >= 0 e50326: - b2319 + x11919 <= 0 e50327: b2319 - b2320 + x11920 >= 0 e50328: - b2320 + x11920 <= 0 e50329: b2320 - b2321 + x11921 >= 0 e50330: - b2321 + x11921 <= 0 e50331: b2321 - b2322 + x11922 >= 0 e50332: - b2322 + x11922 <= 0 e50333: b2322 - b2323 + x11923 >= 0 e50334: - b2323 + x11923 <= 0 e50335: b2323 - b2324 + x11924 >= 0 e50336: - b2324 + x11924 <= 0 e50337: b2324 - b2325 + x11925 >= 0 e50338: - b2325 + x11925 <= 0 e50339: b2325 - b2326 + x11926 >= 0 e50340: - b2326 + x11926 <= 0 e50341: b2326 - b2327 + x11927 >= 0 e50342: - b2327 + x11927 <= 0 e50343: b2327 - b2328 + x11928 >= 0 e50344: - b2328 + x11928 <= 0 e50345: b2328 - b2329 + x11929 >= 0 e50346: - b2329 + x11929 <= 0 e50347: b2331 = 1 e50348: b2330 = 1 e50349: b2331 = 1 e50350: b2331 - b2332 + x11932 >= 0 e50351: - b2332 + x11932 <= 0 e50352: b2332 - b2333 + x11933 >= 0 e50353: - b2333 + x11933 <= 0 e50354: b2333 - b2334 + x11934 >= 0 e50355: - b2334 + x11934 <= 0 e50356: b2334 - b2335 + x11935 >= 0 e50357: - b2335 + x11935 <= 0 e50358: b2335 - b2336 + x11936 >= 0 e50359: - b2336 + x11936 <= 0 e50360: b2336 - b2337 + x11937 >= 0 e50361: - b2337 + x11937 <= 0 e50362: b2337 - b2338 + x11938 >= 0 e50363: - b2338 + x11938 <= 0 e50364: b2338 - b2339 + x11939 >= 0 e50365: - b2339 + x11939 <= 0 e50366: b2339 - b2340 + x11940 >= 0 e50367: - b2340 + x11940 <= 0 e50368: b2340 - b2341 + x11941 >= 0 e50369: - b2341 + x11941 <= 0 e50370: b2341 - b2342 + x11942 >= 0 e50371: - b2342 + x11942 <= 0 e50372: b2342 - b2343 + x11943 >= 0 e50373: - b2343 + x11943 <= 0 e50374: b2343 - b2344 + x11944 >= 0 e50375: - b2344 + x11944 <= 0 e50376: b2344 - b2345 + x11945 >= 0 e50377: - b2345 + x11945 <= 0 e50378: b2345 - b2346 + x11946 >= 0 e50379: - b2346 + x11946 <= 0 e50380: b2346 - b2347 + x11947 >= 0 e50381: - b2347 + x11947 <= 0 e50382: b2347 - b2348 + x11948 >= 0 e50383: - b2348 + x11948 <= 0 e50384: b2348 - b2349 + x11949 >= 0 e50385: - b2349 + x11949 <= 0 e50386: b2349 - b2350 + x11950 >= 0 e50387: - b2350 + x11950 <= 0 e50388: b2350 - b2351 + x11951 >= 0 e50389: - b2351 + x11951 <= 0 e50390: b2351 - b2352 + x11952 >= 0 e50391: - b2352 + x11952 <= 0 e50392: b2352 - b2353 + x11953 >= 0 e50393: - b2353 + x11953 <= 0 e50394: x19258 = 1 e50395: - b2354 + x11954 + x19258 >= 0 e50396: - b2354 + x11954 <= 0 e50397: b2354 - b2355 + x11955 >= 0 e50398: - b2355 + x11955 <= 0 e50399: b2355 - b2356 + x11956 >= 0 e50400: - b2356 + x11956 <= 0 e50401: b2356 - b2357 + x11957 >= 0 e50402: - b2357 + x11957 <= 0 e50403: b2357 - b2358 + x11958 >= 0 e50404: - b2358 + x11958 <= 0 e50405: b2358 - b2359 + x11959 >= 0 e50406: - b2359 + x11959 <= 0 e50407: b2359 - b2360 + x11960 >= 0 e50408: - b2360 + x11960 <= 0 e50409: b2360 - b2361 + x11961 >= 0 e50410: - b2361 + x11961 <= 0 e50411: b2361 - b2362 + x11962 >= 0 e50412: - b2362 + x11962 <= 0 e50413: b2362 - b2363 + x11963 >= 0 e50414: - b2363 + x11963 <= 0 e50415: b2363 - b2364 + x11964 >= 0 e50416: - b2364 + x11964 <= 0 e50417: b2364 - b2365 + x11965 >= 0 e50418: - b2365 + x11965 <= 0 e50419: b2365 - b2366 + x11966 >= 0 e50420: - b2366 + x11966 <= 0 e50421: b2366 - b2367 + x11967 >= 0 e50422: - b2367 + x11967 <= 0 e50423: b2367 - b2368 + x11968 >= 0 e50424: - b2368 + x11968 <= 0 e50425: b2368 - b2369 + x11969 >= 0 e50426: - b2369 + x11969 <= 0 e50427: b2369 - b2370 + x11970 >= 0 e50428: - b2370 + x11970 <= 0 e50429: b2370 - b2371 + x11971 >= 0 e50430: - b2371 + x11971 <= 0 e50431: b2371 - b2372 + x11972 >= 0 e50432: - b2372 + x11972 <= 0 e50433: b2372 - b2373 + x11973 >= 0 e50434: - b2373 + x11973 <= 0 e50435: b2373 - b2374 + x11974 >= 0 e50436: - b2374 + x11974 <= 0 e50437: b2374 - b2375 + x11975 >= 0 e50438: - b2375 + x11975 <= 0 e50439: b2375 - b2376 + x11976 >= 0 e50440: - b2376 + x11976 <= 0 e50441: b2376 - b2377 + x11977 >= 0 e50442: - b2377 + x11977 <= 0 e50443: x19259 = 0 e50444: - b2378 + x11978 + x19259 >= 0 e50445: - b2378 + x11978 <= 0 e50446: b2378 - b2379 + x11979 >= 0 e50447: - b2379 + x11979 <= 0 e50448: b2379 - b2380 + x11980 >= 0 e50449: - b2380 + x11980 <= 0 e50450: b2380 - b2381 + x11981 >= 0 e50451: - b2381 + x11981 <= 0 e50452: b2381 - b2382 + x11982 >= 0 e50453: - b2382 + x11982 <= 0 e50454: b2382 - b2383 + x11983 >= 0 e50455: - b2383 + x11983 <= 0 e50456: b2383 - b2384 + x11984 >= 0 e50457: - b2384 + x11984 <= 0 e50458: b2384 - b2385 + x11985 >= 0 e50459: - b2385 + x11985 <= 0 e50460: b2385 - b2386 + x11986 >= 0 e50461: - b2386 + x11986 <= 0 e50462: b2386 - b2387 + x11987 >= 0 e50463: - b2387 + x11987 <= 0 e50464: b2387 - b2388 + x11988 >= 0 e50465: - b2388 + x11988 <= 0 e50466: b2388 - b2389 + x11989 >= 0 e50467: - b2389 + x11989 <= 0 e50468: b2389 - b2390 + x11990 >= 0 e50469: - b2390 + x11990 <= 0 e50470: b2390 - b2391 + x11991 >= 0 e50471: - b2391 + x11991 <= 0 e50472: b2391 - b2392 + x11992 >= 0 e50473: - b2392 + x11992 <= 0 e50474: b2392 - b2393 + x11993 >= 0 e50475: - b2393 + x11993 <= 0 e50476: b2393 - b2394 + x11994 >= 0 e50477: - b2394 + x11994 <= 0 e50478: b2394 - b2395 + x11995 >= 0 e50479: - b2395 + x11995 <= 0 e50480: b2395 - b2396 + x11996 >= 0 e50481: - b2396 + x11996 <= 0 e50482: b2396 - b2397 + x11997 >= 0 e50483: - b2397 + x11997 <= 0 e50484: b2397 - b2398 + x11998 >= 0 e50485: - b2398 + x11998 <= 0 e50486: b2398 - b2399 + x11999 >= 0 e50487: - b2399 + x11999 <= 0 e50488: b2399 - b2400 + x12000 >= 0 e50489: - b2400 + x12000 <= 0 e50490: b2400 - b2401 + x12001 >= 0 e50491: - b2401 + x12001 <= 0 e50492: x19260 = 1 e50493: - b2402 + x12002 + x19260 >= 0 e50494: - b2402 + x12002 <= 0 e50495: b2402 - b2403 + x12003 >= 0 e50496: - b2403 + x12003 <= 0 e50497: b2403 - b2404 + x12004 >= 0 e50498: - b2404 + x12004 <= 0 e50499: b2404 - b2405 + x12005 >= 0 e50500: - b2405 + x12005 <= 0 e50501: b2405 - b2406 + x12006 >= 0 e50502: - b2406 + x12006 <= 0 e50503: b2406 - b2407 + x12007 >= 0 e50504: - b2407 + x12007 <= 0 e50505: b2407 - b2408 + x12008 >= 0 e50506: - b2408 + x12008 <= 0 e50507: b2408 - b2409 + x12009 >= 0 e50508: - b2409 + x12009 <= 0 e50509: b2409 - b2410 + x12010 >= 0 e50510: - b2410 + x12010 <= 0 e50511: b2410 - b2411 + x12011 >= 0 e50512: - b2411 + x12011 <= 0 e50513: b2411 - b2412 + x12012 >= 0 e50514: - b2412 + x12012 <= 0 e50515: b2412 - b2413 + x12013 >= 0 e50516: - b2413 + x12013 <= 0 e50517: b2413 - b2414 + x12014 >= 0 e50518: - b2414 + x12014 <= 0 e50519: b2414 - b2415 + x12015 >= 0 e50520: - b2415 + x12015 <= 0 e50521: b2415 - b2416 + x12016 >= 0 e50522: - b2416 + x12016 <= 0 e50523: b2416 - b2417 + x12017 >= 0 e50524: - b2417 + x12017 <= 0 e50525: b2417 - b2418 + x12018 >= 0 e50526: - b2418 + x12018 <= 0 e50527: b2418 - b2419 + x12019 >= 0 e50528: - b2419 + x12019 <= 0 e50529: b2419 - b2420 + x12020 >= 0 e50530: - b2420 + x12020 <= 0 e50531: b2420 - b2421 + x12021 >= 0 e50532: - b2421 + x12021 <= 0 e50533: b2421 - b2422 + x12022 >= 0 e50534: - b2422 + x12022 <= 0 e50535: b2422 - b2423 + x12023 >= 0 e50536: - b2423 + x12023 <= 0 e50537: b2423 - b2424 + x12024 >= 0 e50538: - b2424 + x12024 <= 0 e50539: b2424 - b2425 + x12025 >= 0 e50540: - b2425 + x12025 <= 0 e50541: b2427 = 1 e50542: b2426 = 1 e50543: b2427 = 1 e50544: b2427 - b2428 + x12028 >= 0 e50545: - b2428 + x12028 <= 0 e50546: b2428 - b2429 + x12029 >= 0 e50547: - b2429 + x12029 <= 0 e50548: b2429 - b2430 + x12030 >= 0 e50549: - b2430 + x12030 <= 0 e50550: b2430 - b2431 + x12031 >= 0 e50551: - b2431 + x12031 <= 0 e50552: b2431 - b2432 + x12032 >= 0 e50553: - b2432 + x12032 <= 0 e50554: b2432 - b2433 + x12033 >= 0 e50555: - b2433 + x12033 <= 0 e50556: b2433 - b2434 + x12034 >= 0 e50557: - b2434 + x12034 <= 0 e50558: b2434 - b2435 + x12035 >= 0 e50559: - b2435 + x12035 <= 0 e50560: b2435 - b2436 + x12036 >= 0 e50561: - b2436 + x12036 <= 0 e50562: b2436 - b2437 + x12037 >= 0 e50563: - b2437 + x12037 <= 0 e50564: b2437 - b2438 + x12038 >= 0 e50565: - b2438 + x12038 <= 0 e50566: b2438 - b2439 + x12039 >= 0 e50567: - b2439 + x12039 <= 0 e50568: b2439 - b2440 + x12040 >= 0 e50569: - b2440 + x12040 <= 0 e50570: b2440 - b2441 + x12041 >= 0 e50571: - b2441 + x12041 <= 0 e50572: b2441 - b2442 + x12042 >= 0 e50573: - b2442 + x12042 <= 0 e50574: b2442 - b2443 + x12043 >= 0 e50575: - b2443 + x12043 <= 0 e50576: b2443 - b2444 + x12044 >= 0 e50577: - b2444 + x12044 <= 0 e50578: b2444 - b2445 + x12045 >= 0 e50579: - b2445 + x12045 <= 0 e50580: b2445 - b2446 + x12046 >= 0 e50581: - b2446 + x12046 <= 0 e50582: b2446 - b2447 + x12047 >= 0 e50583: - b2447 + x12047 <= 0 e50584: b2447 - b2448 + x12048 >= 0 e50585: - b2448 + x12048 <= 0 e50586: b2448 - b2449 + x12049 >= 0 e50587: - b2449 + x12049 <= 0 e50588: x19315 = 0 e50589: - b2450 + x12050 + x19315 >= 0 e50590: - b2450 + x12050 <= 0 e50591: b2450 - b2451 + x12051 >= 0 e50592: - b2451 + x12051 <= 0 e50593: b2451 - b2452 + x12052 >= 0 e50594: - b2452 + x12052 <= 0 e50595: b2452 - b2453 + x12053 >= 0 e50596: - b2453 + x12053 <= 0 e50597: b2453 - b2454 + x12054 >= 0 e50598: - b2454 + x12054 <= 0 e50599: b2454 - b2455 + x12055 >= 0 e50600: - b2455 + x12055 <= 0 e50601: b2455 - b2456 + x12056 >= 0 e50602: - b2456 + x12056 <= 0 e50603: b2456 - b2457 + x12057 >= 0 e50604: - b2457 + x12057 <= 0 e50605: b2457 - b2458 + x12058 >= 0 e50606: - b2458 + x12058 <= 0 e50607: b2458 - b2459 + x12059 >= 0 e50608: - b2459 + x12059 <= 0 e50609: b2459 - b2460 + x12060 >= 0 e50610: - b2460 + x12060 <= 0 e50611: b2460 - b2461 + x12061 >= 0 e50612: - b2461 + x12061 <= 0 e50613: b2461 - b2462 + x12062 >= 0 e50614: - b2462 + x12062 <= 0 e50615: b2462 - b2463 + x12063 >= 0 e50616: - b2463 + x12063 <= 0 e50617: b2463 - b2464 + x12064 >= 0 e50618: - b2464 + x12064 <= 0 e50619: b2464 - b2465 + x12065 >= 0 e50620: - b2465 + x12065 <= 0 e50621: b2465 - b2466 + x12066 >= 0 e50622: - b2466 + x12066 <= 0 e50623: b2466 - b2467 + x12067 >= 0 e50624: - b2467 + x12067 <= 0 e50625: b2467 - b2468 + x12068 >= 0 e50626: - b2468 + x12068 <= 0 e50627: b2468 - b2469 + x12069 >= 0 e50628: - b2469 + x12069 <= 0 e50629: b2469 - b2470 + x12070 >= 0 e50630: - b2470 + x12070 <= 0 e50631: b2470 - b2471 + x12071 >= 0 e50632: - b2471 + x12071 <= 0 e50633: b2471 - b2472 + x12072 >= 0 e50634: - b2472 + x12072 <= 0 e50635: b2472 - b2473 + x12073 >= 0 e50636: - b2473 + x12073 <= 0 e50637: b2475 = 1 e50638: b2474 = 1 e50639: b2475 = 1 e50640: b2475 - b2476 + x12076 >= 0 e50641: - b2476 + x12076 <= 0 e50642: b2476 - b2477 + x12077 >= 0 e50643: - b2477 + x12077 <= 0 e50644: b2477 - b2478 + x12078 >= 0 e50645: - b2478 + x12078 <= 0 e50646: b2478 - b2479 + x12079 >= 0 e50647: - b2479 + x12079 <= 0 e50648: b2479 - b2480 + x12080 >= 0 e50649: - b2480 + x12080 <= 0 e50650: b2480 - b2481 + x12081 >= 0 e50651: - b2481 + x12081 <= 0 e50652: b2481 - b2482 + x12082 >= 0 e50653: - b2482 + x12082 <= 0 e50654: b2482 - b2483 + x12083 >= 0 e50655: - b2483 + x12083 <= 0 e50656: b2483 - b2484 + x12084 >= 0 e50657: - b2484 + x12084 <= 0 e50658: b2484 - b2485 + x12085 >= 0 e50659: - b2485 + x12085 <= 0 e50660: b2485 - b2486 + x12086 >= 0 e50661: - b2486 + x12086 <= 0 e50662: b2486 - b2487 + x12087 >= 0 e50663: - b2487 + x12087 <= 0 e50664: b2487 - b2488 + x12088 >= 0 e50665: - b2488 + x12088 <= 0 e50666: b2488 - b2489 + x12089 >= 0 e50667: - b2489 + x12089 <= 0 e50668: b2489 - b2490 + x12090 >= 0 e50669: - b2490 + x12090 <= 0 e50670: b2490 - b2491 + x12091 >= 0 e50671: - b2491 + x12091 <= 0 e50672: b2491 - b2492 + x12092 >= 0 e50673: - b2492 + x12092 <= 0 e50674: b2492 - b2493 + x12093 >= 0 e50675: - b2493 + x12093 <= 0 e50676: b2493 - b2494 + x12094 >= 0 e50677: - b2494 + x12094 <= 0 e50678: b2494 - b2495 + x12095 >= 0 e50679: - b2495 + x12095 <= 0 e50680: b2495 - b2496 + x12096 >= 0 e50681: - b2496 + x12096 <= 0 e50682: b2496 - b2497 + x12097 >= 0 e50683: - b2497 + x12097 <= 0 e50684: x19261 = 0 e50685: - b2498 + x12098 + x19261 >= 0 e50686: - b2498 + x12098 <= 0 e50687: b2498 - b2499 + x12099 >= 0 e50688: - b2499 + x12099 <= 0 e50689: b2499 - b2500 + x12100 >= 0 e50690: - b2500 + x12100 <= 0 e50691: b2500 - b2501 + x12101 >= 0 e50692: - b2501 + x12101 <= 0 e50693: b2501 - b2502 + x12102 >= 0 e50694: - b2502 + x12102 <= 0 e50695: b2502 - b2503 + x12103 >= 0 e50696: - b2503 + x12103 <= 0 e50697: b2503 - b2504 + x12104 >= 0 e50698: - b2504 + x12104 <= 0 e50699: b2504 - b2505 + x12105 >= 0 e50700: - b2505 + x12105 <= 0 e50701: b2505 - b2506 + x12106 >= 0 e50702: - b2506 + x12106 <= 0 e50703: b2506 - b2507 + x12107 >= 0 e50704: - b2507 + x12107 <= 0 e50705: b2507 - b2508 + x12108 >= 0 e50706: - b2508 + x12108 <= 0 e50707: b2508 - b2509 + x12109 >= 0 e50708: - b2509 + x12109 <= 0 e50709: b2509 - b2510 + x12110 >= 0 e50710: - b2510 + x12110 <= 0 e50711: b2510 - b2511 + x12111 >= 0 e50712: - b2511 + x12111 <= 0 e50713: b2511 - b2512 + x12112 >= 0 e50714: - b2512 + x12112 <= 0 e50715: b2512 - b2513 + x12113 >= 0 e50716: - b2513 + x12113 <= 0 e50717: b2513 - b2514 + x12114 >= 0 e50718: - b2514 + x12114 <= 0 e50719: b2514 - b2515 + x12115 >= 0 e50720: - b2515 + x12115 <= 0 e50721: b2515 - b2516 + x12116 >= 0 e50722: - b2516 + x12116 <= 0 e50723: b2516 - b2517 + x12117 >= 0 e50724: - b2517 + x12117 <= 0 e50725: b2517 - b2518 + x12118 >= 0 e50726: - b2518 + x12118 <= 0 e50727: b2518 - b2519 + x12119 >= 0 e50728: - b2519 + x12119 <= 0 e50729: b2519 - b2520 + x12120 >= 0 e50730: - b2520 + x12120 <= 0 e50731: b2520 - b2521 + x12121 >= 0 e50732: - b2521 + x12121 <= 0 e50733: x19262 = 1 e50734: - b2522 + x12122 + x19262 >= 0 e50735: - b2522 + x12122 <= 0 e50736: b2522 - b2523 + x12123 >= 0 e50737: - b2523 + x12123 <= 0 e50738: b2523 - b2524 + x12124 >= 0 e50739: - b2524 + x12124 <= 0 e50740: b2524 - b2525 + x12125 >= 0 e50741: - b2525 + x12125 <= 0 e50742: b2525 - b2526 + x12126 >= 0 e50743: - b2526 + x12126 <= 0 e50744: b2526 - b2527 + x12127 >= 0 e50745: - b2527 + x12127 <= 0 e50746: b2527 - b2528 + x12128 >= 0 e50747: - b2528 + x12128 <= 0 e50748: b2528 - b2529 + x12129 >= 0 e50749: - b2529 + x12129 <= 0 e50750: b2529 - b2530 + x12130 >= 0 e50751: - b2530 + x12130 <= 0 e50752: b2530 - b2531 + x12131 >= 0 e50753: - b2531 + x12131 <= 0 e50754: b2531 - b2532 + x12132 >= 0 e50755: - b2532 + x12132 <= 0 e50756: b2532 - b2533 + x12133 >= 0 e50757: - b2533 + x12133 <= 0 e50758: b2533 - b2534 + x12134 >= 0 e50759: - b2534 + x12134 <= 0 e50760: b2534 - b2535 + x12135 >= 0 e50761: - b2535 + x12135 <= 0 e50762: b2535 - b2536 + x12136 >= 0 e50763: - b2536 + x12136 <= 0 e50764: b2536 - b2537 + x12137 >= 0 e50765: - b2537 + x12137 <= 0 e50766: b2537 - b2538 + x12138 >= 0 e50767: - b2538 + x12138 <= 0 e50768: b2538 - b2539 + x12139 >= 0 e50769: - b2539 + x12139 <= 0 e50770: b2539 - b2540 + x12140 >= 0 e50771: - b2540 + x12140 <= 0 e50772: b2540 - b2541 + x12141 >= 0 e50773: - b2541 + x12141 <= 0 e50774: b2541 - b2542 + x12142 >= 0 e50775: - b2542 + x12142 <= 0 e50776: b2542 - b2543 + x12143 >= 0 e50777: - b2543 + x12143 <= 0 e50778: b2543 - b2544 + x12144 >= 0 e50779: - b2544 + x12144 <= 0 e50780: b2544 - b2545 + x12145 >= 0 e50781: - b2545 + x12145 <= 0 e50782: x19316 = 0 e50783: - b2546 + x12146 + x19316 >= 0 e50784: - b2546 + x12146 <= 0 e50785: b2546 - b2547 + x12147 >= 0 e50786: - b2547 + x12147 <= 0 e50787: b2547 - b2548 + x12148 >= 0 e50788: - b2548 + x12148 <= 0 e50789: b2548 - b2549 + x12149 >= 0 e50790: - b2549 + x12149 <= 0 e50791: b2549 - b2550 + x12150 >= 0 e50792: - b2550 + x12150 <= 0 e50793: b2550 - b2551 + x12151 >= 0 e50794: - b2551 + x12151 <= 0 e50795: b2551 - b2552 + x12152 >= 0 e50796: - b2552 + x12152 <= 0 e50797: b2552 - b2553 + x12153 >= 0 e50798: - b2553 + x12153 <= 0 e50799: b2553 - b2554 + x12154 >= 0 e50800: - b2554 + x12154 <= 0 e50801: b2554 - b2555 + x12155 >= 0 e50802: - b2555 + x12155 <= 0 e50803: b2555 - b2556 + x12156 >= 0 e50804: - b2556 + x12156 <= 0 e50805: b2556 - b2557 + x12157 >= 0 e50806: - b2557 + x12157 <= 0 e50807: b2557 - b2558 + x12158 >= 0 e50808: - b2558 + x12158 <= 0 e50809: b2558 - b2559 + x12159 >= 0 e50810: - b2559 + x12159 <= 0 e50811: b2559 - b2560 + x12160 >= 0 e50812: - b2560 + x12160 <= 0 e50813: b2560 - b2561 + x12161 >= 0 e50814: - b2561 + x12161 <= 0 e50815: b2561 - b2562 + x12162 >= 0 e50816: - b2562 + x12162 <= 0 e50817: b2562 - b2563 + x12163 >= 0 e50818: - b2563 + x12163 <= 0 e50819: b2563 - b2564 + x12164 >= 0 e50820: - b2564 + x12164 <= 0 e50821: b2564 - b2565 + x12165 >= 0 e50822: - b2565 + x12165 <= 0 e50823: b2565 - b2566 + x12166 >= 0 e50824: - b2566 + x12166 <= 0 e50825: b2566 - b2567 + x12167 >= 0 e50826: - b2567 + x12167 <= 0 e50827: b2567 - b2568 + x12168 >= 0 e50828: - b2568 + x12168 <= 0 e50829: b2568 - b2569 + x12169 >= 0 e50830: - b2569 + x12169 <= 0 e50831: x19317 = 0 e50832: - b2570 + x12170 + x19317 >= 0 e50833: - b2570 + x12170 <= 0 e50834: b2570 - b2571 + x12171 >= 0 e50835: - b2571 + x12171 <= 0 e50836: b2571 - b2572 + x12172 >= 0 e50837: - b2572 + x12172 <= 0 e50838: b2572 - b2573 + x12173 >= 0 e50839: - b2573 + x12173 <= 0 e50840: b2573 - b2574 + x12174 >= 0 e50841: - b2574 + x12174 <= 0 e50842: b2574 - b2575 + x12175 >= 0 e50843: - b2575 + x12175 <= 0 e50844: b2575 - b2576 + x12176 >= 0 e50845: - b2576 + x12176 <= 0 e50846: b2576 - b2577 + x12177 >= 0 e50847: - b2577 + x12177 <= 0 e50848: b2577 - b2578 + x12178 >= 0 e50849: - b2578 + x12178 <= 0 e50850: b2578 - b2579 + x12179 >= 0 e50851: - b2579 + x12179 <= 0 e50852: b2579 - b2580 + x12180 >= 0 e50853: - b2580 + x12180 <= 0 e50854: b2580 - b2581 + x12181 >= 0 e50855: - b2581 + x12181 <= 0 e50856: b2581 - b2582 + x12182 >= 0 e50857: - b2582 + x12182 <= 0 e50858: b2582 - b2583 + x12183 >= 0 e50859: - b2583 + x12183 <= 0 e50860: b2583 - b2584 + x12184 >= 0 e50861: - b2584 + x12184 <= 0 e50862: b2584 - b2585 + x12185 >= 0 e50863: - b2585 + x12185 <= 0 e50864: b2585 - b2586 + x12186 >= 0 e50865: - b2586 + x12186 <= 0 e50866: b2586 - b2587 + x12187 >= 0 e50867: - b2587 + x12187 <= 0 e50868: b2587 - b2588 + x12188 >= 0 e50869: - b2588 + x12188 <= 0 e50870: b2588 - b2589 + x12189 >= 0 e50871: - b2589 + x12189 <= 0 e50872: b2589 - b2590 + x12190 >= 0 e50873: - b2590 + x12190 <= 0 e50874: b2590 - b2591 + x12191 >= 0 e50875: - b2591 + x12191 <= 0 e50876: b2591 - b2592 + x12192 >= 0 e50877: - b2592 + x12192 <= 0 e50878: b2592 - b2593 + x12193 >= 0 e50879: - b2593 + x12193 <= 0 e50880: b2599 = 1 e50881: b2594 = 1 e50882: b2595 = 1 e50883: b2596 = 1 e50884: b2597 = 1 e50885: b2598 = 1 e50886: b2599 = 1 e50887: b2599 - b2600 + x12200 >= 0 e50888: - b2600 + x12200 <= 0 e50889: b2600 - b2601 + x12201 >= 0 e50890: - b2601 + x12201 <= 0 e50891: b2601 - b2602 + x12202 >= 0 e50892: - b2602 + x12202 <= 0 e50893: b2602 - b2603 + x12203 >= 0 e50894: - b2603 + x12203 <= 0 e50895: b2603 - b2604 + x12204 >= 0 e50896: - b2604 + x12204 <= 0 e50897: b2604 - b2605 + x12205 >= 0 e50898: - b2605 + x12205 <= 0 e50899: b2605 - b2606 + x12206 >= 0 e50900: - b2606 + x12206 <= 0 e50901: b2606 - b2607 + x12207 >= 0 e50902: - b2607 + x12207 <= 0 e50903: b2607 - b2608 + x12208 >= 0 e50904: - b2608 + x12208 <= 0 e50905: b2608 - b2609 + x12209 >= 0 e50906: - b2609 + x12209 <= 0 e50907: b2609 - b2610 + x12210 >= 0 e50908: - b2610 + x12210 <= 0 e50909: b2610 - b2611 + x12211 >= 0 e50910: - b2611 + x12211 <= 0 e50911: b2611 - b2612 + x12212 >= 0 e50912: - b2612 + x12212 <= 0 e50913: b2612 - b2613 + x12213 >= 0 e50914: - b2613 + x12213 <= 0 e50915: b2613 - b2614 + x12214 >= 0 e50916: - b2614 + x12214 <= 0 e50917: b2614 - b2615 + x12215 >= 0 e50918: - b2615 + x12215 <= 0 e50919: b2615 - b2616 + x12216 >= 0 e50920: - b2616 + x12216 <= 0 e50921: b2616 - b2617 + x12217 >= 0 e50922: - b2617 + x12217 <= 0 e50923: x19263 = 0 e50924: - b2618 + x12218 + x19263 >= 0 e50925: - b2618 + x12218 <= 0 e50926: b2618 - b2619 + x12219 >= 0 e50927: - b2619 + x12219 <= 0 e50928: b2619 - b2620 + x12220 >= 0 e50929: - b2620 + x12220 <= 0 e50930: b2620 - b2621 + x12221 >= 0 e50931: - b2621 + x12221 <= 0 e50932: b2621 - b2622 + x12222 >= 0 e50933: - b2622 + x12222 <= 0 e50934: b2622 - b2623 + x12223 >= 0 e50935: - b2623 + x12223 <= 0 e50936: b2623 - b2624 + x12224 >= 0 e50937: - b2624 + x12224 <= 0 e50938: b2624 - b2625 + x12225 >= 0 e50939: - b2625 + x12225 <= 0 e50940: b2625 - b2626 + x12226 >= 0 e50941: - b2626 + x12226 <= 0 e50942: b2626 - b2627 + x12227 >= 0 e50943: - b2627 + x12227 <= 0 e50944: b2627 - b2628 + x12228 >= 0 e50945: - b2628 + x12228 <= 0 e50946: b2628 - b2629 + x12229 >= 0 e50947: - b2629 + x12229 <= 0 e50948: b2629 - b2630 + x12230 >= 0 e50949: - b2630 + x12230 <= 0 e50950: b2630 - b2631 + x12231 >= 0 e50951: - b2631 + x12231 <= 0 e50952: b2631 - b2632 + x12232 >= 0 e50953: - b2632 + x12232 <= 0 e50954: b2632 - b2633 + x12233 >= 0 e50955: - b2633 + x12233 <= 0 e50956: b2633 - b2634 + x12234 >= 0 e50957: - b2634 + x12234 <= 0 e50958: b2634 - b2635 + x12235 >= 0 e50959: - b2635 + x12235 <= 0 e50960: b2635 - b2636 + x12236 >= 0 e50961: - b2636 + x12236 <= 0 e50962: b2636 - b2637 + x12237 >= 0 e50963: - b2637 + x12237 <= 0 e50964: b2637 - b2638 + x12238 >= 0 e50965: - b2638 + x12238 <= 0 e50966: b2638 - b2639 + x12239 >= 0 e50967: - b2639 + x12239 <= 0 e50968: b2639 - b2640 + x12240 >= 0 e50969: - b2640 + x12240 <= 0 e50970: b2640 - b2641 + x12241 >= 0 e50971: - b2641 + x12241 <= 0 e50972: x19318 = 0 e50973: - b2642 + x12242 + x19318 >= 0 e50974: - b2642 + x12242 <= 0 e50975: b2642 - b2643 + x12243 >= 0 e50976: - b2643 + x12243 <= 0 e50977: b2643 - b2644 + x12244 >= 0 e50978: - b2644 + x12244 <= 0 e50979: b2644 - b2645 + x12245 >= 0 e50980: - b2645 + x12245 <= 0 e50981: b2645 - b2646 + x12246 >= 0 e50982: - b2646 + x12246 <= 0 e50983: b2646 - b2647 + x12247 >= 0 e50984: - b2647 + x12247 <= 0 e50985: b2647 - b2648 + x12248 >= 0 e50986: - b2648 + x12248 <= 0 e50987: b2648 - b2649 + x12249 >= 0 e50988: - b2649 + x12249 <= 0 e50989: b2649 - b2650 + x12250 >= 0 e50990: - b2650 + x12250 <= 0 e50991: b2650 - b2651 + x12251 >= 0 e50992: - b2651 + x12251 <= 0 e50993: b2651 - b2652 + x12252 >= 0 e50994: - b2652 + x12252 <= 0 e50995: b2652 - b2653 + x12253 >= 0 e50996: - b2653 + x12253 <= 0 e50997: b2653 - b2654 + x12254 >= 0 e50998: - b2654 + x12254 <= 0 e50999: b2654 - b2655 + x12255 >= 0 e51000: - b2655 + x12255 <= 0 e51001: b2655 - b2656 + x12256 >= 0 e51002: - b2656 + x12256 <= 0 e51003: b2656 - b2657 + x12257 >= 0 e51004: - b2657 + x12257 <= 0 e51005: b2657 - b2658 + x12258 >= 0 e51006: - b2658 + x12258 <= 0 e51007: b2658 - b2659 + x12259 >= 0 e51008: - b2659 + x12259 <= 0 e51009: b2659 - b2660 + x12260 >= 0 e51010: - b2660 + x12260 <= 0 e51011: b2660 - b2661 + x12261 >= 0 e51012: - b2661 + x12261 <= 0 e51013: b2661 - b2662 + x12262 >= 0 e51014: - b2662 + x12262 <= 0 e51015: b2662 - b2663 + x12263 >= 0 e51016: - b2663 + x12263 <= 0 e51017: b2663 - b2664 + x12264 >= 0 e51018: - b2664 + x12264 <= 0 e51019: b2664 - b2665 + x12265 >= 0 e51020: - b2665 + x12265 <= 0 e51021: b2667 = 1 e51022: b2666 = 1 e51023: b2667 = 1 e51024: b2667 - b2668 + x12268 >= 0 e51025: - b2668 + x12268 <= 0 e51026: b2668 - b2669 + x12269 >= 0 e51027: - b2669 + x12269 <= 0 e51028: b2669 - b2670 + x12270 >= 0 e51029: - b2670 + x12270 <= 0 e51030: b2670 - b2671 + x12271 >= 0 e51031: - b2671 + x12271 <= 0 e51032: b2671 - b2672 + x12272 >= 0 e51033: - b2672 + x12272 <= 0 e51034: b2672 - b2673 + x12273 >= 0 e51035: - b2673 + x12273 <= 0 e51036: b2673 - b2674 + x12274 >= 0 e51037: - b2674 + x12274 <= 0 e51038: b2674 - b2675 + x12275 >= 0 e51039: - b2675 + x12275 <= 0 e51040: b2675 - b2676 + x12276 >= 0 e51041: - b2676 + x12276 <= 0 e51042: b2676 - b2677 + x12277 >= 0 e51043: - b2677 + x12277 <= 0 e51044: b2677 - b2678 + x12278 >= 0 e51045: - b2678 + x12278 <= 0 e51046: b2678 - b2679 + x12279 >= 0 e51047: - b2679 + x12279 <= 0 e51048: b2679 - b2680 + x12280 >= 0 e51049: - b2680 + x12280 <= 0 e51050: b2680 - b2681 + x12281 >= 0 e51051: - b2681 + x12281 <= 0 e51052: b2681 - b2682 + x12282 >= 0 e51053: - b2682 + x12282 <= 0 e51054: b2682 - b2683 + x12283 >= 0 e51055: - b2683 + x12283 <= 0 e51056: b2683 - b2684 + x12284 >= 0 e51057: - b2684 + x12284 <= 0 e51058: b2684 - b2685 + x12285 >= 0 e51059: - b2685 + x12285 <= 0 e51060: b2685 - b2686 + x12286 >= 0 e51061: - b2686 + x12286 <= 0 e51062: b2686 - b2687 + x12287 >= 0 e51063: - b2687 + x12287 <= 0 e51064: b2687 - b2688 + x12288 >= 0 e51065: - b2688 + x12288 <= 0 e51066: b2688 - b2689 + x12289 >= 0 e51067: - b2689 + x12289 <= 0 e51068: b2693 = 1 e51069: b2690 = 1 e51070: b2691 = 1 e51071: b2692 = 1 e51072: b2693 = 1 e51073: b2693 - b2694 + x12294 >= 0 e51074: - b2694 + x12294 <= 0 e51075: b2694 - b2695 + x12295 >= 0 e51076: - b2695 + x12295 <= 0 e51077: b2695 - b2696 + x12296 >= 0 e51078: - b2696 + x12296 <= 0 e51079: b2696 - b2697 + x12297 >= 0 e51080: - b2697 + x12297 <= 0 e51081: b2697 - b2698 + x12298 >= 0 e51082: - b2698 + x12298 <= 0 e51083: b2698 - b2699 + x12299 >= 0 e51084: - b2699 + x12299 <= 0 e51085: b2699 - b2700 + x12300 >= 0 e51086: - b2700 + x12300 <= 0 e51087: b2700 - b2701 + x12301 >= 0 e51088: - b2701 + x12301 <= 0 e51089: b2701 - b2702 + x12302 >= 0 e51090: - b2702 + x12302 <= 0 e51091: b2702 - b2703 + x12303 >= 0 e51092: - b2703 + x12303 <= 0 e51093: b2703 - b2704 + x12304 >= 0 e51094: - b2704 + x12304 <= 0 e51095: b2704 - b2705 + x12305 >= 0 e51096: - b2705 + x12305 <= 0 e51097: b2705 - b2706 + x12306 >= 0 e51098: - b2706 + x12306 <= 0 e51099: b2706 - b2707 + x12307 >= 0 e51100: - b2707 + x12307 <= 0 e51101: b2707 - b2708 + x12308 >= 0 e51102: - b2708 + x12308 <= 0 e51103: b2708 - b2709 + x12309 >= 0 e51104: - b2709 + x12309 <= 0 e51105: b2709 - b2710 + x12310 >= 0 e51106: - b2710 + x12310 <= 0 e51107: b2710 - b2711 + x12311 >= 0 e51108: - b2711 + x12311 <= 0 e51109: b2711 - b2712 + x12312 >= 0 e51110: - b2712 + x12312 <= 0 e51111: b2712 - b2713 + x12313 >= 0 e51112: - b2713 + x12313 <= 0 e51113: x19319 = 0 e51114: - b2714 + x12314 + x19319 >= 0 e51115: - b2714 + x12314 <= 0 e51116: b2714 - b2715 + x12315 >= 0 e51117: - b2715 + x12315 <= 0 e51118: b2715 - b2716 + x12316 >= 0 e51119: - b2716 + x12316 <= 0 e51120: b2716 - b2717 + x12317 >= 0 e51121: - b2717 + x12317 <= 0 e51122: b2717 - b2718 + x12318 >= 0 e51123: - b2718 + x12318 <= 0 e51124: b2718 - b2719 + x12319 >= 0 e51125: - b2719 + x12319 <= 0 e51126: b2719 - b2720 + x12320 >= 0 e51127: - b2720 + x12320 <= 0 e51128: b2720 - b2721 + x12321 >= 0 e51129: - b2721 + x12321 <= 0 e51130: b2721 - b2722 + x12322 >= 0 e51131: - b2722 + x12322 <= 0 e51132: b2722 - b2723 + x12323 >= 0 e51133: - b2723 + x12323 <= 0 e51134: b2723 - b2724 + x12324 >= 0 e51135: - b2724 + x12324 <= 0 e51136: b2724 - b2725 + x12325 >= 0 e51137: - b2725 + x12325 <= 0 e51138: b2725 - b2726 + x12326 >= 0 e51139: - b2726 + x12326 <= 0 e51140: b2726 - b2727 + x12327 >= 0 e51141: - b2727 + x12327 <= 0 e51142: b2727 - b2728 + x12328 >= 0 e51143: - b2728 + x12328 <= 0 e51144: b2728 - b2729 + x12329 >= 0 e51145: - b2729 + x12329 <= 0 e51146: b2729 - b2730 + x12330 >= 0 e51147: - b2730 + x12330 <= 0 e51148: b2730 - b2731 + x12331 >= 0 e51149: - b2731 + x12331 <= 0 e51150: b2731 - b2732 + x12332 >= 0 e51151: - b2732 + x12332 <= 0 e51152: b2732 - b2733 + x12333 >= 0 e51153: - b2733 + x12333 <= 0 e51154: b2733 - b2734 + x12334 >= 0 e51155: - b2734 + x12334 <= 0 e51156: b2734 - b2735 + x12335 >= 0 e51157: - b2735 + x12335 <= 0 e51158: b2735 - b2736 + x12336 >= 0 e51159: - b2736 + x12336 <= 0 e51160: b2736 - b2737 + x12337 >= 0 e51161: - b2737 + x12337 <= 0 e51162: x19320 = 0 e51163: - b2738 + x12338 + x19320 >= 0 e51164: - b2738 + x12338 <= 0 e51165: b2738 - b2739 + x12339 >= 0 e51166: - b2739 + x12339 <= 0 e51167: b2739 - b2740 + x12340 >= 0 e51168: - b2740 + x12340 <= 0 e51169: b2740 - b2741 + x12341 >= 0 e51170: - b2741 + x12341 <= 0 e51171: b2741 - b2742 + x12342 >= 0 e51172: - b2742 + x12342 <= 0 e51173: b2742 - b2743 + x12343 >= 0 e51174: - b2743 + x12343 <= 0 e51175: b2743 - b2744 + x12344 >= 0 e51176: - b2744 + x12344 <= 0 e51177: b2744 - b2745 + x12345 >= 0 e51178: - b2745 + x12345 <= 0 e51179: b2745 - b2746 + x12346 >= 0 e51180: - b2746 + x12346 <= 0 e51181: b2746 - b2747 + x12347 >= 0 e51182: - b2747 + x12347 <= 0 e51183: b2747 - b2748 + x12348 >= 0 e51184: - b2748 + x12348 <= 0 e51185: b2748 - b2749 + x12349 >= 0 e51186: - b2749 + x12349 <= 0 e51187: b2749 - b2750 + x12350 >= 0 e51188: - b2750 + x12350 <= 0 e51189: b2750 - b2751 + x12351 >= 0 e51190: - b2751 + x12351 <= 0 e51191: b2751 - b2752 + x12352 >= 0 e51192: - b2752 + x12352 <= 0 e51193: b2752 - b2753 + x12353 >= 0 e51194: - b2753 + x12353 <= 0 e51195: b2753 - b2754 + x12354 >= 0 e51196: - b2754 + x12354 <= 0 e51197: b2754 - b2755 + x12355 >= 0 e51198: - b2755 + x12355 <= 0 e51199: b2755 - b2756 + x12356 >= 0 e51200: - b2756 + x12356 <= 0 e51201: b2756 - b2757 + x12357 >= 0 e51202: - b2757 + x12357 <= 0 e51203: b2757 - b2758 + x12358 >= 0 e51204: - b2758 + x12358 <= 0 e51205: b2758 - b2759 + x12359 >= 0 e51206: - b2759 + x12359 <= 0 e51207: b2759 - b2760 + x12360 >= 0 e51208: - b2760 + x12360 <= 0 e51209: b2760 - b2761 + x12361 >= 0 e51210: - b2761 + x12361 <= 0 e51211: b2762 = 1 e51212: b2762 = 1 e51213: b2762 - b2763 + x12363 >= 0 e51214: - b2763 + x12363 <= 0 e51215: b2763 - b2764 + x12364 >= 0 e51216: - b2764 + x12364 <= 0 e51217: b2764 - b2765 + x12365 >= 0 e51218: - b2765 + x12365 <= 0 e51219: b2765 - b2766 + x12366 >= 0 e51220: - b2766 + x12366 <= 0 e51221: b2766 - b2767 + x12367 >= 0 e51222: - b2767 + x12367 <= 0 e51223: b2767 - b2768 + x12368 >= 0 e51224: - b2768 + x12368 <= 0 e51225: b2768 - b2769 + x12369 >= 0 e51226: - b2769 + x12369 <= 0 e51227: b2769 - b2770 + x12370 >= 0 e51228: - b2770 + x12370 <= 0 e51229: b2770 - b2771 + x12371 >= 0 e51230: - b2771 + x12371 <= 0 e51231: b2771 - b2772 + x12372 >= 0 e51232: - b2772 + x12372 <= 0 e51233: b2772 - b2773 + x12373 >= 0 e51234: - b2773 + x12373 <= 0 e51235: b2773 - b2774 + x12374 >= 0 e51236: - b2774 + x12374 <= 0 e51237: b2774 - b2775 + x12375 >= 0 e51238: - b2775 + x12375 <= 0 e51239: b2775 - b2776 + x12376 >= 0 e51240: - b2776 + x12376 <= 0 e51241: b2776 - b2777 + x12377 >= 0 e51242: - b2777 + x12377 <= 0 e51243: b2777 - b2778 + x12378 >= 0 e51244: - b2778 + x12378 <= 0 e51245: b2778 - b2779 + x12379 >= 0 e51246: - b2779 + x12379 <= 0 e51247: b2779 - b2780 + x12380 >= 0 e51248: - b2780 + x12380 <= 0 e51249: b2780 - b2781 + x12381 >= 0 e51250: - b2781 + x12381 <= 0 e51251: b2781 - b2782 + x12382 >= 0 e51252: - b2782 + x12382 <= 0 e51253: b2782 - b2783 + x12383 >= 0 e51254: - b2783 + x12383 <= 0 e51255: b2783 - b2784 + x12384 >= 0 e51256: - b2784 + x12384 <= 0 e51257: b2784 - b2785 + x12385 >= 0 e51258: - b2785 + x12385 <= 0 e51259: b2788 = 1 e51260: b2786 = 1 e51261: b2787 = 1 e51262: b2788 = 1 e51263: b2788 - b2789 + x12389 >= 0 e51264: - b2789 + x12389 <= 0 e51265: b2789 - b2790 + x12390 >= 0 e51266: - b2790 + x12390 <= 0 e51267: b2790 - b2791 + x12391 >= 0 e51268: - b2791 + x12391 <= 0 e51269: b2791 - b2792 + x12392 >= 0 e51270: - b2792 + x12392 <= 0 e51271: b2792 - b2793 + x12393 >= 0 e51272: - b2793 + x12393 <= 0 e51273: b2793 - b2794 + x12394 >= 0 e51274: - b2794 + x12394 <= 0 e51275: b2794 - b2795 + x12395 >= 0 e51276: - b2795 + x12395 <= 0 e51277: b2795 - b2796 + x12396 >= 0 e51278: - b2796 + x12396 <= 0 e51279: b2796 - b2797 + x12397 >= 0 e51280: - b2797 + x12397 <= 0 e51281: b2797 - b2798 + x12398 >= 0 e51282: - b2798 + x12398 <= 0 e51283: b2798 - b2799 + x12399 >= 0 e51284: - b2799 + x12399 <= 0 e51285: b2799 - b2800 + x12400 >= 0 e51286: - b2800 + x12400 <= 0 e51287: b2800 - b2801 + x12401 >= 0 e51288: - b2801 + x12401 <= 0 e51289: b2801 - b2802 + x12402 >= 0 e51290: - b2802 + x12402 <= 0 e51291: b2802 - b2803 + x12403 >= 0 e51292: - b2803 + x12403 <= 0 e51293: b2803 - b2804 + x12404 >= 0 e51294: - b2804 + x12404 <= 0 e51295: b2804 - b2805 + x12405 >= 0 e51296: - b2805 + x12405 <= 0 e51297: b2805 - b2806 + x12406 >= 0 e51298: - b2806 + x12406 <= 0 e51299: b2806 - b2807 + x12407 >= 0 e51300: - b2807 + x12407 <= 0 e51301: b2807 - b2808 + x12408 >= 0 e51302: - b2808 + x12408 <= 0 e51303: b2808 - b2809 + x12409 >= 0 e51304: - b2809 + x12409 <= 0 e51305: x19264 = 1 e51306: - b2810 + x12410 + x19264 >= 0 e51307: - b2810 + x12410 <= 0 e51308: b2810 - b2811 + x12411 >= 0 e51309: - b2811 + x12411 <= 0 e51310: b2811 - b2812 + x12412 >= 0 e51311: - b2812 + x12412 <= 0 e51312: b2812 - b2813 + x12413 >= 0 e51313: - b2813 + x12413 <= 0 e51314: b2813 - b2814 + x12414 >= 0 e51315: - b2814 + x12414 <= 0 e51316: b2814 - b2815 + x12415 >= 0 e51317: - b2815 + x12415 <= 0 e51318: b2815 - b2816 + x12416 >= 0 e51319: - b2816 + x12416 <= 0 e51320: b2816 - b2817 + x12417 >= 0 e51321: - b2817 + x12417 <= 0 e51322: b2817 - b2818 + x12418 >= 0 e51323: - b2818 + x12418 <= 0 e51324: b2818 - b2819 + x12419 >= 0 e51325: - b2819 + x12419 <= 0 e51326: b2819 - b2820 + x12420 >= 0 e51327: - b2820 + x12420 <= 0 e51328: b2820 - b2821 + x12421 >= 0 e51329: - b2821 + x12421 <= 0 e51330: b2821 - b2822 + x12422 >= 0 e51331: - b2822 + x12422 <= 0 e51332: b2822 - b2823 + x12423 >= 0 e51333: - b2823 + x12423 <= 0 e51334: b2823 - b2824 + x12424 >= 0 e51335: - b2824 + x12424 <= 0 e51336: b2824 - b2825 + x12425 >= 0 e51337: - b2825 + x12425 <= 0 e51338: b2825 - b2826 + x12426 >= 0 e51339: - b2826 + x12426 <= 0 e51340: b2826 - b2827 + x12427 >= 0 e51341: - b2827 + x12427 <= 0 e51342: b2827 - b2828 + x12428 >= 0 e51343: - b2828 + x12428 <= 0 e51344: b2828 - b2829 + x12429 >= 0 e51345: - b2829 + x12429 <= 0 e51346: b2829 - b2830 + x12430 >= 0 e51347: - b2830 + x12430 <= 0 e51348: b2830 - b2831 + x12431 >= 0 e51349: - b2831 + x12431 <= 0 e51350: b2831 - b2832 + x12432 >= 0 e51351: - b2832 + x12432 <= 0 e51352: b2832 - b2833 + x12433 >= 0 e51353: - b2833 + x12433 <= 0 e51354: b2837 = 1 e51355: b2834 = 1 e51356: b2835 = 1 e51357: b2836 = 1 e51358: b2837 = 1 e51359: b2837 - b2838 + x12438 >= 0 e51360: - b2838 + x12438 <= 0 e51361: b2838 - b2839 + x12439 >= 0 e51362: - b2839 + x12439 <= 0 e51363: b2839 - b2840 + x12440 >= 0 e51364: - b2840 + x12440 <= 0 e51365: b2840 - b2841 + x12441 >= 0 e51366: - b2841 + x12441 <= 0 e51367: b2841 - b2842 + x12442 >= 0 e51368: - b2842 + x12442 <= 0 e51369: b2842 - b2843 + x12443 >= 0 e51370: - b2843 + x12443 <= 0 e51371: b2843 - b2844 + x12444 >= 0 e51372: - b2844 + x12444 <= 0 e51373: b2844 - b2845 + x12445 >= 0 e51374: - b2845 + x12445 <= 0 e51375: b2845 - b2846 + x12446 >= 0 e51376: - b2846 + x12446 <= 0 e51377: b2846 - b2847 + x12447 >= 0 e51378: - b2847 + x12447 <= 0 e51379: b2847 - b2848 + x12448 >= 0 e51380: - b2848 + x12448 <= 0 e51381: b2848 - b2849 + x12449 >= 0 e51382: - b2849 + x12449 <= 0 e51383: b2849 - b2850 + x12450 >= 0 e51384: - b2850 + x12450 <= 0 e51385: b2850 - b2851 + x12451 >= 0 e51386: - b2851 + x12451 <= 0 e51387: b2851 - b2852 + x12452 >= 0 e51388: - b2852 + x12452 <= 0 e51389: b2852 - b2853 + x12453 >= 0 e51390: - b2853 + x12453 <= 0 e51391: b2853 - b2854 + x12454 >= 0 e51392: - b2854 + x12454 <= 0 e51393: b2854 - b2855 + x12455 >= 0 e51394: - b2855 + x12455 <= 0 e51395: b2855 - b2856 + x12456 >= 0 e51396: - b2856 + x12456 <= 0 e51397: b2856 - b2857 + x12457 >= 0 e51398: - b2857 + x12457 <= 0 e51399: x19265 = 1 e51400: - b2858 + x12458 + x19265 >= 0 e51401: - b2858 + x12458 <= 0 e51402: b2858 - b2859 + x12459 >= 0 e51403: - b2859 + x12459 <= 0 e51404: b2859 - b2860 + x12460 >= 0 e51405: - b2860 + x12460 <= 0 e51406: b2860 - b2861 + x12461 >= 0 e51407: - b2861 + x12461 <= 0 e51408: b2861 - b2862 + x12462 >= 0 e51409: - b2862 + x12462 <= 0 e51410: b2862 - b2863 + x12463 >= 0 e51411: - b2863 + x12463 <= 0 e51412: b2863 - b2864 + x12464 >= 0 e51413: - b2864 + x12464 <= 0 e51414: b2864 - b2865 + x12465 >= 0 e51415: - b2865 + x12465 <= 0 e51416: b2865 - b2866 + x12466 >= 0 e51417: - b2866 + x12466 <= 0 e51418: b2866 - b2867 + x12467 >= 0 e51419: - b2867 + x12467 <= 0 e51420: b2867 - b2868 + x12468 >= 0 e51421: - b2868 + x12468 <= 0 e51422: b2868 - b2869 + x12469 >= 0 e51423: - b2869 + x12469 <= 0 e51424: b2869 - b2870 + x12470 >= 0 e51425: - b2870 + x12470 <= 0 e51426: b2870 - b2871 + x12471 >= 0 e51427: - b2871 + x12471 <= 0 e51428: b2871 - b2872 + x12472 >= 0 e51429: - b2872 + x12472 <= 0 e51430: b2872 - b2873 + x12473 >= 0 e51431: - b2873 + x12473 <= 0 e51432: b2873 - b2874 + x12474 >= 0 e51433: - b2874 + x12474 <= 0 e51434: b2874 - b2875 + x12475 >= 0 e51435: - b2875 + x12475 <= 0 e51436: b2875 - b2876 + x12476 >= 0 e51437: - b2876 + x12476 <= 0 e51438: b2876 - b2877 + x12477 >= 0 e51439: - b2877 + x12477 <= 0 e51440: b2877 - b2878 + x12478 >= 0 e51441: - b2878 + x12478 <= 0 e51442: b2878 - b2879 + x12479 >= 0 e51443: - b2879 + x12479 <= 0 e51444: b2879 - b2880 + x12480 >= 0 e51445: - b2880 + x12480 <= 0 e51446: b2880 - b2881 + x12481 >= 0 e51447: - b2881 + x12481 <= 0 e51448: x19266 = 0 e51449: - b2882 + x12482 + x19266 >= 0 e51450: - b2882 + x12482 <= 0 e51451: b2882 - b2883 + x12483 >= 0 e51452: - b2883 + x12483 <= 0 e51453: b2883 - b2884 + x12484 >= 0 e51454: - b2884 + x12484 <= 0 e51455: b2884 - b2885 + x12485 >= 0 e51456: - b2885 + x12485 <= 0 e51457: b2885 - b2886 + x12486 >= 0 e51458: - b2886 + x12486 <= 0 e51459: b2886 - b2887 + x12487 >= 0 e51460: - b2887 + x12487 <= 0 e51461: b2887 - b2888 + x12488 >= 0 e51462: - b2888 + x12488 <= 0 e51463: b2888 - b2889 + x12489 >= 0 e51464: - b2889 + x12489 <= 0 e51465: b2889 - b2890 + x12490 >= 0 e51466: - b2890 + x12490 <= 0 e51467: b2890 - b2891 + x12491 >= 0 e51468: - b2891 + x12491 <= 0 e51469: b2891 - b2892 + x12492 >= 0 e51470: - b2892 + x12492 <= 0 e51471: b2892 - b2893 + x12493 >= 0 e51472: - b2893 + x12493 <= 0 e51473: b2893 - b2894 + x12494 >= 0 e51474: - b2894 + x12494 <= 0 e51475: b2894 - b2895 + x12495 >= 0 e51476: - b2895 + x12495 <= 0 e51477: b2895 - b2896 + x12496 >= 0 e51478: - b2896 + x12496 <= 0 e51479: b2896 - b2897 + x12497 >= 0 e51480: - b2897 + x12497 <= 0 e51481: b2897 - b2898 + x12498 >= 0 e51482: - b2898 + x12498 <= 0 e51483: b2898 - b2899 + x12499 >= 0 e51484: - b2899 + x12499 <= 0 e51485: b2899 - b2900 + x12500 >= 0 e51486: - b2900 + x12500 <= 0 e51487: b2900 - b2901 + x12501 >= 0 e51488: - b2901 + x12501 <= 0 e51489: b2901 - b2902 + x12502 >= 0 e51490: - b2902 + x12502 <= 0 e51491: b2902 - b2903 + x12503 >= 0 e51492: - b2903 + x12503 <= 0 e51493: b2903 - b2904 + x12504 >= 0 e51494: - b2904 + x12504 <= 0 e51495: b2904 - b2905 + x12505 >= 0 e51496: - b2905 + x12505 <= 0 e51497: x19321 = 0 e51498: - b2906 + x12506 + x19321 >= 0 e51499: - b2906 + x12506 <= 0 e51500: b2906 - b2907 + x12507 >= 0 e51501: - b2907 + x12507 <= 0 e51502: b2907 - b2908 + x12508 >= 0 e51503: - b2908 + x12508 <= 0 e51504: b2908 - b2909 + x12509 >= 0 e51505: - b2909 + x12509 <= 0 e51506: b2909 - b2910 + x12510 >= 0 e51507: - b2910 + x12510 <= 0 e51508: b2910 - b2911 + x12511 >= 0 e51509: - b2911 + x12511 <= 0 e51510: b2911 - b2912 + x12512 >= 0 e51511: - b2912 + x12512 <= 0 e51512: b2912 - b2913 + x12513 >= 0 e51513: - b2913 + x12513 <= 0 e51514: b2913 - b2914 + x12514 >= 0 e51515: - b2914 + x12514 <= 0 e51516: b2914 - b2915 + x12515 >= 0 e51517: - b2915 + x12515 <= 0 e51518: b2915 - b2916 + x12516 >= 0 e51519: - b2916 + x12516 <= 0 e51520: b2916 - b2917 + x12517 >= 0 e51521: - b2917 + x12517 <= 0 e51522: b2917 - b2918 + x12518 >= 0 e51523: - b2918 + x12518 <= 0 e51524: b2918 - b2919 + x12519 >= 0 e51525: - b2919 + x12519 <= 0 e51526: b2919 - b2920 + x12520 >= 0 e51527: - b2920 + x12520 <= 0 e51528: b2920 - b2921 + x12521 >= 0 e51529: - b2921 + x12521 <= 0 e51530: b2921 - b2922 + x12522 >= 0 e51531: - b2922 + x12522 <= 0 e51532: b2922 - b2923 + x12523 >= 0 e51533: - b2923 + x12523 <= 0 e51534: b2923 - b2924 + x12524 >= 0 e51535: - b2924 + x12524 <= 0 e51536: b2924 - b2925 + x12525 >= 0 e51537: - b2925 + x12525 <= 0 e51538: b2925 - b2926 + x12526 >= 0 e51539: - b2926 + x12526 <= 0 e51540: b2926 - b2927 + x12527 >= 0 e51541: - b2927 + x12527 <= 0 e51542: b2927 - b2928 + x12528 >= 0 e51543: - b2928 + x12528 <= 0 e51544: b2928 - b2929 + x12529 >= 0 e51545: - b2929 + x12529 <= 0 e51546: x19267 = 0 e51547: - b2930 + x12530 + x19267 >= 0 e51548: - b2930 + x12530 <= 0 e51549: b2930 - b2931 + x12531 >= 0 e51550: - b2931 + x12531 <= 0 e51551: b2931 - b2932 + x12532 >= 0 e51552: - b2932 + x12532 <= 0 e51553: b2932 - b2933 + x12533 >= 0 e51554: - b2933 + x12533 <= 0 e51555: b2933 - b2934 + x12534 >= 0 e51556: - b2934 + x12534 <= 0 e51557: b2934 - b2935 + x12535 >= 0 e51558: - b2935 + x12535 <= 0 e51559: b2935 - b2936 + x12536 >= 0 e51560: - b2936 + x12536 <= 0 e51561: b2936 - b2937 + x12537 >= 0 e51562: - b2937 + x12537 <= 0 e51563: b2937 - b2938 + x12538 >= 0 e51564: - b2938 + x12538 <= 0 e51565: b2938 - b2939 + x12539 >= 0 e51566: - b2939 + x12539 <= 0 e51567: b2939 - b2940 + x12540 >= 0 e51568: - b2940 + x12540 <= 0 e51569: b2940 - b2941 + x12541 >= 0 e51570: - b2941 + x12541 <= 0 e51571: b2941 - b2942 + x12542 >= 0 e51572: - b2942 + x12542 <= 0 e51573: b2942 - b2943 + x12543 >= 0 e51574: - b2943 + x12543 <= 0 e51575: b2943 - b2944 + x12544 >= 0 e51576: - b2944 + x12544 <= 0 e51577: b2944 - b2945 + x12545 >= 0 e51578: - b2945 + x12545 <= 0 e51579: b2945 - b2946 + x12546 >= 0 e51580: - b2946 + x12546 <= 0 e51581: b2946 - b2947 + x12547 >= 0 e51582: - b2947 + x12547 <= 0 e51583: b2947 - b2948 + x12548 >= 0 e51584: - b2948 + x12548 <= 0 e51585: b2948 - b2949 + x12549 >= 0 e51586: - b2949 + x12549 <= 0 e51587: b2949 - b2950 + x12550 >= 0 e51588: - b2950 + x12550 <= 0 e51589: b2950 - b2951 + x12551 >= 0 e51590: - b2951 + x12551 <= 0 e51591: b2951 - b2952 + x12552 >= 0 e51592: - b2952 + x12552 <= 0 e51593: b2952 - b2953 + x12553 >= 0 e51594: - b2953 + x12553 <= 0 e51595: x19268 = 0 e51596: - b2954 + x12554 + x19268 >= 0 e51597: - b2954 + x12554 <= 0 e51598: b2954 - b2955 + x12555 >= 0 e51599: - b2955 + x12555 <= 0 e51600: b2955 - b2956 + x12556 >= 0 e51601: - b2956 + x12556 <= 0 e51602: b2956 - b2957 + x12557 >= 0 e51603: - b2957 + x12557 <= 0 e51604: b2957 - b2958 + x12558 >= 0 e51605: - b2958 + x12558 <= 0 e51606: b2958 - b2959 + x12559 >= 0 e51607: - b2959 + x12559 <= 0 e51608: b2959 - b2960 + x12560 >= 0 e51609: - b2960 + x12560 <= 0 e51610: b2960 - b2961 + x12561 >= 0 e51611: - b2961 + x12561 <= 0 e51612: b2961 - b2962 + x12562 >= 0 e51613: - b2962 + x12562 <= 0 e51614: b2962 - b2963 + x12563 >= 0 e51615: - b2963 + x12563 <= 0 e51616: b2963 - b2964 + x12564 >= 0 e51617: - b2964 + x12564 <= 0 e51618: b2964 - b2965 + x12565 >= 0 e51619: - b2965 + x12565 <= 0 e51620: b2965 - b2966 + x12566 >= 0 e51621: - b2966 + x12566 <= 0 e51622: b2966 - b2967 + x12567 >= 0 e51623: - b2967 + x12567 <= 0 e51624: b2967 - b2968 + x12568 >= 0 e51625: - b2968 + x12568 <= 0 e51626: b2968 - b2969 + x12569 >= 0 e51627: - b2969 + x12569 <= 0 e51628: b2969 - b2970 + x12570 >= 0 e51629: - b2970 + x12570 <= 0 e51630: b2970 - b2971 + x12571 >= 0 e51631: - b2971 + x12571 <= 0 e51632: b2971 - b2972 + x12572 >= 0 e51633: - b2972 + x12572 <= 0 e51634: b2972 - b2973 + x12573 >= 0 e51635: - b2973 + x12573 <= 0 e51636: b2973 - b2974 + x12574 >= 0 e51637: - b2974 + x12574 <= 0 e51638: b2974 - b2975 + x12575 >= 0 e51639: - b2975 + x12575 <= 0 e51640: b2975 - b2976 + x12576 >= 0 e51641: - b2976 + x12576 <= 0 e51642: b2976 - b2977 + x12577 >= 0 e51643: - b2977 + x12577 <= 0 e51644: x19269 = 0 e51645: - b2978 + x12578 + x19269 >= 0 e51646: - b2978 + x12578 <= 0 e51647: b2978 - b2979 + x12579 >= 0 e51648: - b2979 + x12579 <= 0 e51649: b2979 - b2980 + x12580 >= 0 e51650: - b2980 + x12580 <= 0 e51651: b2980 - b2981 + x12581 >= 0 e51652: - b2981 + x12581 <= 0 e51653: b2981 - b2982 + x12582 >= 0 e51654: - b2982 + x12582 <= 0 e51655: b2982 - b2983 + x12583 >= 0 e51656: - b2983 + x12583 <= 0 e51657: b2983 - b2984 + x12584 >= 0 e51658: - b2984 + x12584 <= 0 e51659: b2984 - b2985 + x12585 >= 0 e51660: - b2985 + x12585 <= 0 e51661: b2985 - b2986 + x12586 >= 0 e51662: - b2986 + x12586 <= 0 e51663: b2986 - b2987 + x12587 >= 0 e51664: - b2987 + x12587 <= 0 e51665: b2987 - b2988 + x12588 >= 0 e51666: - b2988 + x12588 <= 0 e51667: b2988 - b2989 + x12589 >= 0 e51668: - b2989 + x12589 <= 0 e51669: b2989 - b2990 + x12590 >= 0 e51670: - b2990 + x12590 <= 0 e51671: b2990 - b2991 + x12591 >= 0 e51672: - b2991 + x12591 <= 0 e51673: b2991 - b2992 + x12592 >= 0 e51674: - b2992 + x12592 <= 0 e51675: b2992 - b2993 + x12593 >= 0 e51676: - b2993 + x12593 <= 0 e51677: b2993 - b2994 + x12594 >= 0 e51678: - b2994 + x12594 <= 0 e51679: b2994 - b2995 + x12595 >= 0 e51680: - b2995 + x12595 <= 0 e51681: b2995 - b2996 + x12596 >= 0 e51682: - b2996 + x12596 <= 0 e51683: b2996 - b2997 + x12597 >= 0 e51684: - b2997 + x12597 <= 0 e51685: b2997 - b2998 + x12598 >= 0 e51686: - b2998 + x12598 <= 0 e51687: b2998 - b2999 + x12599 >= 0 e51688: - b2999 + x12599 <= 0 e51689: b2999 - b3000 + x12600 >= 0 e51690: - b3000 + x12600 <= 0 e51691: b3000 - b3001 + x12601 >= 0 e51692: - b3001 + x12601 <= 0 e51693: x19270 = 1 e51694: - b3002 + x12602 + x19270 >= 0 e51695: - b3002 + x12602 <= 0 e51696: b3002 - b3003 + x12603 >= 0 e51697: - b3003 + x12603 <= 0 e51698: b3003 - b3004 + x12604 >= 0 e51699: - b3004 + x12604 <= 0 e51700: b3004 - b3005 + x12605 >= 0 e51701: - b3005 + x12605 <= 0 e51702: b3005 - b3006 + x12606 >= 0 e51703: - b3006 + x12606 <= 0 e51704: b3006 - b3007 + x12607 >= 0 e51705: - b3007 + x12607 <= 0 e51706: b3007 - b3008 + x12608 >= 0 e51707: - b3008 + x12608 <= 0 e51708: b3008 - b3009 + x12609 >= 0 e51709: - b3009 + x12609 <= 0 e51710: b3009 - b3010 + x12610 >= 0 e51711: - b3010 + x12610 <= 0 e51712: b3010 - b3011 + x12611 >= 0 e51713: - b3011 + x12611 <= 0 e51714: b3011 - b3012 + x12612 >= 0 e51715: - b3012 + x12612 <= 0 e51716: b3012 - b3013 + x12613 >= 0 e51717: - b3013 + x12613 <= 0 e51718: b3013 - b3014 + x12614 >= 0 e51719: - b3014 + x12614 <= 0 e51720: b3014 - b3015 + x12615 >= 0 e51721: - b3015 + x12615 <= 0 e51722: b3015 - b3016 + x12616 >= 0 e51723: - b3016 + x12616 <= 0 e51724: b3016 - b3017 + x12617 >= 0 e51725: - b3017 + x12617 <= 0 e51726: b3017 - b3018 + x12618 >= 0 e51727: - b3018 + x12618 <= 0 e51728: b3018 - b3019 + x12619 >= 0 e51729: - b3019 + x12619 <= 0 e51730: b3019 - b3020 + x12620 >= 0 e51731: - b3020 + x12620 <= 0 e51732: b3020 - b3021 + x12621 >= 0 e51733: - b3021 + x12621 <= 0 e51734: b3021 - b3022 + x12622 >= 0 e51735: - b3022 + x12622 <= 0 e51736: b3022 - b3023 + x12623 >= 0 e51737: - b3023 + x12623 <= 0 e51738: b3023 - b3024 + x12624 >= 0 e51739: - b3024 + x12624 <= 0 e51740: b3024 - b3025 + x12625 >= 0 e51741: - b3025 + x12625 <= 0 e51742: x19322 = 0 e51743: - b3026 + x12626 + x19322 >= 0 e51744: - b3026 + x12626 <= 0 e51745: b3026 - b3027 + x12627 >= 0 e51746: - b3027 + x12627 <= 0 e51747: b3027 - b3028 + x12628 >= 0 e51748: - b3028 + x12628 <= 0 e51749: b3028 - b3029 + x12629 >= 0 e51750: - b3029 + x12629 <= 0 e51751: b3029 - b3030 + x12630 >= 0 e51752: - b3030 + x12630 <= 0 e51753: b3030 - b3031 + x12631 >= 0 e51754: - b3031 + x12631 <= 0 e51755: b3031 - b3032 + x12632 >= 0 e51756: - b3032 + x12632 <= 0 e51757: b3032 - b3033 + x12633 >= 0 e51758: - b3033 + x12633 <= 0 e51759: b3033 - b3034 + x12634 >= 0 e51760: - b3034 + x12634 <= 0 e51761: b3034 - b3035 + x12635 >= 0 e51762: - b3035 + x12635 <= 0 e51763: b3035 - b3036 + x12636 >= 0 e51764: - b3036 + x12636 <= 0 e51765: b3036 - b3037 + x12637 >= 0 e51766: - b3037 + x12637 <= 0 e51767: b3037 - b3038 + x12638 >= 0 e51768: - b3038 + x12638 <= 0 e51769: b3038 - b3039 + x12639 >= 0 e51770: - b3039 + x12639 <= 0 e51771: b3039 - b3040 + x12640 >= 0 e51772: - b3040 + x12640 <= 0 e51773: b3040 - b3041 + x12641 >= 0 e51774: - b3041 + x12641 <= 0 e51775: b3041 - b3042 + x12642 >= 0 e51776: - b3042 + x12642 <= 0 e51777: b3042 - b3043 + x12643 >= 0 e51778: - b3043 + x12643 <= 0 e51779: b3043 - b3044 + x12644 >= 0 e51780: - b3044 + x12644 <= 0 e51781: b3044 - b3045 + x12645 >= 0 e51782: - b3045 + x12645 <= 0 e51783: b3045 - b3046 + x12646 >= 0 e51784: - b3046 + x12646 <= 0 e51785: b3046 - b3047 + x12647 >= 0 e51786: - b3047 + x12647 <= 0 e51787: b3047 - b3048 + x12648 >= 0 e51788: - b3048 + x12648 <= 0 e51789: b3048 - b3049 + x12649 >= 0 e51790: - b3049 + x12649 <= 0 e51791: b3050 = 1 e51792: b3050 = 1 e51793: b3050 - b3051 + x12651 >= 0 e51794: - b3051 + x12651 <= 0 e51795: b3051 - b3052 + x12652 >= 0 e51796: - b3052 + x12652 <= 0 e51797: b3052 - b3053 + x12653 >= 0 e51798: - b3053 + x12653 <= 0 e51799: b3053 - b3054 + x12654 >= 0 e51800: - b3054 + x12654 <= 0 e51801: b3054 - b3055 + x12655 >= 0 e51802: - b3055 + x12655 <= 0 e51803: b3055 - b3056 + x12656 >= 0 e51804: - b3056 + x12656 <= 0 e51805: b3056 - b3057 + x12657 >= 0 e51806: - b3057 + x12657 <= 0 e51807: b3057 - b3058 + x12658 >= 0 e51808: - b3058 + x12658 <= 0 e51809: b3058 - b3059 + x12659 >= 0 e51810: - b3059 + x12659 <= 0 e51811: b3059 - b3060 + x12660 >= 0 e51812: - b3060 + x12660 <= 0 e51813: b3060 - b3061 + x12661 >= 0 e51814: - b3061 + x12661 <= 0 e51815: b3061 - b3062 + x12662 >= 0 e51816: - b3062 + x12662 <= 0 e51817: b3062 - b3063 + x12663 >= 0 e51818: - b3063 + x12663 <= 0 e51819: b3063 - b3064 + x12664 >= 0 e51820: - b3064 + x12664 <= 0 e51821: b3064 - b3065 + x12665 >= 0 e51822: - b3065 + x12665 <= 0 e51823: b3065 - b3066 + x12666 >= 0 e51824: - b3066 + x12666 <= 0 e51825: b3066 - b3067 + x12667 >= 0 e51826: - b3067 + x12667 <= 0 e51827: b3067 - b3068 + x12668 >= 0 e51828: - b3068 + x12668 <= 0 e51829: b3068 - b3069 + x12669 >= 0 e51830: - b3069 + x12669 <= 0 e51831: b3069 - b3070 + x12670 >= 0 e51832: - b3070 + x12670 <= 0 e51833: b3070 - b3071 + x12671 >= 0 e51834: - b3071 + x12671 <= 0 e51835: b3071 - b3072 + x12672 >= 0 e51836: - b3072 + x12672 <= 0 e51837: b3072 - b3073 + x12673 >= 0 e51838: - b3073 + x12673 <= 0 e51839: b3077 = 1 e51840: b3074 = 1 e51841: b3075 = 1 e51842: b3076 = 1 e51843: b3077 = 1 e51844: b3077 - b3078 + x12678 >= 0 e51845: - b3078 + x12678 <= 0 e51846: b3078 - b3079 + x12679 >= 0 e51847: - b3079 + x12679 <= 0 e51848: b3079 - b3080 + x12680 >= 0 e51849: - b3080 + x12680 <= 0 e51850: b3080 - b3081 + x12681 >= 0 e51851: - b3081 + x12681 <= 0 e51852: b3081 - b3082 + x12682 >= 0 e51853: - b3082 + x12682 <= 0 e51854: b3082 - b3083 + x12683 >= 0 e51855: - b3083 + x12683 <= 0 e51856: b3083 - b3084 + x12684 >= 0 e51857: - b3084 + x12684 <= 0 e51858: b3084 - b3085 + x12685 >= 0 e51859: - b3085 + x12685 <= 0 e51860: b3085 - b3086 + x12686 >= 0 e51861: - b3086 + x12686 <= 0 e51862: b3086 - b3087 + x12687 >= 0 e51863: - b3087 + x12687 <= 0 e51864: b3087 - b3088 + x12688 >= 0 e51865: - b3088 + x12688 <= 0 e51866: b3088 - b3089 + x12689 >= 0 e51867: - b3089 + x12689 <= 0 e51868: b3089 - b3090 + x12690 >= 0 e51869: - b3090 + x12690 <= 0 e51870: b3090 - b3091 + x12691 >= 0 e51871: - b3091 + x12691 <= 0 e51872: b3091 - b3092 + x12692 >= 0 e51873: - b3092 + x12692 <= 0 e51874: b3092 - b3093 + x12693 >= 0 e51875: - b3093 + x12693 <= 0 e51876: b3093 - b3094 + x12694 >= 0 e51877: - b3094 + x12694 <= 0 e51878: b3094 - b3095 + x12695 >= 0 e51879: - b3095 + x12695 <= 0 e51880: b3095 - b3096 + x12696 >= 0 e51881: - b3096 + x12696 <= 0 e51882: b3096 - b3097 + x12697 >= 0 e51883: - b3097 + x12697 <= 0 e51884: x19271 = 0 e51885: - b3098 + x12698 + x19271 >= 0 e51886: - b3098 + x12698 <= 0 e51887: b3098 - b3099 + x12699 >= 0 e51888: - b3099 + x12699 <= 0 e51889: b3099 - b3100 + x12700 >= 0 e51890: - b3100 + x12700 <= 0 e51891: b3100 - b3101 + x12701 >= 0 e51892: - b3101 + x12701 <= 0 e51893: b3101 - b3102 + x12702 >= 0 e51894: - b3102 + x12702 <= 0 e51895: b3102 - b3103 + x12703 >= 0 e51896: - b3103 + x12703 <= 0 e51897: b3103 - b3104 + x12704 >= 0 e51898: - b3104 + x12704 <= 0 e51899: b3104 - b3105 + x12705 >= 0 e51900: - b3105 + x12705 <= 0 e51901: b3105 - b3106 + x12706 >= 0 e51902: - b3106 + x12706 <= 0 e51903: b3106 - b3107 + x12707 >= 0 e51904: - b3107 + x12707 <= 0 e51905: b3107 - b3108 + x12708 >= 0 e51906: - b3108 + x12708 <= 0 e51907: b3108 - b3109 + x12709 >= 0 e51908: - b3109 + x12709 <= 0 e51909: b3109 - b3110 + x12710 >= 0 e51910: - b3110 + x12710 <= 0 e51911: b3110 - b3111 + x12711 >= 0 e51912: - b3111 + x12711 <= 0 e51913: b3111 - b3112 + x12712 >= 0 e51914: - b3112 + x12712 <= 0 e51915: b3112 - b3113 + x12713 >= 0 e51916: - b3113 + x12713 <= 0 e51917: b3113 - b3114 + x12714 >= 0 e51918: - b3114 + x12714 <= 0 e51919: b3114 - b3115 + x12715 >= 0 e51920: - b3115 + x12715 <= 0 e51921: b3115 - b3116 + x12716 >= 0 e51922: - b3116 + x12716 <= 0 e51923: b3116 - b3117 + x12717 >= 0 e51924: - b3117 + x12717 <= 0 e51925: b3117 - b3118 + x12718 >= 0 e51926: - b3118 + x12718 <= 0 e51927: b3118 - b3119 + x12719 >= 0 e51928: - b3119 + x12719 <= 0 e51929: b3119 - b3120 + x12720 >= 0 e51930: - b3120 + x12720 <= 0 e51931: b3120 - b3121 + x12721 >= 0 e51932: - b3121 + x12721 <= 0 e51933: x19272 = 1 e51934: - b3122 + x12722 + x19272 >= 0 e51935: - b3122 + x12722 <= 0 e51936: b3122 - b3123 + x12723 >= 0 e51937: - b3123 + x12723 <= 0 e51938: b3123 - b3124 + x12724 >= 0 e51939: - b3124 + x12724 <= 0 e51940: b3124 - b3125 + x12725 >= 0 e51941: - b3125 + x12725 <= 0 e51942: b3125 - b3126 + x12726 >= 0 e51943: - b3126 + x12726 <= 0 e51944: b3126 - b3127 + x12727 >= 0 e51945: - b3127 + x12727 <= 0 e51946: b3127 - b3128 + x12728 >= 0 e51947: - b3128 + x12728 <= 0 e51948: b3128 - b3129 + x12729 >= 0 e51949: - b3129 + x12729 <= 0 e51950: b3129 - b3130 + x12730 >= 0 e51951: - b3130 + x12730 <= 0 e51952: b3130 - b3131 + x12731 >= 0 e51953: - b3131 + x12731 <= 0 e51954: b3131 - b3132 + x12732 >= 0 e51955: - b3132 + x12732 <= 0 e51956: b3132 - b3133 + x12733 >= 0 e51957: - b3133 + x12733 <= 0 e51958: b3133 - b3134 + x12734 >= 0 e51959: - b3134 + x12734 <= 0 e51960: b3134 - b3135 + x12735 >= 0 e51961: - b3135 + x12735 <= 0 e51962: b3135 - b3136 + x12736 >= 0 e51963: - b3136 + x12736 <= 0 e51964: b3136 - b3137 + x12737 >= 0 e51965: - b3137 + x12737 <= 0 e51966: b3137 - b3138 + x12738 >= 0 e51967: - b3138 + x12738 <= 0 e51968: b3138 - b3139 + x12739 >= 0 e51969: - b3139 + x12739 <= 0 e51970: b3139 - b3140 + x12740 >= 0 e51971: - b3140 + x12740 <= 0 e51972: b3140 - b3141 + x12741 >= 0 e51973: - b3141 + x12741 <= 0 e51974: b3141 - b3142 + x12742 >= 0 e51975: - b3142 + x12742 <= 0 e51976: b3142 - b3143 + x12743 >= 0 e51977: - b3143 + x12743 <= 0 e51978: b3143 - b3144 + x12744 >= 0 e51979: - b3144 + x12744 <= 0 e51980: b3144 - b3145 + x12745 >= 0 e51981: - b3145 + x12745 <= 0 e51982: x19323 = 0 e51983: - b3146 + x12746 + x19323 >= 0 e51984: - b3146 + x12746 <= 0 e51985: b3146 - b3147 + x12747 >= 0 e51986: - b3147 + x12747 <= 0 e51987: b3147 - b3148 + x12748 >= 0 e51988: - b3148 + x12748 <= 0 e51989: b3148 - b3149 + x12749 >= 0 e51990: - b3149 + x12749 <= 0 e51991: b3149 - b3150 + x12750 >= 0 e51992: - b3150 + x12750 <= 0 e51993: b3150 - b3151 + x12751 >= 0 e51994: - b3151 + x12751 <= 0 e51995: b3151 - b3152 + x12752 >= 0 e51996: - b3152 + x12752 <= 0 e51997: b3152 - b3153 + x12753 >= 0 e51998: - b3153 + x12753 <= 0 e51999: b3153 - b3154 + x12754 >= 0 e52000: - b3154 + x12754 <= 0 e52001: b3154 - b3155 + x12755 >= 0 e52002: - b3155 + x12755 <= 0 e52003: b3155 - b3156 + x12756 >= 0 e52004: - b3156 + x12756 <= 0 e52005: b3156 - b3157 + x12757 >= 0 e52006: - b3157 + x12757 <= 0 e52007: b3157 - b3158 + x12758 >= 0 e52008: - b3158 + x12758 <= 0 e52009: b3158 - b3159 + x12759 >= 0 e52010: - b3159 + x12759 <= 0 e52011: b3159 - b3160 + x12760 >= 0 e52012: - b3160 + x12760 <= 0 e52013: b3160 - b3161 + x12761 >= 0 e52014: - b3161 + x12761 <= 0 e52015: b3161 - b3162 + x12762 >= 0 e52016: - b3162 + x12762 <= 0 e52017: b3162 - b3163 + x12763 >= 0 e52018: - b3163 + x12763 <= 0 e52019: b3163 - b3164 + x12764 >= 0 e52020: - b3164 + x12764 <= 0 e52021: b3164 - b3165 + x12765 >= 0 e52022: - b3165 + x12765 <= 0 e52023: b3165 - b3166 + x12766 >= 0 e52024: - b3166 + x12766 <= 0 e52025: b3166 - b3167 + x12767 >= 0 e52026: - b3167 + x12767 <= 0 e52027: b3167 - b3168 + x12768 >= 0 e52028: - b3168 + x12768 <= 0 e52029: b3168 - b3169 + x12769 >= 0 e52030: - b3169 + x12769 <= 0 e52031: x19273 = 0 e52032: - b3170 + x12770 + x19273 >= 0 e52033: - b3170 + x12770 <= 0 e52034: b3170 - b3171 + x12771 >= 0 e52035: - b3171 + x12771 <= 0 e52036: b3171 - b3172 + x12772 >= 0 e52037: - b3172 + x12772 <= 0 e52038: b3172 - b3173 + x12773 >= 0 e52039: - b3173 + x12773 <= 0 e52040: b3173 - b3174 + x12774 >= 0 e52041: - b3174 + x12774 <= 0 e52042: b3174 - b3175 + x12775 >= 0 e52043: - b3175 + x12775 <= 0 e52044: b3175 - b3176 + x12776 >= 0 e52045: - b3176 + x12776 <= 0 e52046: b3176 - b3177 + x12777 >= 0 e52047: - b3177 + x12777 <= 0 e52048: b3177 - b3178 + x12778 >= 0 e52049: - b3178 + x12778 <= 0 e52050: b3178 - b3179 + x12779 >= 0 e52051: - b3179 + x12779 <= 0 e52052: b3179 - b3180 + x12780 >= 0 e52053: - b3180 + x12780 <= 0 e52054: b3180 - b3181 + x12781 >= 0 e52055: - b3181 + x12781 <= 0 e52056: b3181 - b3182 + x12782 >= 0 e52057: - b3182 + x12782 <= 0 e52058: b3182 - b3183 + x12783 >= 0 e52059: - b3183 + x12783 <= 0 e52060: b3183 - b3184 + x12784 >= 0 e52061: - b3184 + x12784 <= 0 e52062: b3184 - b3185 + x12785 >= 0 e52063: - b3185 + x12785 <= 0 e52064: b3185 - b3186 + x12786 >= 0 e52065: - b3186 + x12786 <= 0 e52066: b3186 - b3187 + x12787 >= 0 e52067: - b3187 + x12787 <= 0 e52068: b3187 - b3188 + x12788 >= 0 e52069: - b3188 + x12788 <= 0 e52070: b3188 - b3189 + x12789 >= 0 e52071: - b3189 + x12789 <= 0 e52072: b3189 - b3190 + x12790 >= 0 e52073: - b3190 + x12790 <= 0 e52074: b3190 - b3191 + x12791 >= 0 e52075: - b3191 + x12791 <= 0 e52076: b3191 - b3192 + x12792 >= 0 e52077: - b3192 + x12792 <= 0 e52078: b3192 - b3193 + x12793 >= 0 e52079: - b3193 + x12793 <= 0 e52080: x19274 = 0 e52081: - b3194 + x12794 + x19274 >= 0 e52082: - b3194 + x12794 <= 0 e52083: b3194 - b3195 + x12795 >= 0 e52084: - b3195 + x12795 <= 0 e52085: b3195 - b3196 + x12796 >= 0 e52086: - b3196 + x12796 <= 0 e52087: b3196 - b3197 + x12797 >= 0 e52088: - b3197 + x12797 <= 0 e52089: b3197 - b3198 + x12798 >= 0 e52090: - b3198 + x12798 <= 0 e52091: b3198 - b3199 + x12799 >= 0 e52092: - b3199 + x12799 <= 0 e52093: b3199 - b3200 + x12800 >= 0 e52094: - b3200 + x12800 <= 0 e52095: b3200 - b3201 + x12801 >= 0 e52096: - b3201 + x12801 <= 0 e52097: b3201 - b3202 + x12802 >= 0 e52098: - b3202 + x12802 <= 0 e52099: b3202 - b3203 + x12803 >= 0 e52100: - b3203 + x12803 <= 0 e52101: b3203 - b3204 + x12804 >= 0 e52102: - b3204 + x12804 <= 0 e52103: b3204 - b3205 + x12805 >= 0 e52104: - b3205 + x12805 <= 0 e52105: b3205 - b3206 + x12806 >= 0 e52106: - b3206 + x12806 <= 0 e52107: b3206 - b3207 + x12807 >= 0 e52108: - b3207 + x12807 <= 0 e52109: b3207 - b3208 + x12808 >= 0 e52110: - b3208 + x12808 <= 0 e52111: b3208 - b3209 + x12809 >= 0 e52112: - b3209 + x12809 <= 0 e52113: b3209 - b3210 + x12810 >= 0 e52114: - b3210 + x12810 <= 0 e52115: b3210 - b3211 + x12811 >= 0 e52116: - b3211 + x12811 <= 0 e52117: b3211 - b3212 + x12812 >= 0 e52118: - b3212 + x12812 <= 0 e52119: b3212 - b3213 + x12813 >= 0 e52120: - b3213 + x12813 <= 0 e52121: b3213 - b3214 + x12814 >= 0 e52122: - b3214 + x12814 <= 0 e52123: b3214 - b3215 + x12815 >= 0 e52124: - b3215 + x12815 <= 0 e52125: b3215 - b3216 + x12816 >= 0 e52126: - b3216 + x12816 <= 0 e52127: b3216 - b3217 + x12817 >= 0 e52128: - b3217 + x12817 <= 0 e52129: x19275 = 0 e52130: - b3218 + x12818 + x19275 >= 0 e52131: - b3218 + x12818 <= 0 e52132: b3218 - b3219 + x12819 >= 0 e52133: - b3219 + x12819 <= 0 e52134: b3219 - b3220 + x12820 >= 0 e52135: - b3220 + x12820 <= 0 e52136: b3220 - b3221 + x12821 >= 0 e52137: - b3221 + x12821 <= 0 e52138: b3221 - b3222 + x12822 >= 0 e52139: - b3222 + x12822 <= 0 e52140: b3222 - b3223 + x12823 >= 0 e52141: - b3223 + x12823 <= 0 e52142: b3223 - b3224 + x12824 >= 0 e52143: - b3224 + x12824 <= 0 e52144: b3224 - b3225 + x12825 >= 0 e52145: - b3225 + x12825 <= 0 e52146: b3225 - b3226 + x12826 >= 0 e52147: - b3226 + x12826 <= 0 e52148: b3226 - b3227 + x12827 >= 0 e52149: - b3227 + x12827 <= 0 e52150: b3227 - b3228 + x12828 >= 0 e52151: - b3228 + x12828 <= 0 e52152: b3228 - b3229 + x12829 >= 0 e52153: - b3229 + x12829 <= 0 e52154: b3229 - b3230 + x12830 >= 0 e52155: - b3230 + x12830 <= 0 e52156: b3230 - b3231 + x12831 >= 0 e52157: - b3231 + x12831 <= 0 e52158: b3231 - b3232 + x12832 >= 0 e52159: - b3232 + x12832 <= 0 e52160: b3232 - b3233 + x12833 >= 0 e52161: - b3233 + x12833 <= 0 e52162: b3233 - b3234 + x12834 >= 0 e52163: - b3234 + x12834 <= 0 e52164: b3234 - b3235 + x12835 >= 0 e52165: - b3235 + x12835 <= 0 e52166: b3235 - b3236 + x12836 >= 0 e52167: - b3236 + x12836 <= 0 e52168: b3236 - b3237 + x12837 >= 0 e52169: - b3237 + x12837 <= 0 e52170: b3237 - b3238 + x12838 >= 0 e52171: - b3238 + x12838 <= 0 e52172: b3238 - b3239 + x12839 >= 0 e52173: - b3239 + x12839 <= 0 e52174: b3239 - b3240 + x12840 >= 0 e52175: - b3240 + x12840 <= 0 e52176: b3240 - b3241 + x12841 >= 0 e52177: - b3241 + x12841 <= 0 e52178: x19324 = 0 e52179: - b3242 + x12842 + x19324 >= 0 e52180: - b3242 + x12842 <= 0 e52181: b3242 - b3243 + x12843 >= 0 e52182: - b3243 + x12843 <= 0 e52183: b3243 - b3244 + x12844 >= 0 e52184: - b3244 + x12844 <= 0 e52185: b3244 - b3245 + x12845 >= 0 e52186: - b3245 + x12845 <= 0 e52187: b3245 - b3246 + x12846 >= 0 e52188: - b3246 + x12846 <= 0 e52189: b3246 - b3247 + x12847 >= 0 e52190: - b3247 + x12847 <= 0 e52191: b3247 - b3248 + x12848 >= 0 e52192: - b3248 + x12848 <= 0 e52193: b3248 - b3249 + x12849 >= 0 e52194: - b3249 + x12849 <= 0 e52195: b3249 - b3250 + x12850 >= 0 e52196: - b3250 + x12850 <= 0 e52197: b3250 - b3251 + x12851 >= 0 e52198: - b3251 + x12851 <= 0 e52199: b3251 - b3252 + x12852 >= 0 e52200: - b3252 + x12852 <= 0 e52201: b3252 - b3253 + x12853 >= 0 e52202: - b3253 + x12853 <= 0 e52203: b3253 - b3254 + x12854 >= 0 e52204: - b3254 + x12854 <= 0 e52205: b3254 - b3255 + x12855 >= 0 e52206: - b3255 + x12855 <= 0 e52207: b3255 - b3256 + x12856 >= 0 e52208: - b3256 + x12856 <= 0 e52209: b3256 - b3257 + x12857 >= 0 e52210: - b3257 + x12857 <= 0 e52211: b3257 - b3258 + x12858 >= 0 e52212: - b3258 + x12858 <= 0 e52213: b3258 - b3259 + x12859 >= 0 e52214: - b3259 + x12859 <= 0 e52215: b3259 - b3260 + x12860 >= 0 e52216: - b3260 + x12860 <= 0 e52217: b3260 - b3261 + x12861 >= 0 e52218: - b3261 + x12861 <= 0 e52219: b3261 - b3262 + x12862 >= 0 e52220: - b3262 + x12862 <= 0 e52221: b3262 - b3263 + x12863 >= 0 e52222: - b3263 + x12863 <= 0 e52223: b3263 - b3264 + x12864 >= 0 e52224: - b3264 + x12864 <= 0 e52225: b3264 - b3265 + x12865 >= 0 e52226: - b3265 + x12865 <= 0 e52227: x19276 = 1 e52228: - b3266 + x12866 + x19276 >= 0 e52229: - b3266 + x12866 <= 0 e52230: b3266 - b3267 + x12867 >= 0 e52231: - b3267 + x12867 <= 0 e52232: b3267 - b3268 + x12868 >= 0 e52233: - b3268 + x12868 <= 0 e52234: b3268 - b3269 + x12869 >= 0 e52235: - b3269 + x12869 <= 0 e52236: b3269 - b3270 + x12870 >= 0 e52237: - b3270 + x12870 <= 0 e52238: b3270 - b3271 + x12871 >= 0 e52239: - b3271 + x12871 <= 0 e52240: b3271 - b3272 + x12872 >= 0 e52241: - b3272 + x12872 <= 0 e52242: b3272 - b3273 + x12873 >= 0 e52243: - b3273 + x12873 <= 0 e52244: b3273 - b3274 + x12874 >= 0 e52245: - b3274 + x12874 <= 0 e52246: b3274 - b3275 + x12875 >= 0 e52247: - b3275 + x12875 <= 0 e52248: b3275 - b3276 + x12876 >= 0 e52249: - b3276 + x12876 <= 0 e52250: b3276 - b3277 + x12877 >= 0 e52251: - b3277 + x12877 <= 0 e52252: b3277 - b3278 + x12878 >= 0 e52253: - b3278 + x12878 <= 0 e52254: b3278 - b3279 + x12879 >= 0 e52255: - b3279 + x12879 <= 0 e52256: b3279 - b3280 + x12880 >= 0 e52257: - b3280 + x12880 <= 0 e52258: b3280 - b3281 + x12881 >= 0 e52259: - b3281 + x12881 <= 0 e52260: b3281 - b3282 + x12882 >= 0 e52261: - b3282 + x12882 <= 0 e52262: b3282 - b3283 + x12883 >= 0 e52263: - b3283 + x12883 <= 0 e52264: b3283 - b3284 + x12884 >= 0 e52265: - b3284 + x12884 <= 0 e52266: b3284 - b3285 + x12885 >= 0 e52267: - b3285 + x12885 <= 0 e52268: b3285 - b3286 + x12886 >= 0 e52269: - b3286 + x12886 <= 0 e52270: b3286 - b3287 + x12887 >= 0 e52271: - b3287 + x12887 <= 0 e52272: b3287 - b3288 + x12888 >= 0 e52273: - b3288 + x12888 <= 0 e52274: b3288 - b3289 + x12889 >= 0 e52275: - b3289 + x12889 <= 0 e52276: b3294 = 1 e52277: b3290 = 1 e52278: b3291 = 1 e52279: b3292 = 1 e52280: b3293 = 1 e52281: b3294 = 1 e52282: b3294 - b3295 + x12895 >= 0 e52283: - b3295 + x12895 <= 0 e52284: b3295 - b3296 + x12896 >= 0 e52285: - b3296 + x12896 <= 0 e52286: b3296 - b3297 + x12897 >= 0 e52287: - b3297 + x12897 <= 0 e52288: b3297 - b3298 + x12898 >= 0 e52289: - b3298 + x12898 <= 0 e52290: b3298 - b3299 + x12899 >= 0 e52291: - b3299 + x12899 <= 0 e52292: b3299 - b3300 + x12900 >= 0 e52293: - b3300 + x12900 <= 0 e52294: b3300 - b3301 + x12901 >= 0 e52295: - b3301 + x12901 <= 0 e52296: b3301 - b3302 + x12902 >= 0 e52297: - b3302 + x12902 <= 0 e52298: b3302 - b3303 + x12903 >= 0 e52299: - b3303 + x12903 <= 0 e52300: b3303 - b3304 + x12904 >= 0 e52301: - b3304 + x12904 <= 0 e52302: b3304 - b3305 + x12905 >= 0 e52303: - b3305 + x12905 <= 0 e52304: b3305 - b3306 + x12906 >= 0 e52305: - b3306 + x12906 <= 0 e52306: b3306 - b3307 + x12907 >= 0 e52307: - b3307 + x12907 <= 0 e52308: b3307 - b3308 + x12908 >= 0 e52309: - b3308 + x12908 <= 0 e52310: b3308 - b3309 + x12909 >= 0 e52311: - b3309 + x12909 <= 0 e52312: b3309 - b3310 + x12910 >= 0 e52313: - b3310 + x12910 <= 0 e52314: b3310 - b3311 + x12911 >= 0 e52315: - b3311 + x12911 <= 0 e52316: b3311 - b3312 + x12912 >= 0 e52317: - b3312 + x12912 <= 0 e52318: b3312 - b3313 + x12913 >= 0 e52319: - b3313 + x12913 <= 0 e52320: x19277 = 1 e52321: - b3314 + x12914 + x19277 >= 0 e52322: - b3314 + x12914 <= 0 e52323: b3314 - b3315 + x12915 >= 0 e52324: - b3315 + x12915 <= 0 e52325: b3315 - b3316 + x12916 >= 0 e52326: - b3316 + x12916 <= 0 e52327: b3316 - b3317 + x12917 >= 0 e52328: - b3317 + x12917 <= 0 e52329: b3317 - b3318 + x12918 >= 0 e52330: - b3318 + x12918 <= 0 e52331: b3318 - b3319 + x12919 >= 0 e52332: - b3319 + x12919 <= 0 e52333: b3319 - b3320 + x12920 >= 0 e52334: - b3320 + x12920 <= 0 e52335: b3320 - b3321 + x12921 >= 0 e52336: - b3321 + x12921 <= 0 e52337: b3321 - b3322 + x12922 >= 0 e52338: - b3322 + x12922 <= 0 e52339: b3322 - b3323 + x12923 >= 0 e52340: - b3323 + x12923 <= 0 e52341: b3323 - b3324 + x12924 >= 0 e52342: - b3324 + x12924 <= 0 e52343: b3324 - b3325 + x12925 >= 0 e52344: - b3325 + x12925 <= 0 e52345: b3325 - b3326 + x12926 >= 0 e52346: - b3326 + x12926 <= 0 e52347: b3326 - b3327 + x12927 >= 0 e52348: - b3327 + x12927 <= 0 e52349: b3327 - b3328 + x12928 >= 0 e52350: - b3328 + x12928 <= 0 e52351: b3328 - b3329 + x12929 >= 0 e52352: - b3329 + x12929 <= 0 e52353: b3329 - b3330 + x12930 >= 0 e52354: - b3330 + x12930 <= 0 e52355: b3330 - b3331 + x12931 >= 0 e52356: - b3331 + x12931 <= 0 e52357: b3331 - b3332 + x12932 >= 0 e52358: - b3332 + x12932 <= 0 e52359: b3332 - b3333 + x12933 >= 0 e52360: - b3333 + x12933 <= 0 e52361: b3333 - b3334 + x12934 >= 0 e52362: - b3334 + x12934 <= 0 e52363: b3334 - b3335 + x12935 >= 0 e52364: - b3335 + x12935 <= 0 e52365: b3335 - b3336 + x12936 >= 0 e52366: - b3336 + x12936 <= 0 e52367: b3336 - b3337 + x12937 >= 0 e52368: - b3337 + x12937 <= 0 e52369: b3340 = 1 e52370: b3338 = 1 e52371: b3339 = 1 e52372: b3340 = 1 e52373: b3340 - b3341 + x12941 >= 0 e52374: - b3341 + x12941 <= 0 e52375: b3341 - b3342 + x12942 >= 0 e52376: - b3342 + x12942 <= 0 e52377: b3342 - b3343 + x12943 >= 0 e52378: - b3343 + x12943 <= 0 e52379: b3343 - b3344 + x12944 >= 0 e52380: - b3344 + x12944 <= 0 e52381: b3344 - b3345 + x12945 >= 0 e52382: - b3345 + x12945 <= 0 e52383: b3345 - b3346 + x12946 >= 0 e52384: - b3346 + x12946 <= 0 e52385: b3346 - b3347 + x12947 >= 0 e52386: - b3347 + x12947 <= 0 e52387: b3347 - b3348 + x12948 >= 0 e52388: - b3348 + x12948 <= 0 e52389: b3348 - b3349 + x12949 >= 0 e52390: - b3349 + x12949 <= 0 e52391: b3349 - b3350 + x12950 >= 0 e52392: - b3350 + x12950 <= 0 e52393: b3350 - b3351 + x12951 >= 0 e52394: - b3351 + x12951 <= 0 e52395: b3351 - b3352 + x12952 >= 0 e52396: - b3352 + x12952 <= 0 e52397: b3352 - b3353 + x12953 >= 0 e52398: - b3353 + x12953 <= 0 e52399: b3353 - b3354 + x12954 >= 0 e52400: - b3354 + x12954 <= 0 e52401: b3354 - b3355 + x12955 >= 0 e52402: - b3355 + x12955 <= 0 e52403: b3355 - b3356 + x12956 >= 0 e52404: - b3356 + x12956 <= 0 e52405: b3356 - b3357 + x12957 >= 0 e52406: - b3357 + x12957 <= 0 e52407: b3357 - b3358 + x12958 >= 0 e52408: - b3358 + x12958 <= 0 e52409: b3358 - b3359 + x12959 >= 0 e52410: - b3359 + x12959 <= 0 e52411: b3359 - b3360 + x12960 >= 0 e52412: - b3360 + x12960 <= 0 e52413: b3360 - b3361 + x12961 >= 0 e52414: - b3361 + x12961 <= 0 e52415: b3367 = 1 e52416: b3362 = 1 e52417: b3363 = 1 e52418: b3364 = 1 e52419: b3365 = 1 e52420: b3366 = 1 e52421: b3367 = 1 e52422: b3367 - b3368 + x12968 >= 0 e52423: - b3368 + x12968 <= 0 e52424: b3368 - b3369 + x12969 >= 0 e52425: - b3369 + x12969 <= 0 e52426: b3369 - b3370 + x12970 >= 0 e52427: - b3370 + x12970 <= 0 e52428: b3370 - b3371 + x12971 >= 0 e52429: - b3371 + x12971 <= 0 e52430: b3371 - b3372 + x12972 >= 0 e52431: - b3372 + x12972 <= 0 e52432: b3372 - b3373 + x12973 >= 0 e52433: - b3373 + x12973 <= 0 e52434: b3373 - b3374 + x12974 >= 0 e52435: - b3374 + x12974 <= 0 e52436: b3374 - b3375 + x12975 >= 0 e52437: - b3375 + x12975 <= 0 e52438: b3375 - b3376 + x12976 >= 0 e52439: - b3376 + x12976 <= 0 e52440: b3376 - b3377 + x12977 >= 0 e52441: - b3377 + x12977 <= 0 e52442: b3377 - b3378 + x12978 >= 0 e52443: - b3378 + x12978 <= 0 e52444: b3378 - b3379 + x12979 >= 0 e52445: - b3379 + x12979 <= 0 e52446: b3379 - b3380 + x12980 >= 0 e52447: - b3380 + x12980 <= 0 e52448: b3380 - b3381 + x12981 >= 0 e52449: - b3381 + x12981 <= 0 e52450: b3381 - b3382 + x12982 >= 0 e52451: - b3382 + x12982 <= 0 e52452: b3382 - b3383 + x12983 >= 0 e52453: - b3383 + x12983 <= 0 e52454: b3383 - b3384 + x12984 >= 0 e52455: - b3384 + x12984 <= 0 e52456: b3384 - b3385 + x12985 >= 0 e52457: - b3385 + x12985 <= 0 e52458: x19278 = 0 e52459: - b3386 + x12986 + x19278 >= 0 e52460: - b3386 + x12986 <= 0 e52461: b3386 - b3387 + x12987 >= 0 e52462: - b3387 + x12987 <= 0 e52463: b3387 - b3388 + x12988 >= 0 e52464: - b3388 + x12988 <= 0 e52465: b3388 - b3389 + x12989 >= 0 e52466: - b3389 + x12989 <= 0 e52467: b3389 - b3390 + x12990 >= 0 e52468: - b3390 + x12990 <= 0 e52469: b3390 - b3391 + x12991 >= 0 e52470: - b3391 + x12991 <= 0 e52471: b3391 - b3392 + x12992 >= 0 e52472: - b3392 + x12992 <= 0 e52473: b3392 - b3393 + x12993 >= 0 e52474: - b3393 + x12993 <= 0 e52475: b3393 - b3394 + x12994 >= 0 e52476: - b3394 + x12994 <= 0 e52477: b3394 - b3395 + x12995 >= 0 e52478: - b3395 + x12995 <= 0 e52479: b3395 - b3396 + x12996 >= 0 e52480: - b3396 + x12996 <= 0 e52481: b3396 - b3397 + x12997 >= 0 e52482: - b3397 + x12997 <= 0 e52483: b3397 - b3398 + x12998 >= 0 e52484: - b3398 + x12998 <= 0 e52485: b3398 - b3399 + x12999 >= 0 e52486: - b3399 + x12999 <= 0 e52487: b3399 - b3400 + x13000 >= 0 e52488: - b3400 + x13000 <= 0 e52489: b3400 - b3401 + x13001 >= 0 e52490: - b3401 + x13001 <= 0 e52491: b3401 - b3402 + x13002 >= 0 e52492: - b3402 + x13002 <= 0 e52493: b3402 - b3403 + x13003 >= 0 e52494: - b3403 + x13003 <= 0 e52495: b3403 - b3404 + x13004 >= 0 e52496: - b3404 + x13004 <= 0 e52497: b3404 - b3405 + x13005 >= 0 e52498: - b3405 + x13005 <= 0 e52499: b3405 - b3406 + x13006 >= 0 e52500: - b3406 + x13006 <= 0 e52501: b3406 - b3407 + x13007 >= 0 e52502: - b3407 + x13007 <= 0 e52503: b3407 - b3408 + x13008 >= 0 e52504: - b3408 + x13008 <= 0 e52505: b3408 - b3409 + x13009 >= 0 e52506: - b3409 + x13009 <= 0 e52507: b3410 = 1 e52508: b3410 = 1 e52509: b3410 - b3411 + x13011 >= 0 e52510: - b3411 + x13011 <= 0 e52511: b3411 - b3412 + x13012 >= 0 e52512: - b3412 + x13012 <= 0 e52513: b3412 - b3413 + x13013 >= 0 e52514: - b3413 + x13013 <= 0 e52515: b3413 - b3414 + x13014 >= 0 e52516: - b3414 + x13014 <= 0 e52517: b3414 - b3415 + x13015 >= 0 e52518: - b3415 + x13015 <= 0 e52519: b3415 - b3416 + x13016 >= 0 e52520: - b3416 + x13016 <= 0 e52521: b3416 - b3417 + x13017 >= 0 e52522: - b3417 + x13017 <= 0 e52523: b3417 - b3418 + x13018 >= 0 e52524: - b3418 + x13018 <= 0 e52525: b3418 - b3419 + x13019 >= 0 e52526: - b3419 + x13019 <= 0 e52527: b3419 - b3420 + x13020 >= 0 e52528: - b3420 + x13020 <= 0 e52529: b3420 - b3421 + x13021 >= 0 e52530: - b3421 + x13021 <= 0 e52531: b3421 - b3422 + x13022 >= 0 e52532: - b3422 + x13022 <= 0 e52533: b3422 - b3423 + x13023 >= 0 e52534: - b3423 + x13023 <= 0 e52535: b3423 - b3424 + x13024 >= 0 e52536: - b3424 + x13024 <= 0 e52537: b3424 - b3425 + x13025 >= 0 e52538: - b3425 + x13025 <= 0 e52539: b3425 - b3426 + x13026 >= 0 e52540: - b3426 + x13026 <= 0 e52541: b3426 - b3427 + x13027 >= 0 e52542: - b3427 + x13027 <= 0 e52543: b3427 - b3428 + x13028 >= 0 e52544: - b3428 + x13028 <= 0 e52545: b3428 - b3429 + x13029 >= 0 e52546: - b3429 + x13029 <= 0 e52547: b3429 - b3430 + x13030 >= 0 e52548: - b3430 + x13030 <= 0 e52549: b3430 - b3431 + x13031 >= 0 e52550: - b3431 + x13031 <= 0 e52551: b3431 - b3432 + x13032 >= 0 e52552: - b3432 + x13032 <= 0 e52553: b3432 - b3433 + x13033 >= 0 e52554: - b3433 + x13033 <= 0 e52555: b3434 = 1 e52556: b3434 = 1 e52557: b3434 - b3435 + x13035 >= 0 e52558: - b3435 + x13035 <= 0 e52559: b3435 - b3436 + x13036 >= 0 e52560: - b3436 + x13036 <= 0 e52561: b3436 - b3437 + x13037 >= 0 e52562: - b3437 + x13037 <= 0 e52563: b3437 - b3438 + x13038 >= 0 e52564: - b3438 + x13038 <= 0 e52565: b3438 - b3439 + x13039 >= 0 e52566: - b3439 + x13039 <= 0 e52567: b3439 - b3440 + x13040 >= 0 e52568: - b3440 + x13040 <= 0 e52569: b3440 - b3441 + x13041 >= 0 e52570: - b3441 + x13041 <= 0 e52571: b3441 - b3442 + x13042 >= 0 e52572: - b3442 + x13042 <= 0 e52573: b3442 - b3443 + x13043 >= 0 e52574: - b3443 + x13043 <= 0 e52575: b3443 - b3444 + x13044 >= 0 e52576: - b3444 + x13044 <= 0 e52577: b3444 - b3445 + x13045 >= 0 e52578: - b3445 + x13045 <= 0 e52579: b3445 - b3446 + x13046 >= 0 e52580: - b3446 + x13046 <= 0 e52581: b3446 - b3447 + x13047 >= 0 e52582: - b3447 + x13047 <= 0 e52583: b3447 - b3448 + x13048 >= 0 e52584: - b3448 + x13048 <= 0 e52585: b3448 - b3449 + x13049 >= 0 e52586: - b3449 + x13049 <= 0 e52587: b3449 - b3450 + x13050 >= 0 e52588: - b3450 + x13050 <= 0 e52589: b3450 - b3451 + x13051 >= 0 e52590: - b3451 + x13051 <= 0 e52591: b3451 - b3452 + x13052 >= 0 e52592: - b3452 + x13052 <= 0 e52593: b3452 - b3453 + x13053 >= 0 e52594: - b3453 + x13053 <= 0 e52595: b3453 - b3454 + x13054 >= 0 e52596: - b3454 + x13054 <= 0 e52597: b3454 - b3455 + x13055 >= 0 e52598: - b3455 + x13055 <= 0 e52599: b3455 - b3456 + x13056 >= 0 e52600: - b3456 + x13056 <= 0 e52601: b3456 - b3457 + x13057 >= 0 e52602: - b3457 + x13057 <= 0 e52603: x19279 = 0 e52604: - b3458 + x13058 + x19279 >= 0 e52605: - b3458 + x13058 <= 0 e52606: b3458 - b3459 + x13059 >= 0 e52607: - b3459 + x13059 <= 0 e52608: b3459 - b3460 + x13060 >= 0 e52609: - b3460 + x13060 <= 0 e52610: b3460 - b3461 + x13061 >= 0 e52611: - b3461 + x13061 <= 0 e52612: b3461 - b3462 + x13062 >= 0 e52613: - b3462 + x13062 <= 0 e52614: b3462 - b3463 + x13063 >= 0 e52615: - b3463 + x13063 <= 0 e52616: b3463 - b3464 + x13064 >= 0 e52617: - b3464 + x13064 <= 0 e52618: b3464 - b3465 + x13065 >= 0 e52619: - b3465 + x13065 <= 0 e52620: b3465 - b3466 + x13066 >= 0 e52621: - b3466 + x13066 <= 0 e52622: b3466 - b3467 + x13067 >= 0 e52623: - b3467 + x13067 <= 0 e52624: b3467 - b3468 + x13068 >= 0 e52625: - b3468 + x13068 <= 0 e52626: b3468 - b3469 + x13069 >= 0 e52627: - b3469 + x13069 <= 0 e52628: b3469 - b3470 + x13070 >= 0 e52629: - b3470 + x13070 <= 0 e52630: b3470 - b3471 + x13071 >= 0 e52631: - b3471 + x13071 <= 0 e52632: b3471 - b3472 + x13072 >= 0 e52633: - b3472 + x13072 <= 0 e52634: b3472 - b3473 + x13073 >= 0 e52635: - b3473 + x13073 <= 0 e52636: b3473 - b3474 + x13074 >= 0 e52637: - b3474 + x13074 <= 0 e52638: b3474 - b3475 + x13075 >= 0 e52639: - b3475 + x13075 <= 0 e52640: b3475 - b3476 + x13076 >= 0 e52641: - b3476 + x13076 <= 0 e52642: b3476 - b3477 + x13077 >= 0 e52643: - b3477 + x13077 <= 0 e52644: b3477 - b3478 + x13078 >= 0 e52645: - b3478 + x13078 <= 0 e52646: b3478 - b3479 + x13079 >= 0 e52647: - b3479 + x13079 <= 0 e52648: b3479 - b3480 + x13080 >= 0 e52649: - b3480 + x13080 <= 0 e52650: b3480 - b3481 + x13081 >= 0 e52651: - b3481 + x13081 <= 0 e52652: x19325 = 0 e52653: - b3482 + x13082 + x19325 >= 0 e52654: - b3482 + x13082 <= 0 e52655: b3482 - b3483 + x13083 >= 0 e52656: - b3483 + x13083 <= 0 e52657: b3483 - b3484 + x13084 >= 0 e52658: - b3484 + x13084 <= 0 e52659: b3484 - b3485 + x13085 >= 0 e52660: - b3485 + x13085 <= 0 e52661: b3485 - b3486 + x13086 >= 0 e52662: - b3486 + x13086 <= 0 e52663: b3486 - b3487 + x13087 >= 0 e52664: - b3487 + x13087 <= 0 e52665: b3487 - b3488 + x13088 >= 0 e52666: - b3488 + x13088 <= 0 e52667: b3488 - b3489 + x13089 >= 0 e52668: - b3489 + x13089 <= 0 e52669: b3489 - b3490 + x13090 >= 0 e52670: - b3490 + x13090 <= 0 e52671: b3490 - b3491 + x13091 >= 0 e52672: - b3491 + x13091 <= 0 e52673: b3491 - b3492 + x13092 >= 0 e52674: - b3492 + x13092 <= 0 e52675: b3492 - b3493 + x13093 >= 0 e52676: - b3493 + x13093 <= 0 e52677: b3493 - b3494 + x13094 >= 0 e52678: - b3494 + x13094 <= 0 e52679: b3494 - b3495 + x13095 >= 0 e52680: - b3495 + x13095 <= 0 e52681: b3495 - b3496 + x13096 >= 0 e52682: - b3496 + x13096 <= 0 e52683: b3496 - b3497 + x13097 >= 0 e52684: - b3497 + x13097 <= 0 e52685: b3497 - b3498 + x13098 >= 0 e52686: - b3498 + x13098 <= 0 e52687: b3498 - b3499 + x13099 >= 0 e52688: - b3499 + x13099 <= 0 e52689: b3499 - b3500 + x13100 >= 0 e52690: - b3500 + x13100 <= 0 e52691: b3500 - b3501 + x13101 >= 0 e52692: - b3501 + x13101 <= 0 e52693: b3501 - b3502 + x13102 >= 0 e52694: - b3502 + x13102 <= 0 e52695: b3502 - b3503 + x13103 >= 0 e52696: - b3503 + x13103 <= 0 e52697: b3503 - b3504 + x13104 >= 0 e52698: - b3504 + x13104 <= 0 e52699: b3504 - b3505 + x13105 >= 0 e52700: - b3505 + x13105 <= 0 e52701: x19326 = 0 e52702: - b3506 + x13106 + x19326 >= 0 e52703: - b3506 + x13106 <= 0 e52704: b3506 - b3507 + x13107 >= 0 e52705: - b3507 + x13107 <= 0 e52706: b3507 - b3508 + x13108 >= 0 e52707: - b3508 + x13108 <= 0 e52708: b3508 - b3509 + x13109 >= 0 e52709: - b3509 + x13109 <= 0 e52710: b3509 - b3510 + x13110 >= 0 e52711: - b3510 + x13110 <= 0 e52712: b3510 - b3511 + x13111 >= 0 e52713: - b3511 + x13111 <= 0 e52714: b3511 - b3512 + x13112 >= 0 e52715: - b3512 + x13112 <= 0 e52716: b3512 - b3513 + x13113 >= 0 e52717: - b3513 + x13113 <= 0 e52718: b3513 - b3514 + x13114 >= 0 e52719: - b3514 + x13114 <= 0 e52720: b3514 - b3515 + x13115 >= 0 e52721: - b3515 + x13115 <= 0 e52722: b3515 - b3516 + x13116 >= 0 e52723: - b3516 + x13116 <= 0 e52724: b3516 - b3517 + x13117 >= 0 e52725: - b3517 + x13117 <= 0 e52726: b3517 - b3518 + x13118 >= 0 e52727: - b3518 + x13118 <= 0 e52728: b3518 - b3519 + x13119 >= 0 e52729: - b3519 + x13119 <= 0 e52730: b3519 - b3520 + x13120 >= 0 e52731: - b3520 + x13120 <= 0 e52732: b3520 - b3521 + x13121 >= 0 e52733: - b3521 + x13121 <= 0 e52734: b3521 - b3522 + x13122 >= 0 e52735: - b3522 + x13122 <= 0 e52736: b3522 - b3523 + x13123 >= 0 e52737: - b3523 + x13123 <= 0 e52738: b3523 - b3524 + x13124 >= 0 e52739: - b3524 + x13124 <= 0 e52740: b3524 - b3525 + x13125 >= 0 e52741: - b3525 + x13125 <= 0 e52742: b3525 - b3526 + x13126 >= 0 e52743: - b3526 + x13126 <= 0 e52744: b3526 - b3527 + x13127 >= 0 e52745: - b3527 + x13127 <= 0 e52746: b3527 - b3528 + x13128 >= 0 e52747: - b3528 + x13128 <= 0 e52748: b3528 - b3529 + x13129 >= 0 e52749: - b3529 + x13129 <= 0 e52750: x19327 = 0 e52751: - b3530 + x13130 + x19327 >= 0 e52752: - b3530 + x13130 <= 0 e52753: b3530 - b3531 + x13131 >= 0 e52754: - b3531 + x13131 <= 0 e52755: b3531 - b3532 + x13132 >= 0 e52756: - b3532 + x13132 <= 0 e52757: b3532 - b3533 + x13133 >= 0 e52758: - b3533 + x13133 <= 0 e52759: b3533 - b3534 + x13134 >= 0 e52760: - b3534 + x13134 <= 0 e52761: b3534 - b3535 + x13135 >= 0 e52762: - b3535 + x13135 <= 0 e52763: b3535 - b3536 + x13136 >= 0 e52764: - b3536 + x13136 <= 0 e52765: b3536 - b3537 + x13137 >= 0 e52766: - b3537 + x13137 <= 0 e52767: b3537 - b3538 + x13138 >= 0 e52768: - b3538 + x13138 <= 0 e52769: b3538 - b3539 + x13139 >= 0 e52770: - b3539 + x13139 <= 0 e52771: b3539 - b3540 + x13140 >= 0 e52772: - b3540 + x13140 <= 0 e52773: b3540 - b3541 + x13141 >= 0 e52774: - b3541 + x13141 <= 0 e52775: b3541 - b3542 + x13142 >= 0 e52776: - b3542 + x13142 <= 0 e52777: b3542 - b3543 + x13143 >= 0 e52778: - b3543 + x13143 <= 0 e52779: b3543 - b3544 + x13144 >= 0 e52780: - b3544 + x13144 <= 0 e52781: b3544 - b3545 + x13145 >= 0 e52782: - b3545 + x13145 <= 0 e52783: b3545 - b3546 + x13146 >= 0 e52784: - b3546 + x13146 <= 0 e52785: b3546 - b3547 + x13147 >= 0 e52786: - b3547 + x13147 <= 0 e52787: b3547 - b3548 + x13148 >= 0 e52788: - b3548 + x13148 <= 0 e52789: b3548 - b3549 + x13149 >= 0 e52790: - b3549 + x13149 <= 0 e52791: b3549 - b3550 + x13150 >= 0 e52792: - b3550 + x13150 <= 0 e52793: b3550 - b3551 + x13151 >= 0 e52794: - b3551 + x13151 <= 0 e52795: b3551 - b3552 + x13152 >= 0 e52796: - b3552 + x13152 <= 0 e52797: b3552 - b3553 + x13153 >= 0 e52798: - b3553 + x13153 <= 0 e52799: x19328 = 0 e52800: - b3554 + x13154 + x19328 >= 0 e52801: - b3554 + x13154 <= 0 e52802: b3554 - b3555 + x13155 >= 0 e52803: - b3555 + x13155 <= 0 e52804: b3555 - b3556 + x13156 >= 0 e52805: - b3556 + x13156 <= 0 e52806: b3556 - b3557 + x13157 >= 0 e52807: - b3557 + x13157 <= 0 e52808: b3557 - b3558 + x13158 >= 0 e52809: - b3558 + x13158 <= 0 e52810: b3558 - b3559 + x13159 >= 0 e52811: - b3559 + x13159 <= 0 e52812: b3559 - b3560 + x13160 >= 0 e52813: - b3560 + x13160 <= 0 e52814: b3560 - b3561 + x13161 >= 0 e52815: - b3561 + x13161 <= 0 e52816: b3561 - b3562 + x13162 >= 0 e52817: - b3562 + x13162 <= 0 e52818: b3562 - b3563 + x13163 >= 0 e52819: - b3563 + x13163 <= 0 e52820: b3563 - b3564 + x13164 >= 0 e52821: - b3564 + x13164 <= 0 e52822: b3564 - b3565 + x13165 >= 0 e52823: - b3565 + x13165 <= 0 e52824: b3565 - b3566 + x13166 >= 0 e52825: - b3566 + x13166 <= 0 e52826: b3566 - b3567 + x13167 >= 0 e52827: - b3567 + x13167 <= 0 e52828: b3567 - b3568 + x13168 >= 0 e52829: - b3568 + x13168 <= 0 e52830: b3568 - b3569 + x13169 >= 0 e52831: - b3569 + x13169 <= 0 e52832: b3569 - b3570 + x13170 >= 0 e52833: - b3570 + x13170 <= 0 e52834: b3570 - b3571 + x13171 >= 0 e52835: - b3571 + x13171 <= 0 e52836: b3571 - b3572 + x13172 >= 0 e52837: - b3572 + x13172 <= 0 e52838: b3572 - b3573 + x13173 >= 0 e52839: - b3573 + x13173 <= 0 e52840: b3573 - b3574 + x13174 >= 0 e52841: - b3574 + x13174 <= 0 e52842: b3574 - b3575 + x13175 >= 0 e52843: - b3575 + x13175 <= 0 e52844: b3575 - b3576 + x13176 >= 0 e52845: - b3576 + x13176 <= 0 e52846: b3576 - b3577 + x13177 >= 0 e52847: - b3577 + x13177 <= 0 e52848: b3582 = 1 e52849: b3578 = 1 e52850: b3579 = 1 e52851: b3580 = 1 e52852: b3581 = 1 e52853: b3582 = 1 e52854: b3582 - b3583 + x13183 >= 0 e52855: - b3583 + x13183 <= 0 e52856: b3583 - b3584 + x13184 >= 0 e52857: - b3584 + x13184 <= 0 e52858: b3584 - b3585 + x13185 >= 0 e52859: - b3585 + x13185 <= 0 e52860: b3585 - b3586 + x13186 >= 0 e52861: - b3586 + x13186 <= 0 e52862: b3586 - b3587 + x13187 >= 0 e52863: - b3587 + x13187 <= 0 e52864: b3587 - b3588 + x13188 >= 0 e52865: - b3588 + x13188 <= 0 e52866: b3588 - b3589 + x13189 >= 0 e52867: - b3589 + x13189 <= 0 e52868: b3589 - b3590 + x13190 >= 0 e52869: - b3590 + x13190 <= 0 e52870: b3590 - b3591 + x13191 >= 0 e52871: - b3591 + x13191 <= 0 e52872: b3591 - b3592 + x13192 >= 0 e52873: - b3592 + x13192 <= 0 e52874: b3592 - b3593 + x13193 >= 0 e52875: - b3593 + x13193 <= 0 e52876: b3593 - b3594 + x13194 >= 0 e52877: - b3594 + x13194 <= 0 e52878: b3594 - b3595 + x13195 >= 0 e52879: - b3595 + x13195 <= 0 e52880: b3595 - b3596 + x13196 >= 0 e52881: - b3596 + x13196 <= 0 e52882: b3596 - b3597 + x13197 >= 0 e52883: - b3597 + x13197 <= 0 e52884: b3597 - b3598 + x13198 >= 0 e52885: - b3598 + x13198 <= 0 e52886: b3598 - b3599 + x13199 >= 0 e52887: - b3599 + x13199 <= 0 e52888: b3599 - b3600 + x13200 >= 0 e52889: - b3600 + x13200 <= 0 e52890: b3600 - b3601 + x13201 >= 0 e52891: - b3601 + x13201 <= 0 e52892: x19329 = 0 e52893: - b3602 + x13202 + x19329 >= 0 e52894: - b3602 + x13202 <= 0 e52895: b3602 - b3603 + x13203 >= 0 e52896: - b3603 + x13203 <= 0 e52897: b3603 - b3604 + x13204 >= 0 e52898: - b3604 + x13204 <= 0 e52899: b3604 - b3605 + x13205 >= 0 e52900: - b3605 + x13205 <= 0 e52901: b3605 - b3606 + x13206 >= 0 e52902: - b3606 + x13206 <= 0 e52903: b3606 - b3607 + x13207 >= 0 e52904: - b3607 + x13207 <= 0 e52905: b3607 - b3608 + x13208 >= 0 e52906: - b3608 + x13208 <= 0 e52907: b3608 - b3609 + x13209 >= 0 e52908: - b3609 + x13209 <= 0 e52909: b3609 - b3610 + x13210 >= 0 e52910: - b3610 + x13210 <= 0 e52911: b3610 - b3611 + x13211 >= 0 e52912: - b3611 + x13211 <= 0 e52913: b3611 - b3612 + x13212 >= 0 e52914: - b3612 + x13212 <= 0 e52915: b3612 - b3613 + x13213 >= 0 e52916: - b3613 + x13213 <= 0 e52917: b3613 - b3614 + x13214 >= 0 e52918: - b3614 + x13214 <= 0 e52919: b3614 - b3615 + x13215 >= 0 e52920: - b3615 + x13215 <= 0 e52921: b3615 - b3616 + x13216 >= 0 e52922: - b3616 + x13216 <= 0 e52923: b3616 - b3617 + x13217 >= 0 e52924: - b3617 + x13217 <= 0 e52925: b3617 - b3618 + x13218 >= 0 e52926: - b3618 + x13218 <= 0 e52927: b3618 - b3619 + x13219 >= 0 e52928: - b3619 + x13219 <= 0 e52929: b3619 - b3620 + x13220 >= 0 e52930: - b3620 + x13220 <= 0 e52931: b3620 - b3621 + x13221 >= 0 e52932: - b3621 + x13221 <= 0 e52933: b3621 - b3622 + x13222 >= 0 e52934: - b3622 + x13222 <= 0 e52935: b3622 - b3623 + x13223 >= 0 e52936: - b3623 + x13223 <= 0 e52937: b3623 - b3624 + x13224 >= 0 e52938: - b3624 + x13224 <= 0 e52939: b3624 - b3625 + x13225 >= 0 e52940: - b3625 + x13225 <= 0 e52941: b3628 = 1 e52942: b3626 = 1 e52943: b3627 = 1 e52944: b3628 = 1 e52945: b3628 - b3629 + x13229 >= 0 e52946: - b3629 + x13229 <= 0 e52947: b3629 - b3630 + x13230 >= 0 e52948: - b3630 + x13230 <= 0 e52949: b3630 - b3631 + x13231 >= 0 e52950: - b3631 + x13231 <= 0 e52951: b3631 - b3632 + x13232 >= 0 e52952: - b3632 + x13232 <= 0 e52953: b3632 - b3633 + x13233 >= 0 e52954: - b3633 + x13233 <= 0 e52955: b3633 - b3634 + x13234 >= 0 e52956: - b3634 + x13234 <= 0 e52957: b3634 - b3635 + x13235 >= 0 e52958: - b3635 + x13235 <= 0 e52959: b3635 - b3636 + x13236 >= 0 e52960: - b3636 + x13236 <= 0 e52961: b3636 - b3637 + x13237 >= 0 e52962: - b3637 + x13237 <= 0 e52963: b3637 - b3638 + x13238 >= 0 e52964: - b3638 + x13238 <= 0 e52965: b3638 - b3639 + x13239 >= 0 e52966: - b3639 + x13239 <= 0 e52967: b3639 - b3640 + x13240 >= 0 e52968: - b3640 + x13240 <= 0 e52969: b3640 - b3641 + x13241 >= 0 e52970: - b3641 + x13241 <= 0 e52971: b3641 - b3642 + x13242 >= 0 e52972: - b3642 + x13242 <= 0 e52973: b3642 - b3643 + x13243 >= 0 e52974: - b3643 + x13243 <= 0 e52975: b3643 - b3644 + x13244 >= 0 e52976: - b3644 + x13244 <= 0 e52977: b3644 - b3645 + x13245 >= 0 e52978: - b3645 + x13245 <= 0 e52979: b3645 - b3646 + x13246 >= 0 e52980: - b3646 + x13246 <= 0 e52981: b3646 - b3647 + x13247 >= 0 e52982: - b3647 + x13247 <= 0 e52983: b3647 - b3648 + x13248 >= 0 e52984: - b3648 + x13248 <= 0 e52985: b3648 - b3649 + x13249 >= 0 e52986: - b3649 + x13249 <= 0 e52987: x19280 = 1 e52988: - b3650 + x13250 + x19280 >= 0 e52989: - b3650 + x13250 <= 0 e52990: b3650 - b3651 + x13251 >= 0 e52991: - b3651 + x13251 <= 0 e52992: b3651 - b3652 + x13252 >= 0 e52993: - b3652 + x13252 <= 0 e52994: b3652 - b3653 + x13253 >= 0 e52995: - b3653 + x13253 <= 0 e52996: b3653 - b3654 + x13254 >= 0 e52997: - b3654 + x13254 <= 0 e52998: b3654 - b3655 + x13255 >= 0 e52999: - b3655 + x13255 <= 0 e53000: b3655 - b3656 + x13256 >= 0 e53001: - b3656 + x13256 <= 0 e53002: b3656 - b3657 + x13257 >= 0 e53003: - b3657 + x13257 <= 0 e53004: b3657 - b3658 + x13258 >= 0 e53005: - b3658 + x13258 <= 0 e53006: b3658 - b3659 + x13259 >= 0 e53007: - b3659 + x13259 <= 0 e53008: b3659 - b3660 + x13260 >= 0 e53009: - b3660 + x13260 <= 0 e53010: b3660 - b3661 + x13261 >= 0 e53011: - b3661 + x13261 <= 0 e53012: b3661 - b3662 + x13262 >= 0 e53013: - b3662 + x13262 <= 0 e53014: b3662 - b3663 + x13263 >= 0 e53015: - b3663 + x13263 <= 0 e53016: b3663 - b3664 + x13264 >= 0 e53017: - b3664 + x13264 <= 0 e53018: b3664 - b3665 + x13265 >= 0 e53019: - b3665 + x13265 <= 0 e53020: b3665 - b3666 + x13266 >= 0 e53021: - b3666 + x13266 <= 0 e53022: b3666 - b3667 + x13267 >= 0 e53023: - b3667 + x13267 <= 0 e53024: b3667 - b3668 + x13268 >= 0 e53025: - b3668 + x13268 <= 0 e53026: b3668 - b3669 + x13269 >= 0 e53027: - b3669 + x13269 <= 0 e53028: b3669 - b3670 + x13270 >= 0 e53029: - b3670 + x13270 <= 0 e53030: b3670 - b3671 + x13271 >= 0 e53031: - b3671 + x13271 <= 0 e53032: b3671 - b3672 + x13272 >= 0 e53033: - b3672 + x13272 <= 0 e53034: b3672 - b3673 + x13273 >= 0 e53035: - b3673 + x13273 <= 0 e53036: x19281 = 1 e53037: - b3674 + x13274 + x19281 >= 0 e53038: - b3674 + x13274 <= 0 e53039: b3674 - b3675 + x13275 >= 0 e53040: - b3675 + x13275 <= 0 e53041: b3675 - b3676 + x13276 >= 0 e53042: - b3676 + x13276 <= 0 e53043: b3676 - b3677 + x13277 >= 0 e53044: - b3677 + x13277 <= 0 e53045: b3677 - b3678 + x13278 >= 0 e53046: - b3678 + x13278 <= 0 e53047: b3678 - b3679 + x13279 >= 0 e53048: - b3679 + x13279 <= 0 e53049: b3679 - b3680 + x13280 >= 0 e53050: - b3680 + x13280 <= 0 e53051: b3680 - b3681 + x13281 >= 0 e53052: - b3681 + x13281 <= 0 e53053: b3681 - b3682 + x13282 >= 0 e53054: - b3682 + x13282 <= 0 e53055: b3682 - b3683 + x13283 >= 0 e53056: - b3683 + x13283 <= 0 e53057: b3683 - b3684 + x13284 >= 0 e53058: - b3684 + x13284 <= 0 e53059: b3684 - b3685 + x13285 >= 0 e53060: - b3685 + x13285 <= 0 e53061: b3685 - b3686 + x13286 >= 0 e53062: - b3686 + x13286 <= 0 e53063: b3686 - b3687 + x13287 >= 0 e53064: - b3687 + x13287 <= 0 e53065: b3687 - b3688 + x13288 >= 0 e53066: - b3688 + x13288 <= 0 e53067: b3688 - b3689 + x13289 >= 0 e53068: - b3689 + x13289 <= 0 e53069: b3689 - b3690 + x13290 >= 0 e53070: - b3690 + x13290 <= 0 e53071: b3690 - b3691 + x13291 >= 0 e53072: - b3691 + x13291 <= 0 e53073: b3691 - b3692 + x13292 >= 0 e53074: - b3692 + x13292 <= 0 e53075: b3692 - b3693 + x13293 >= 0 e53076: - b3693 + x13293 <= 0 e53077: b3693 - b3694 + x13294 >= 0 e53078: - b3694 + x13294 <= 0 e53079: b3694 - b3695 + x13295 >= 0 e53080: - b3695 + x13295 <= 0 e53081: b3695 - b3696 + x13296 >= 0 e53082: - b3696 + x13296 <= 0 e53083: b3696 - b3697 + x13297 >= 0 e53084: - b3697 + x13297 <= 0 e53085: x19330 = 0 e53086: - b3698 + x13298 + x19330 >= 0 e53087: - b3698 + x13298 <= 0 e53088: b3698 - b3699 + x13299 >= 0 e53089: - b3699 + x13299 <= 0 e53090: b3699 - b3700 + x13300 >= 0 e53091: - b3700 + x13300 <= 0 e53092: b3700 - b3701 + x13301 >= 0 e53093: - b3701 + x13301 <= 0 e53094: b3701 - b3702 + x13302 >= 0 e53095: - b3702 + x13302 <= 0 e53096: b3702 - b3703 + x13303 >= 0 e53097: - b3703 + x13303 <= 0 e53098: b3703 - b3704 + x13304 >= 0 e53099: - b3704 + x13304 <= 0 e53100: b3704 - b3705 + x13305 >= 0 e53101: - b3705 + x13305 <= 0 e53102: b3705 - b3706 + x13306 >= 0 e53103: - b3706 + x13306 <= 0 e53104: b3706 - b3707 + x13307 >= 0 e53105: - b3707 + x13307 <= 0 e53106: b3707 - b3708 + x13308 >= 0 e53107: - b3708 + x13308 <= 0 e53108: b3708 - b3709 + x13309 >= 0 e53109: - b3709 + x13309 <= 0 e53110: b3709 - b3710 + x13310 >= 0 e53111: - b3710 + x13310 <= 0 e53112: b3710 - b3711 + x13311 >= 0 e53113: - b3711 + x13311 <= 0 e53114: b3711 - b3712 + x13312 >= 0 e53115: - b3712 + x13312 <= 0 e53116: b3712 - b3713 + x13313 >= 0 e53117: - b3713 + x13313 <= 0 e53118: b3713 - b3714 + x13314 >= 0 e53119: - b3714 + x13314 <= 0 e53120: b3714 - b3715 + x13315 >= 0 e53121: - b3715 + x13315 <= 0 e53122: b3715 - b3716 + x13316 >= 0 e53123: - b3716 + x13316 <= 0 e53124: b3716 - b3717 + x13317 >= 0 e53125: - b3717 + x13317 <= 0 e53126: b3717 - b3718 + x13318 >= 0 e53127: - b3718 + x13318 <= 0 e53128: b3718 - b3719 + x13319 >= 0 e53129: - b3719 + x13319 <= 0 e53130: b3719 - b3720 + x13320 >= 0 e53131: - b3720 + x13320 <= 0 e53132: b3720 - b3721 + x13321 >= 0 e53133: - b3721 + x13321 <= 0 e53134: x19331 = 0 e53135: - b3722 + x13322 + x19331 >= 0 e53136: - b3722 + x13322 <= 0 e53137: b3722 - b3723 + x13323 >= 0 e53138: - b3723 + x13323 <= 0 e53139: b3723 - b3724 + x13324 >= 0 e53140: - b3724 + x13324 <= 0 e53141: b3724 - b3725 + x13325 >= 0 e53142: - b3725 + x13325 <= 0 e53143: b3725 - b3726 + x13326 >= 0 e53144: - b3726 + x13326 <= 0 e53145: b3726 - b3727 + x13327 >= 0 e53146: - b3727 + x13327 <= 0 e53147: b3727 - b3728 + x13328 >= 0 e53148: - b3728 + x13328 <= 0 e53149: b3728 - b3729 + x13329 >= 0 e53150: - b3729 + x13329 <= 0 e53151: b3729 - b3730 + x13330 >= 0 e53152: - b3730 + x13330 <= 0 e53153: b3730 - b3731 + x13331 >= 0 e53154: - b3731 + x13331 <= 0 e53155: b3731 - b3732 + x13332 >= 0 e53156: - b3732 + x13332 <= 0 e53157: b3732 - b3733 + x13333 >= 0 e53158: - b3733 + x13333 <= 0 e53159: b3733 - b3734 + x13334 >= 0 e53160: - b3734 + x13334 <= 0 e53161: b3734 - b3735 + x13335 >= 0 e53162: - b3735 + x13335 <= 0 e53163: b3735 - b3736 + x13336 >= 0 e53164: - b3736 + x13336 <= 0 e53165: b3736 - b3737 + x13337 >= 0 e53166: - b3737 + x13337 <= 0 e53167: b3737 - b3738 + x13338 >= 0 e53168: - b3738 + x13338 <= 0 e53169: b3738 - b3739 + x13339 >= 0 e53170: - b3739 + x13339 <= 0 e53171: b3739 - b3740 + x13340 >= 0 e53172: - b3740 + x13340 <= 0 e53173: b3740 - b3741 + x13341 >= 0 e53174: - b3741 + x13341 <= 0 e53175: b3741 - b3742 + x13342 >= 0 e53176: - b3742 + x13342 <= 0 e53177: b3742 - b3743 + x13343 >= 0 e53178: - b3743 + x13343 <= 0 e53179: b3743 - b3744 + x13344 >= 0 e53180: - b3744 + x13344 <= 0 e53181: b3744 - b3745 + x13345 >= 0 e53182: - b3745 + x13345 <= 0 e53183: b3747 = 1 e53184: b3746 = 1 e53185: b3747 = 1 e53186: b3747 - b3748 + x13348 >= 0 e53187: - b3748 + x13348 <= 0 e53188: b3748 - b3749 + x13349 >= 0 e53189: - b3749 + x13349 <= 0 e53190: b3749 - b3750 + x13350 >= 0 e53191: - b3750 + x13350 <= 0 e53192: b3750 - b3751 + x13351 >= 0 e53193: - b3751 + x13351 <= 0 e53194: b3751 - b3752 + x13352 >= 0 e53195: - b3752 + x13352 <= 0 e53196: b3752 - b3753 + x13353 >= 0 e53197: - b3753 + x13353 <= 0 e53198: b3753 - b3754 + x13354 >= 0 e53199: - b3754 + x13354 <= 0 e53200: b3754 - b3755 + x13355 >= 0 e53201: - b3755 + x13355 <= 0 e53202: b3755 - b3756 + x13356 >= 0 e53203: - b3756 + x13356 <= 0 e53204: b3756 - b3757 + x13357 >= 0 e53205: - b3757 + x13357 <= 0 e53206: b3757 - b3758 + x13358 >= 0 e53207: - b3758 + x13358 <= 0 e53208: b3758 - b3759 + x13359 >= 0 e53209: - b3759 + x13359 <= 0 e53210: b3759 - b3760 + x13360 >= 0 e53211: - b3760 + x13360 <= 0 e53212: b3760 - b3761 + x13361 >= 0 e53213: - b3761 + x13361 <= 0 e53214: b3761 - b3762 + x13362 >= 0 e53215: - b3762 + x13362 <= 0 e53216: b3762 - b3763 + x13363 >= 0 e53217: - b3763 + x13363 <= 0 e53218: b3763 - b3764 + x13364 >= 0 e53219: - b3764 + x13364 <= 0 e53220: b3764 - b3765 + x13365 >= 0 e53221: - b3765 + x13365 <= 0 e53222: b3765 - b3766 + x13366 >= 0 e53223: - b3766 + x13366 <= 0 e53224: b3766 - b3767 + x13367 >= 0 e53225: - b3767 + x13367 <= 0 e53226: b3767 - b3768 + x13368 >= 0 e53227: - b3768 + x13368 <= 0 e53228: b3768 - b3769 + x13369 >= 0 e53229: - b3769 + x13369 <= 0 e53230: x19332 = 0 e53231: - b3770 + x13370 + x19332 >= 0 e53232: - b3770 + x13370 <= 0 e53233: b3770 - b3771 + x13371 >= 0 e53234: - b3771 + x13371 <= 0 e53235: b3771 - b3772 + x13372 >= 0 e53236: - b3772 + x13372 <= 0 e53237: b3772 - b3773 + x13373 >= 0 e53238: - b3773 + x13373 <= 0 e53239: b3773 - b3774 + x13374 >= 0 e53240: - b3774 + x13374 <= 0 e53241: b3774 - b3775 + x13375 >= 0 e53242: - b3775 + x13375 <= 0 e53243: b3775 - b3776 + x13376 >= 0 e53244: - b3776 + x13376 <= 0 e53245: b3776 - b3777 + x13377 >= 0 e53246: - b3777 + x13377 <= 0 e53247: b3777 - b3778 + x13378 >= 0 e53248: - b3778 + x13378 <= 0 e53249: b3778 - b3779 + x13379 >= 0 e53250: - b3779 + x13379 <= 0 e53251: b3779 - b3780 + x13380 >= 0 e53252: - b3780 + x13380 <= 0 e53253: b3780 - b3781 + x13381 >= 0 e53254: - b3781 + x13381 <= 0 e53255: b3781 - b3782 + x13382 >= 0 e53256: - b3782 + x13382 <= 0 e53257: b3782 - b3783 + x13383 >= 0 e53258: - b3783 + x13383 <= 0 e53259: b3783 - b3784 + x13384 >= 0 e53260: - b3784 + x13384 <= 0 e53261: b3784 - b3785 + x13385 >= 0 e53262: - b3785 + x13385 <= 0 e53263: b3785 - b3786 + x13386 >= 0 e53264: - b3786 + x13386 <= 0 e53265: b3786 - b3787 + x13387 >= 0 e53266: - b3787 + x13387 <= 0 e53267: b3787 - b3788 + x13388 >= 0 e53268: - b3788 + x13388 <= 0 e53269: b3788 - b3789 + x13389 >= 0 e53270: - b3789 + x13389 <= 0 e53271: b3789 - b3790 + x13390 >= 0 e53272: - b3790 + x13390 <= 0 e53273: b3790 - b3791 + x13391 >= 0 e53274: - b3791 + x13391 <= 0 e53275: b3791 - b3792 + x13392 >= 0 e53276: - b3792 + x13392 <= 0 e53277: b3792 - b3793 + x13393 >= 0 e53278: - b3793 + x13393 <= 0 e53279: x19333 = 0 e53280: - b3794 + x13394 + x19333 >= 0 e53281: - b3794 + x13394 <= 0 e53282: b3794 - b3795 + x13395 >= 0 e53283: - b3795 + x13395 <= 0 e53284: b3795 - b3796 + x13396 >= 0 e53285: - b3796 + x13396 <= 0 e53286: b3796 - b3797 + x13397 >= 0 e53287: - b3797 + x13397 <= 0 e53288: b3797 - b3798 + x13398 >= 0 e53289: - b3798 + x13398 <= 0 e53290: b3798 - b3799 + x13399 >= 0 e53291: - b3799 + x13399 <= 0 e53292: b3799 - b3800 + x13400 >= 0 e53293: - b3800 + x13400 <= 0 e53294: b3800 - b3801 + x13401 >= 0 e53295: - b3801 + x13401 <= 0 e53296: b3801 - b3802 + x13402 >= 0 e53297: - b3802 + x13402 <= 0 e53298: b3802 - b3803 + x13403 >= 0 e53299: - b3803 + x13403 <= 0 e53300: b3803 - b3804 + x13404 >= 0 e53301: - b3804 + x13404 <= 0 e53302: b3804 - b3805 + x13405 >= 0 e53303: - b3805 + x13405 <= 0 e53304: b3805 - b3806 + x13406 >= 0 e53305: - b3806 + x13406 <= 0 e53306: b3806 - b3807 + x13407 >= 0 e53307: - b3807 + x13407 <= 0 e53308: b3807 - b3808 + x13408 >= 0 e53309: - b3808 + x13408 <= 0 e53310: b3808 - b3809 + x13409 >= 0 e53311: - b3809 + x13409 <= 0 e53312: b3809 - b3810 + x13410 >= 0 e53313: - b3810 + x13410 <= 0 e53314: b3810 - b3811 + x13411 >= 0 e53315: - b3811 + x13411 <= 0 e53316: b3811 - b3812 + x13412 >= 0 e53317: - b3812 + x13412 <= 0 e53318: b3812 - b3813 + x13413 >= 0 e53319: - b3813 + x13413 <= 0 e53320: b3813 - b3814 + x13414 >= 0 e53321: - b3814 + x13414 <= 0 e53322: b3814 - b3815 + x13415 >= 0 e53323: - b3815 + x13415 <= 0 e53324: b3815 - b3816 + x13416 >= 0 e53325: - b3816 + x13416 <= 0 e53326: b3816 - b3817 + x13417 >= 0 e53327: - b3817 + x13417 <= 0 e53328: x19282 = 1 e53329: - b3818 + x13418 + x19282 >= 0 e53330: - b3818 + x13418 <= 0 e53331: b3818 - b3819 + x13419 >= 0 e53332: - b3819 + x13419 <= 0 e53333: b3819 - b3820 + x13420 >= 0 e53334: - b3820 + x13420 <= 0 e53335: b3820 - b3821 + x13421 >= 0 e53336: - b3821 + x13421 <= 0 e53337: b3821 - b3822 + x13422 >= 0 e53338: - b3822 + x13422 <= 0 e53339: b3822 - b3823 + x13423 >= 0 e53340: - b3823 + x13423 <= 0 e53341: b3823 - b3824 + x13424 >= 0 e53342: - b3824 + x13424 <= 0 e53343: b3824 - b3825 + x13425 >= 0 e53344: - b3825 + x13425 <= 0 e53345: b3825 - b3826 + x13426 >= 0 e53346: - b3826 + x13426 <= 0 e53347: b3826 - b3827 + x13427 >= 0 e53348: - b3827 + x13427 <= 0 e53349: b3827 - b3828 + x13428 >= 0 e53350: - b3828 + x13428 <= 0 e53351: b3828 - b3829 + x13429 >= 0 e53352: - b3829 + x13429 <= 0 e53353: b3829 - b3830 + x13430 >= 0 e53354: - b3830 + x13430 <= 0 e53355: b3830 - b3831 + x13431 >= 0 e53356: - b3831 + x13431 <= 0 e53357: b3831 - b3832 + x13432 >= 0 e53358: - b3832 + x13432 <= 0 e53359: b3832 - b3833 + x13433 >= 0 e53360: - b3833 + x13433 <= 0 e53361: b3833 - b3834 + x13434 >= 0 e53362: - b3834 + x13434 <= 0 e53363: b3834 - b3835 + x13435 >= 0 e53364: - b3835 + x13435 <= 0 e53365: b3835 - b3836 + x13436 >= 0 e53366: - b3836 + x13436 <= 0 e53367: b3836 - b3837 + x13437 >= 0 e53368: - b3837 + x13437 <= 0 e53369: b3837 - b3838 + x13438 >= 0 e53370: - b3838 + x13438 <= 0 e53371: b3838 - b3839 + x13439 >= 0 e53372: - b3839 + x13439 <= 0 e53373: b3839 - b3840 + x13440 >= 0 e53374: - b3840 + x13440 <= 0 e53375: b3840 - b3841 + x13441 >= 0 e53376: - b3841 + x13441 <= 0 e53377: x19283 = 0 e53378: - b3842 + x13442 + x19283 >= 0 e53379: - b3842 + x13442 <= 0 e53380: b3842 - b3843 + x13443 >= 0 e53381: - b3843 + x13443 <= 0 e53382: b3843 - b3844 + x13444 >= 0 e53383: - b3844 + x13444 <= 0 e53384: b3844 - b3845 + x13445 >= 0 e53385: - b3845 + x13445 <= 0 e53386: b3845 - b3846 + x13446 >= 0 e53387: - b3846 + x13446 <= 0 e53388: b3846 - b3847 + x13447 >= 0 e53389: - b3847 + x13447 <= 0 e53390: b3847 - b3848 + x13448 >= 0 e53391: - b3848 + x13448 <= 0 e53392: b3848 - b3849 + x13449 >= 0 e53393: - b3849 + x13449 <= 0 e53394: b3849 - b3850 + x13450 >= 0 e53395: - b3850 + x13450 <= 0 e53396: b3850 - b3851 + x13451 >= 0 e53397: - b3851 + x13451 <= 0 e53398: b3851 - b3852 + x13452 >= 0 e53399: - b3852 + x13452 <= 0 e53400: b3852 - b3853 + x13453 >= 0 e53401: - b3853 + x13453 <= 0 e53402: b3853 - b3854 + x13454 >= 0 e53403: - b3854 + x13454 <= 0 e53404: b3854 - b3855 + x13455 >= 0 e53405: - b3855 + x13455 <= 0 e53406: b3855 - b3856 + x13456 >= 0 e53407: - b3856 + x13456 <= 0 e53408: b3856 - b3857 + x13457 >= 0 e53409: - b3857 + x13457 <= 0 e53410: b3857 - b3858 + x13458 >= 0 e53411: - b3858 + x13458 <= 0 e53412: b3858 - b3859 + x13459 >= 0 e53413: - b3859 + x13459 <= 0 e53414: b3859 - b3860 + x13460 >= 0 e53415: - b3860 + x13460 <= 0 e53416: b3860 - b3861 + x13461 >= 0 e53417: - b3861 + x13461 <= 0 e53418: b3861 - b3862 + x13462 >= 0 e53419: - b3862 + x13462 <= 0 e53420: b3862 - b3863 + x13463 >= 0 e53421: - b3863 + x13463 <= 0 e53422: b3863 - b3864 + x13464 >= 0 e53423: - b3864 + x13464 <= 0 e53424: b3864 - b3865 + x13465 >= 0 e53425: - b3865 + x13465 <= 0 e53426: x19334 = 0 e53427: - b3866 + x13466 + x19334 >= 0 e53428: - b3866 + x13466 <= 0 e53429: b3866 - b3867 + x13467 >= 0 e53430: - b3867 + x13467 <= 0 e53431: b3867 - b3868 + x13468 >= 0 e53432: - b3868 + x13468 <= 0 e53433: b3868 - b3869 + x13469 >= 0 e53434: - b3869 + x13469 <= 0 e53435: b3869 - b3870 + x13470 >= 0 e53436: - b3870 + x13470 <= 0 e53437: b3870 - b3871 + x13471 >= 0 e53438: - b3871 + x13471 <= 0 e53439: b3871 - b3872 + x13472 >= 0 e53440: - b3872 + x13472 <= 0 e53441: b3872 - b3873 + x13473 >= 0 e53442: - b3873 + x13473 <= 0 e53443: b3873 - b3874 + x13474 >= 0 e53444: - b3874 + x13474 <= 0 e53445: b3874 - b3875 + x13475 >= 0 e53446: - b3875 + x13475 <= 0 e53447: b3875 - b3876 + x13476 >= 0 e53448: - b3876 + x13476 <= 0 e53449: b3876 - b3877 + x13477 >= 0 e53450: - b3877 + x13477 <= 0 e53451: b3877 - b3878 + x13478 >= 0 e53452: - b3878 + x13478 <= 0 e53453: b3878 - b3879 + x13479 >= 0 e53454: - b3879 + x13479 <= 0 e53455: b3879 - b3880 + x13480 >= 0 e53456: - b3880 + x13480 <= 0 e53457: b3880 - b3881 + x13481 >= 0 e53458: - b3881 + x13481 <= 0 e53459: b3881 - b3882 + x13482 >= 0 e53460: - b3882 + x13482 <= 0 e53461: b3882 - b3883 + x13483 >= 0 e53462: - b3883 + x13483 <= 0 e53463: b3883 - b3884 + x13484 >= 0 e53464: - b3884 + x13484 <= 0 e53465: b3884 - b3885 + x13485 >= 0 e53466: - b3885 + x13485 <= 0 e53467: b3885 - b3886 + x13486 >= 0 e53468: - b3886 + x13486 <= 0 e53469: b3886 - b3887 + x13487 >= 0 e53470: - b3887 + x13487 <= 0 e53471: b3887 - b3888 + x13488 >= 0 e53472: - b3888 + x13488 <= 0 e53473: b3888 - b3889 + x13489 >= 0 e53474: - b3889 + x13489 <= 0 e53475: x19335 = 0 e53476: - b3890 + x13490 + x19335 >= 0 e53477: - b3890 + x13490 <= 0 e53478: b3890 - b3891 + x13491 >= 0 e53479: - b3891 + x13491 <= 0 e53480: b3891 - b3892 + x13492 >= 0 e53481: - b3892 + x13492 <= 0 e53482: b3892 - b3893 + x13493 >= 0 e53483: - b3893 + x13493 <= 0 e53484: b3893 - b3894 + x13494 >= 0 e53485: - b3894 + x13494 <= 0 e53486: b3894 - b3895 + x13495 >= 0 e53487: - b3895 + x13495 <= 0 e53488: b3895 - b3896 + x13496 >= 0 e53489: - b3896 + x13496 <= 0 e53490: b3896 - b3897 + x13497 >= 0 e53491: - b3897 + x13497 <= 0 e53492: b3897 - b3898 + x13498 >= 0 e53493: - b3898 + x13498 <= 0 e53494: b3898 - b3899 + x13499 >= 0 e53495: - b3899 + x13499 <= 0 e53496: b3899 - b3900 + x13500 >= 0 e53497: - b3900 + x13500 <= 0 e53498: b3900 - b3901 + x13501 >= 0 e53499: - b3901 + x13501 <= 0 e53500: b3901 - b3902 + x13502 >= 0 e53501: - b3902 + x13502 <= 0 e53502: b3902 - b3903 + x13503 >= 0 e53503: - b3903 + x13503 <= 0 e53504: b3903 - b3904 + x13504 >= 0 e53505: - b3904 + x13504 <= 0 e53506: b3904 - b3905 + x13505 >= 0 e53507: - b3905 + x13505 <= 0 e53508: b3905 - b3906 + x13506 >= 0 e53509: - b3906 + x13506 <= 0 e53510: b3906 - b3907 + x13507 >= 0 e53511: - b3907 + x13507 <= 0 e53512: b3907 - b3908 + x13508 >= 0 e53513: - b3908 + x13508 <= 0 e53514: b3908 - b3909 + x13509 >= 0 e53515: - b3909 + x13509 <= 0 e53516: b3909 - b3910 + x13510 >= 0 e53517: - b3910 + x13510 <= 0 e53518: b3910 - b3911 + x13511 >= 0 e53519: - b3911 + x13511 <= 0 e53520: b3911 - b3912 + x13512 >= 0 e53521: - b3912 + x13512 <= 0 e53522: b3912 - b3913 + x13513 >= 0 e53523: - b3913 + x13513 <= 0 e53524: x19284 = 1 e53525: - b3914 + x13514 + x19284 >= 0 e53526: - b3914 + x13514 <= 0 e53527: b3914 - b3915 + x13515 >= 0 e53528: - b3915 + x13515 <= 0 e53529: b3915 - b3916 + x13516 >= 0 e53530: - b3916 + x13516 <= 0 e53531: b3916 - b3917 + x13517 >= 0 e53532: - b3917 + x13517 <= 0 e53533: b3917 - b3918 + x13518 >= 0 e53534: - b3918 + x13518 <= 0 e53535: b3918 - b3919 + x13519 >= 0 e53536: - b3919 + x13519 <= 0 e53537: b3919 - b3920 + x13520 >= 0 e53538: - b3920 + x13520 <= 0 e53539: b3920 - b3921 + x13521 >= 0 e53540: - b3921 + x13521 <= 0 e53541: b3921 - b3922 + x13522 >= 0 e53542: - b3922 + x13522 <= 0 e53543: b3922 - b3923 + x13523 >= 0 e53544: - b3923 + x13523 <= 0 e53545: b3923 - b3924 + x13524 >= 0 e53546: - b3924 + x13524 <= 0 e53547: b3924 - b3925 + x13525 >= 0 e53548: - b3925 + x13525 <= 0 e53549: b3925 - b3926 + x13526 >= 0 e53550: - b3926 + x13526 <= 0 e53551: b3926 - b3927 + x13527 >= 0 e53552: - b3927 + x13527 <= 0 e53553: b3927 - b3928 + x13528 >= 0 e53554: - b3928 + x13528 <= 0 e53555: b3928 - b3929 + x13529 >= 0 e53556: - b3929 + x13529 <= 0 e53557: b3929 - b3930 + x13530 >= 0 e53558: - b3930 + x13530 <= 0 e53559: b3930 - b3931 + x13531 >= 0 e53560: - b3931 + x13531 <= 0 e53561: b3931 - b3932 + x13532 >= 0 e53562: - b3932 + x13532 <= 0 e53563: b3932 - b3933 + x13533 >= 0 e53564: - b3933 + x13533 <= 0 e53565: b3933 - b3934 + x13534 >= 0 e53566: - b3934 + x13534 <= 0 e53567: b3934 - b3935 + x13535 >= 0 e53568: - b3935 + x13535 <= 0 e53569: b3935 - b3936 + x13536 >= 0 e53570: - b3936 + x13536 <= 0 e53571: b3936 - b3937 + x13537 >= 0 e53572: - b3937 + x13537 <= 0 e53573: x19285 = 0 e53574: - b3938 + x13538 + x19285 >= 0 e53575: - b3938 + x13538 <= 0 e53576: b3938 - b3939 + x13539 >= 0 e53577: - b3939 + x13539 <= 0 e53578: b3939 - b3940 + x13540 >= 0 e53579: - b3940 + x13540 <= 0 e53580: b3940 - b3941 + x13541 >= 0 e53581: - b3941 + x13541 <= 0 e53582: b3941 - b3942 + x13542 >= 0 e53583: - b3942 + x13542 <= 0 e53584: b3942 - b3943 + x13543 >= 0 e53585: - b3943 + x13543 <= 0 e53586: b3943 - b3944 + x13544 >= 0 e53587: - b3944 + x13544 <= 0 e53588: b3944 - b3945 + x13545 >= 0 e53589: - b3945 + x13545 <= 0 e53590: b3945 - b3946 + x13546 >= 0 e53591: - b3946 + x13546 <= 0 e53592: b3946 - b3947 + x13547 >= 0 e53593: - b3947 + x13547 <= 0 e53594: b3947 - b3948 + x13548 >= 0 e53595: - b3948 + x13548 <= 0 e53596: b3948 - b3949 + x13549 >= 0 e53597: - b3949 + x13549 <= 0 e53598: b3949 - b3950 + x13550 >= 0 e53599: - b3950 + x13550 <= 0 e53600: b3950 - b3951 + x13551 >= 0 e53601: - b3951 + x13551 <= 0 e53602: b3951 - b3952 + x13552 >= 0 e53603: - b3952 + x13552 <= 0 e53604: b3952 - b3953 + x13553 >= 0 e53605: - b3953 + x13553 <= 0 e53606: b3953 - b3954 + x13554 >= 0 e53607: - b3954 + x13554 <= 0 e53608: b3954 - b3955 + x13555 >= 0 e53609: - b3955 + x13555 <= 0 e53610: b3955 - b3956 + x13556 >= 0 e53611: - b3956 + x13556 <= 0 e53612: b3956 - b3957 + x13557 >= 0 e53613: - b3957 + x13557 <= 0 e53614: b3957 - b3958 + x13558 >= 0 e53615: - b3958 + x13558 <= 0 e53616: b3958 - b3959 + x13559 >= 0 e53617: - b3959 + x13559 <= 0 e53618: b3959 - b3960 + x13560 >= 0 e53619: - b3960 + x13560 <= 0 e53620: b3960 - b3961 + x13561 >= 0 e53621: - b3961 + x13561 <= 0 e53622: b3965 = 1 e53623: b3962 = 1 e53624: b3963 = 1 e53625: b3964 = 1 e53626: b3965 = 1 e53627: b3965 - b3966 + x13566 >= 0 e53628: - b3966 + x13566 <= 0 e53629: b3966 - b3967 + x13567 >= 0 e53630: - b3967 + x13567 <= 0 e53631: b3967 - b3968 + x13568 >= 0 e53632: - b3968 + x13568 <= 0 e53633: b3968 - b3969 + x13569 >= 0 e53634: - b3969 + x13569 <= 0 e53635: b3969 - b3970 + x13570 >= 0 e53636: - b3970 + x13570 <= 0 e53637: b3970 - b3971 + x13571 >= 0 e53638: - b3971 + x13571 <= 0 e53639: b3971 - b3972 + x13572 >= 0 e53640: - b3972 + x13572 <= 0 e53641: b3972 - b3973 + x13573 >= 0 e53642: - b3973 + x13573 <= 0 e53643: b3973 - b3974 + x13574 >= 0 e53644: - b3974 + x13574 <= 0 e53645: b3974 - b3975 + x13575 >= 0 e53646: - b3975 + x13575 <= 0 e53647: b3975 - b3976 + x13576 >= 0 e53648: - b3976 + x13576 <= 0 e53649: b3976 - b3977 + x13577 >= 0 e53650: - b3977 + x13577 <= 0 e53651: b3977 - b3978 + x13578 >= 0 e53652: - b3978 + x13578 <= 0 e53653: b3978 - b3979 + x13579 >= 0 e53654: - b3979 + x13579 <= 0 e53655: b3979 - b3980 + x13580 >= 0 e53656: - b3980 + x13580 <= 0 e53657: b3980 - b3981 + x13581 >= 0 e53658: - b3981 + x13581 <= 0 e53659: b3981 - b3982 + x13582 >= 0 e53660: - b3982 + x13582 <= 0 e53661: b3982 - b3983 + x13583 >= 0 e53662: - b3983 + x13583 <= 0 e53663: b3983 - b3984 + x13584 >= 0 e53664: - b3984 + x13584 <= 0 e53665: b3984 - b3985 + x13585 >= 0 e53666: - b3985 + x13585 <= 0 e53667: x19286 = 0 e53668: - b3986 + x13586 + x19286 >= 0 e53669: - b3986 + x13586 <= 0 e53670: b3986 - b3987 + x13587 >= 0 e53671: - b3987 + x13587 <= 0 e53672: b3987 - b3988 + x13588 >= 0 e53673: - b3988 + x13588 <= 0 e53674: b3988 - b3989 + x13589 >= 0 e53675: - b3989 + x13589 <= 0 e53676: b3989 - b3990 + x13590 >= 0 e53677: - b3990 + x13590 <= 0 e53678: b3990 - b3991 + x13591 >= 0 e53679: - b3991 + x13591 <= 0 e53680: b3991 - b3992 + x13592 >= 0 e53681: - b3992 + x13592 <= 0 e53682: b3992 - b3993 + x13593 >= 0 e53683: - b3993 + x13593 <= 0 e53684: b3993 - b3994 + x13594 >= 0 e53685: - b3994 + x13594 <= 0 e53686: b3994 - b3995 + x13595 >= 0 e53687: - b3995 + x13595 <= 0 e53688: b3995 - b3996 + x13596 >= 0 e53689: - b3996 + x13596 <= 0 e53690: b3996 - b3997 + x13597 >= 0 e53691: - b3997 + x13597 <= 0 e53692: b3997 - b3998 + x13598 >= 0 e53693: - b3998 + x13598 <= 0 e53694: b3998 - b3999 + x13599 >= 0 e53695: - b3999 + x13599 <= 0 e53696: b3999 - b4000 + x13600 >= 0 e53697: - b4000 + x13600 <= 0 e53698: b4000 - b4001 + x13601 >= 0 e53699: - b4001 + x13601 <= 0 e53700: b4001 - b4002 + x13602 >= 0 e53701: - b4002 + x13602 <= 0 e53702: b4002 - b4003 + x13603 >= 0 e53703: - b4003 + x13603 <= 0 e53704: b4003 - b4004 + x13604 >= 0 e53705: - b4004 + x13604 <= 0 e53706: b4004 - b4005 + x13605 >= 0 e53707: - b4005 + x13605 <= 0 e53708: b4005 - b4006 + x13606 >= 0 e53709: - b4006 + x13606 <= 0 e53710: b4006 - b4007 + x13607 >= 0 e53711: - b4007 + x13607 <= 0 e53712: b4007 - b4008 + x13608 >= 0 e53713: - b4008 + x13608 <= 0 e53714: b4008 - b4009 + x13609 >= 0 e53715: - b4009 + x13609 <= 0 e53716: b4020 = 1 e53717: b4010 = 1 e53718: b4011 = 1 e53719: b4012 = 1 e53720: b4013 = 1 e53721: b4014 = 1 e53722: b4015 = 1 e53723: b4016 = 1 e53724: b4017 = 1 e53725: b4018 = 1 e53726: b4019 = 1 e53727: b4020 = 1 e53728: b4020 - b4021 + x13621 >= 0 e53729: - b4021 + x13621 <= 0 e53730: b4021 - b4022 + x13622 >= 0 e53731: - b4022 + x13622 <= 0 e53732: b4022 - b4023 + x13623 >= 0 e53733: - b4023 + x13623 <= 0 e53734: b4023 - b4024 + x13624 >= 0 e53735: - b4024 + x13624 <= 0 e53736: b4024 - b4025 + x13625 >= 0 e53737: - b4025 + x13625 <= 0 e53738: b4025 - b4026 + x13626 >= 0 e53739: - b4026 + x13626 <= 0 e53740: b4026 - b4027 + x13627 >= 0 e53741: - b4027 + x13627 <= 0 e53742: b4027 - b4028 + x13628 >= 0 e53743: - b4028 + x13628 <= 0 e53744: b4028 - b4029 + x13629 >= 0 e53745: - b4029 + x13629 <= 0 e53746: b4029 - b4030 + x13630 >= 0 e53747: - b4030 + x13630 <= 0 e53748: b4030 - b4031 + x13631 >= 0 e53749: - b4031 + x13631 <= 0 e53750: b4031 - b4032 + x13632 >= 0 e53751: - b4032 + x13632 <= 0 e53752: b4032 - b4033 + x13633 >= 0 e53753: - b4033 + x13633 <= 0 e53754: b4045 = 1 e53755: b4034 = 1 e53756: b4035 = 1 e53757: b4036 = 1 e53758: b4037 = 1 e53759: b4038 = 1 e53760: b4039 = 1 e53761: b4040 = 1 e53762: b4041 = 1 e53763: b4042 = 1 e53764: b4043 = 1 e53765: b4044 = 1 e53766: b4045 = 1 e53767: b4045 - b4046 + x13646 >= 0 e53768: - b4046 + x13646 <= 0 e53769: b4046 - b4047 + x13647 >= 0 e53770: - b4047 + x13647 <= 0 e53771: b4047 - b4048 + x13648 >= 0 e53772: - b4048 + x13648 <= 0 e53773: b4048 - b4049 + x13649 >= 0 e53774: - b4049 + x13649 <= 0 e53775: b4049 - b4050 + x13650 >= 0 e53776: - b4050 + x13650 <= 0 e53777: b4050 - b4051 + x13651 >= 0 e53778: - b4051 + x13651 <= 0 e53779: b4051 - b4052 + x13652 >= 0 e53780: - b4052 + x13652 <= 0 e53781: b4052 - b4053 + x13653 >= 0 e53782: - b4053 + x13653 <= 0 e53783: b4053 - b4054 + x13654 >= 0 e53784: - b4054 + x13654 <= 0 e53785: b4054 - b4055 + x13655 >= 0 e53786: - b4055 + x13655 <= 0 e53787: b4055 - b4056 + x13656 >= 0 e53788: - b4056 + x13656 <= 0 e53789: b4056 - b4057 + x13657 >= 0 e53790: - b4057 + x13657 <= 0 e53791: x19336 = 0 e53792: - b4058 + x13658 + x19336 >= 0 e53793: - b4058 + x13658 <= 0 e53794: b4058 - b4059 + x13659 >= 0 e53795: - b4059 + x13659 <= 0 e53796: b4059 - b4060 + x13660 >= 0 e53797: - b4060 + x13660 <= 0 e53798: b4060 - b4061 + x13661 >= 0 e53799: - b4061 + x13661 <= 0 e53800: b4061 - b4062 + x13662 >= 0 e53801: - b4062 + x13662 <= 0 e53802: b4062 - b4063 + x13663 >= 0 e53803: - b4063 + x13663 <= 0 e53804: b4063 - b4064 + x13664 >= 0 e53805: - b4064 + x13664 <= 0 e53806: b4064 - b4065 + x13665 >= 0 e53807: - b4065 + x13665 <= 0 e53808: b4065 - b4066 + x13666 >= 0 e53809: - b4066 + x13666 <= 0 e53810: b4066 - b4067 + x13667 >= 0 e53811: - b4067 + x13667 <= 0 e53812: b4067 - b4068 + x13668 >= 0 e53813: - b4068 + x13668 <= 0 e53814: b4068 - b4069 + x13669 >= 0 e53815: - b4069 + x13669 <= 0 e53816: b4069 - b4070 + x13670 >= 0 e53817: - b4070 + x13670 <= 0 e53818: b4070 - b4071 + x13671 >= 0 e53819: - b4071 + x13671 <= 0 e53820: b4071 - b4072 + x13672 >= 0 e53821: - b4072 + x13672 <= 0 e53822: b4072 - b4073 + x13673 >= 0 e53823: - b4073 + x13673 <= 0 e53824: b4073 - b4074 + x13674 >= 0 e53825: - b4074 + x13674 <= 0 e53826: b4074 - b4075 + x13675 >= 0 e53827: - b4075 + x13675 <= 0 e53828: b4075 - b4076 + x13676 >= 0 e53829: - b4076 + x13676 <= 0 e53830: b4076 - b4077 + x13677 >= 0 e53831: - b4077 + x13677 <= 0 e53832: b4077 - b4078 + x13678 >= 0 e53833: - b4078 + x13678 <= 0 e53834: b4078 - b4079 + x13679 >= 0 e53835: - b4079 + x13679 <= 0 e53836: b4079 - b4080 + x13680 >= 0 e53837: - b4080 + x13680 <= 0 e53838: b4080 - b4081 + x13681 >= 0 e53839: - b4081 + x13681 <= 0 e53840: b4086 = 1 e53841: b4082 = 1 e53842: b4083 = 1 e53843: b4084 = 1 e53844: b4085 = 1 e53845: b4086 = 1 e53846: b4086 - b4087 + x13687 >= 0 e53847: - b4087 + x13687 <= 0 e53848: b4087 - b4088 + x13688 >= 0 e53849: - b4088 + x13688 <= 0 e53850: b4088 - b4089 + x13689 >= 0 e53851: - b4089 + x13689 <= 0 e53852: b4089 - b4090 + x13690 >= 0 e53853: - b4090 + x13690 <= 0 e53854: b4090 - b4091 + x13691 >= 0 e53855: - b4091 + x13691 <= 0 e53856: b4091 - b4092 + x13692 >= 0 e53857: - b4092 + x13692 <= 0 e53858: b4092 - b4093 + x13693 >= 0 e53859: - b4093 + x13693 <= 0 e53860: b4093 - b4094 + x13694 >= 0 e53861: - b4094 + x13694 <= 0 e53862: b4094 - b4095 + x13695 >= 0 e53863: - b4095 + x13695 <= 0 e53864: b4095 - b4096 + x13696 >= 0 e53865: - b4096 + x13696 <= 0 e53866: b4096 - b4097 + x13697 >= 0 e53867: - b4097 + x13697 <= 0 e53868: b4097 - b4098 + x13698 >= 0 e53869: - b4098 + x13698 <= 0 e53870: b4098 - b4099 + x13699 >= 0 e53871: - b4099 + x13699 <= 0 e53872: b4099 - b4100 + x13700 >= 0 e53873: - b4100 + x13700 <= 0 e53874: b4100 - b4101 + x13701 >= 0 e53875: - b4101 + x13701 <= 0 e53876: b4101 - b4102 + x13702 >= 0 e53877: - b4102 + x13702 <= 0 e53878: b4102 - b4103 + x13703 >= 0 e53879: - b4103 + x13703 <= 0 e53880: b4103 - b4104 + x13704 >= 0 e53881: - b4104 + x13704 <= 0 e53882: b4104 - b4105 + x13705 >= 0 e53883: - b4105 + x13705 <= 0 e53884: b4111 = 1 e53885: b4106 = 1 e53886: b4107 = 1 e53887: b4108 = 1 e53888: b4109 = 1 e53889: b4110 = 1 e53890: b4111 = 1 e53891: b4111 - b4112 + x13712 >= 0 e53892: - b4112 + x13712 <= 0 e53893: b4112 - b4113 + x13713 >= 0 e53894: - b4113 + x13713 <= 0 e53895: b4113 - b4114 + x13714 >= 0 e53896: - b4114 + x13714 <= 0 e53897: b4114 - b4115 + x13715 >= 0 e53898: - b4115 + x13715 <= 0 e53899: b4115 - b4116 + x13716 >= 0 e53900: - b4116 + x13716 <= 0 e53901: b4116 - b4117 + x13717 >= 0 e53902: - b4117 + x13717 <= 0 e53903: b4117 - b4118 + x13718 >= 0 e53904: - b4118 + x13718 <= 0 e53905: b4118 - b4119 + x13719 >= 0 e53906: - b4119 + x13719 <= 0 e53907: b4119 - b4120 + x13720 >= 0 e53908: - b4120 + x13720 <= 0 e53909: b4120 - b4121 + x13721 >= 0 e53910: - b4121 + x13721 <= 0 e53911: b4121 - b4122 + x13722 >= 0 e53912: - b4122 + x13722 <= 0 e53913: b4122 - b4123 + x13723 >= 0 e53914: - b4123 + x13723 <= 0 e53915: b4123 - b4124 + x13724 >= 0 e53916: - b4124 + x13724 <= 0 e53917: b4124 - b4125 + x13725 >= 0 e53918: - b4125 + x13725 <= 0 e53919: b4125 - b4126 + x13726 >= 0 e53920: - b4126 + x13726 <= 0 e53921: b4126 - b4127 + x13727 >= 0 e53922: - b4127 + x13727 <= 0 e53923: b4127 - b4128 + x13728 >= 0 e53924: - b4128 + x13728 <= 0 e53925: b4128 - b4129 + x13729 >= 0 e53926: - b4129 + x13729 <= 0 e53927: b4135 = 1 e53928: b4130 = 1 e53929: b4131 = 1 e53930: b4132 = 1 e53931: b4133 = 1 e53932: b4134 = 1 e53933: b4135 = 1 e53934: b4135 - b4136 + x13736 >= 0 e53935: - b4136 + x13736 <= 0 e53936: b4136 - b4137 + x13737 >= 0 e53937: - b4137 + x13737 <= 0 e53938: b4137 - b4138 + x13738 >= 0 e53939: - b4138 + x13738 <= 0 e53940: b4138 - b4139 + x13739 >= 0 e53941: - b4139 + x13739 <= 0 e53942: b4139 - b4140 + x13740 >= 0 e53943: - b4140 + x13740 <= 0 e53944: b4140 - b4141 + x13741 >= 0 e53945: - b4141 + x13741 <= 0 e53946: b4141 - b4142 + x13742 >= 0 e53947: - b4142 + x13742 <= 0 e53948: b4142 - b4143 + x13743 >= 0 e53949: - b4143 + x13743 <= 0 e53950: b4143 - b4144 + x13744 >= 0 e53951: - b4144 + x13744 <= 0 e53952: b4144 - b4145 + x13745 >= 0 e53953: - b4145 + x13745 <= 0 e53954: b4145 - b4146 + x13746 >= 0 e53955: - b4146 + x13746 <= 0 e53956: b4146 - b4147 + x13747 >= 0 e53957: - b4147 + x13747 <= 0 e53958: b4147 - b4148 + x13748 >= 0 e53959: - b4148 + x13748 <= 0 e53960: b4148 - b4149 + x13749 >= 0 e53961: - b4149 + x13749 <= 0 e53962: b4149 - b4150 + x13750 >= 0 e53963: - b4150 + x13750 <= 0 e53964: b4150 - b4151 + x13751 >= 0 e53965: - b4151 + x13751 <= 0 e53966: b4151 - b4152 + x13752 >= 0 e53967: - b4152 + x13752 <= 0 e53968: b4152 - b4153 + x13753 >= 0 e53969: - b4153 + x13753 <= 0 e53970: b4155 = 1 e53971: b4154 = 1 e53972: b4155 = 1 e53973: b4155 - b4156 + x13756 >= 0 e53974: - b4156 + x13756 <= 0 e53975: b4156 - b4157 + x13757 >= 0 e53976: - b4157 + x13757 <= 0 e53977: b4157 - b4158 + x13758 >= 0 e53978: - b4158 + x13758 <= 0 e53979: b4158 - b4159 + x13759 >= 0 e53980: - b4159 + x13759 <= 0 e53981: b4159 - b4160 + x13760 >= 0 e53982: - b4160 + x13760 <= 0 e53983: b4160 - b4161 + x13761 >= 0 e53984: - b4161 + x13761 <= 0 e53985: b4161 - b4162 + x13762 >= 0 e53986: - b4162 + x13762 <= 0 e53987: b4162 - b4163 + x13763 >= 0 e53988: - b4163 + x13763 <= 0 e53989: b4163 - b4164 + x13764 >= 0 e53990: - b4164 + x13764 <= 0 e53991: b4164 - b4165 + x13765 >= 0 e53992: - b4165 + x13765 <= 0 e53993: b4165 - b4166 + x13766 >= 0 e53994: - b4166 + x13766 <= 0 e53995: b4166 - b4167 + x13767 >= 0 e53996: - b4167 + x13767 <= 0 e53997: b4167 - b4168 + x13768 >= 0 e53998: - b4168 + x13768 <= 0 e53999: b4168 - b4169 + x13769 >= 0 e54000: - b4169 + x13769 <= 0 e54001: b4169 - b4170 + x13770 >= 0 e54002: - b4170 + x13770 <= 0 e54003: b4170 - b4171 + x13771 >= 0 e54004: - b4171 + x13771 <= 0 e54005: b4171 - b4172 + x13772 >= 0 e54006: - b4172 + x13772 <= 0 e54007: b4172 - b4173 + x13773 >= 0 e54008: - b4173 + x13773 <= 0 e54009: b4173 - b4174 + x13774 >= 0 e54010: - b4174 + x13774 <= 0 e54011: b4174 - b4175 + x13775 >= 0 e54012: - b4175 + x13775 <= 0 e54013: b4175 - b4176 + x13776 >= 0 e54014: - b4176 + x13776 <= 0 e54015: b4176 - b4177 + x13777 >= 0 e54016: - b4177 + x13777 <= 0 e54017: x19337 = 0 e54018: - b4178 + x13778 + x19337 >= 0 e54019: - b4178 + x13778 <= 0 e54020: b4178 - b4179 + x13779 >= 0 e54021: - b4179 + x13779 <= 0 e54022: b4179 - b4180 + x13780 >= 0 e54023: - b4180 + x13780 <= 0 e54024: b4180 - b4181 + x13781 >= 0 e54025: - b4181 + x13781 <= 0 e54026: b4181 - b4182 + x13782 >= 0 e54027: - b4182 + x13782 <= 0 e54028: b4182 - b4183 + x13783 >= 0 e54029: - b4183 + x13783 <= 0 e54030: b4183 - b4184 + x13784 >= 0 e54031: - b4184 + x13784 <= 0 e54032: b4184 - b4185 + x13785 >= 0 e54033: - b4185 + x13785 <= 0 e54034: b4185 - b4186 + x13786 >= 0 e54035: - b4186 + x13786 <= 0 e54036: b4186 - b4187 + x13787 >= 0 e54037: - b4187 + x13787 <= 0 e54038: b4187 - b4188 + x13788 >= 0 e54039: - b4188 + x13788 <= 0 e54040: b4188 - b4189 + x13789 >= 0 e54041: - b4189 + x13789 <= 0 e54042: b4189 - b4190 + x13790 >= 0 e54043: - b4190 + x13790 <= 0 e54044: b4190 - b4191 + x13791 >= 0 e54045: - b4191 + x13791 <= 0 e54046: b4191 - b4192 + x13792 >= 0 e54047: - b4192 + x13792 <= 0 e54048: b4192 - b4193 + x13793 >= 0 e54049: - b4193 + x13793 <= 0 e54050: b4193 - b4194 + x13794 >= 0 e54051: - b4194 + x13794 <= 0 e54052: b4194 - b4195 + x13795 >= 0 e54053: - b4195 + x13795 <= 0 e54054: b4195 - b4196 + x13796 >= 0 e54055: - b4196 + x13796 <= 0 e54056: b4196 - b4197 + x13797 >= 0 e54057: - b4197 + x13797 <= 0 e54058: b4197 - b4198 + x13798 >= 0 e54059: - b4198 + x13798 <= 0 e54060: b4198 - b4199 + x13799 >= 0 e54061: - b4199 + x13799 <= 0 e54062: b4199 - b4200 + x13800 >= 0 e54063: - b4200 + x13800 <= 0 e54064: b4200 - b4201 + x13801 >= 0 e54065: - b4201 + x13801 <= 0 e54066: x19338 = 0 e54067: - b4202 + x13802 + x19338 >= 0 e54068: - b4202 + x13802 <= 0 e54069: b4202 - b4203 + x13803 >= 0 e54070: - b4203 + x13803 <= 0 e54071: b4203 - b4204 + x13804 >= 0 e54072: - b4204 + x13804 <= 0 e54073: b4204 - b4205 + x13805 >= 0 e54074: - b4205 + x13805 <= 0 e54075: b4205 - b4206 + x13806 >= 0 e54076: - b4206 + x13806 <= 0 e54077: b4206 - b4207 + x13807 >= 0 e54078: - b4207 + x13807 <= 0 e54079: b4207 - b4208 + x13808 >= 0 e54080: - b4208 + x13808 <= 0 e54081: b4208 - b4209 + x13809 >= 0 e54082: - b4209 + x13809 <= 0 e54083: b4209 - b4210 + x13810 >= 0 e54084: - b4210 + x13810 <= 0 e54085: b4210 - b4211 + x13811 >= 0 e54086: - b4211 + x13811 <= 0 e54087: b4211 - b4212 + x13812 >= 0 e54088: - b4212 + x13812 <= 0 e54089: b4212 - b4213 + x13813 >= 0 e54090: - b4213 + x13813 <= 0 e54091: b4213 - b4214 + x13814 >= 0 e54092: - b4214 + x13814 <= 0 e54093: b4214 - b4215 + x13815 >= 0 e54094: - b4215 + x13815 <= 0 e54095: b4215 - b4216 + x13816 >= 0 e54096: - b4216 + x13816 <= 0 e54097: b4216 - b4217 + x13817 >= 0 e54098: - b4217 + x13817 <= 0 e54099: b4217 - b4218 + x13818 >= 0 e54100: - b4218 + x13818 <= 0 e54101: b4218 - b4219 + x13819 >= 0 e54102: - b4219 + x13819 <= 0 e54103: b4219 - b4220 + x13820 >= 0 e54104: - b4220 + x13820 <= 0 e54105: b4220 - b4221 + x13821 >= 0 e54106: - b4221 + x13821 <= 0 e54107: b4221 - b4222 + x13822 >= 0 e54108: - b4222 + x13822 <= 0 e54109: b4222 - b4223 + x13823 >= 0 e54110: - b4223 + x13823 <= 0 e54111: b4223 - b4224 + x13824 >= 0 e54112: - b4224 + x13824 <= 0 e54113: b4224 - b4225 + x13825 >= 0 e54114: - b4225 + x13825 <= 0 e54115: x19339 = 0 e54116: - b4226 + x13826 + x19339 >= 0 e54117: - b4226 + x13826 <= 0 e54118: b4226 - b4227 + x13827 >= 0 e54119: - b4227 + x13827 <= 0 e54120: b4227 - b4228 + x13828 >= 0 e54121: - b4228 + x13828 <= 0 e54122: b4228 - b4229 + x13829 >= 0 e54123: - b4229 + x13829 <= 0 e54124: b4229 - b4230 + x13830 >= 0 e54125: - b4230 + x13830 <= 0 e54126: b4230 - b4231 + x13831 >= 0 e54127: - b4231 + x13831 <= 0 e54128: b4231 - b4232 + x13832 >= 0 e54129: - b4232 + x13832 <= 0 e54130: b4232 - b4233 + x13833 >= 0 e54131: - b4233 + x13833 <= 0 e54132: b4233 - b4234 + x13834 >= 0 e54133: - b4234 + x13834 <= 0 e54134: b4234 - b4235 + x13835 >= 0 e54135: - b4235 + x13835 <= 0 e54136: b4235 - b4236 + x13836 >= 0 e54137: - b4236 + x13836 <= 0 e54138: b4236 - b4237 + x13837 >= 0 e54139: - b4237 + x13837 <= 0 e54140: b4237 - b4238 + x13838 >= 0 e54141: - b4238 + x13838 <= 0 e54142: b4238 - b4239 + x13839 >= 0 e54143: - b4239 + x13839 <= 0 e54144: b4239 - b4240 + x13840 >= 0 e54145: - b4240 + x13840 <= 0 e54146: b4240 - b4241 + x13841 >= 0 e54147: - b4241 + x13841 <= 0 e54148: b4241 - b4242 + x13842 >= 0 e54149: - b4242 + x13842 <= 0 e54150: b4242 - b4243 + x13843 >= 0 e54151: - b4243 + x13843 <= 0 e54152: b4243 - b4244 + x13844 >= 0 e54153: - b4244 + x13844 <= 0 e54154: b4244 - b4245 + x13845 >= 0 e54155: - b4245 + x13845 <= 0 e54156: b4245 - b4246 + x13846 >= 0 e54157: - b4246 + x13846 <= 0 e54158: b4246 - b4247 + x13847 >= 0 e54159: - b4247 + x13847 <= 0 e54160: b4247 - b4248 + x13848 >= 0 e54161: - b4248 + x13848 <= 0 e54162: b4248 - b4249 + x13849 >= 0 e54163: - b4249 + x13849 <= 0 e54164: x19287 = 0 e54165: - b4250 + x13850 + x19287 >= 0 e54166: - b4250 + x13850 <= 0 e54167: b4250 - b4251 + x13851 >= 0 e54168: - b4251 + x13851 <= 0 e54169: b4251 - b4252 + x13852 >= 0 e54170: - b4252 + x13852 <= 0 e54171: b4252 - b4253 + x13853 >= 0 e54172: - b4253 + x13853 <= 0 e54173: b4253 - b4254 + x13854 >= 0 e54174: - b4254 + x13854 <= 0 e54175: b4254 - b4255 + x13855 >= 0 e54176: - b4255 + x13855 <= 0 e54177: b4255 - b4256 + x13856 >= 0 e54178: - b4256 + x13856 <= 0 e54179: b4256 - b4257 + x13857 >= 0 e54180: - b4257 + x13857 <= 0 e54181: b4257 - b4258 + x13858 >= 0 e54182: - b4258 + x13858 <= 0 e54183: b4258 - b4259 + x13859 >= 0 e54184: - b4259 + x13859 <= 0 e54185: b4259 - b4260 + x13860 >= 0 e54186: - b4260 + x13860 <= 0 e54187: b4260 - b4261 + x13861 >= 0 e54188: - b4261 + x13861 <= 0 e54189: b4261 - b4262 + x13862 >= 0 e54190: - b4262 + x13862 <= 0 e54191: b4262 - b4263 + x13863 >= 0 e54192: - b4263 + x13863 <= 0 e54193: b4263 - b4264 + x13864 >= 0 e54194: - b4264 + x13864 <= 0 e54195: b4264 - b4265 + x13865 >= 0 e54196: - b4265 + x13865 <= 0 e54197: b4265 - b4266 + x13866 >= 0 e54198: - b4266 + x13866 <= 0 e54199: b4266 - b4267 + x13867 >= 0 e54200: - b4267 + x13867 <= 0 e54201: b4267 - b4268 + x13868 >= 0 e54202: - b4268 + x13868 <= 0 e54203: b4268 - b4269 + x13869 >= 0 e54204: - b4269 + x13869 <= 0 e54205: b4269 - b4270 + x13870 >= 0 e54206: - b4270 + x13870 <= 0 e54207: b4270 - b4271 + x13871 >= 0 e54208: - b4271 + x13871 <= 0 e54209: b4271 - b4272 + x13872 >= 0 e54210: - b4272 + x13872 <= 0 e54211: b4272 - b4273 + x13873 >= 0 e54212: - b4273 + x13873 <= 0 e54213: b4278 = 1 e54214: b4274 = 1 e54215: b4275 = 1 e54216: b4276 = 1 e54217: b4277 = 1 e54218: b4278 = 1 e54219: b4278 - b4279 + x13879 >= 0 e54220: - b4279 + x13879 <= 0 e54221: b4279 - b4280 + x13880 >= 0 e54222: - b4280 + x13880 <= 0 e54223: b4280 - b4281 + x13881 >= 0 e54224: - b4281 + x13881 <= 0 e54225: b4281 - b4282 + x13882 >= 0 e54226: - b4282 + x13882 <= 0 e54227: b4282 - b4283 + x13883 >= 0 e54228: - b4283 + x13883 <= 0 e54229: b4283 - b4284 + x13884 >= 0 e54230: - b4284 + x13884 <= 0 e54231: b4284 - b4285 + x13885 >= 0 e54232: - b4285 + x13885 <= 0 e54233: b4285 - b4286 + x13886 >= 0 e54234: - b4286 + x13886 <= 0 e54235: b4286 - b4287 + x13887 >= 0 e54236: - b4287 + x13887 <= 0 e54237: b4287 - b4288 + x13888 >= 0 e54238: - b4288 + x13888 <= 0 e54239: b4288 - b4289 + x13889 >= 0 e54240: - b4289 + x13889 <= 0 e54241: b4289 - b4290 + x13890 >= 0 e54242: - b4290 + x13890 <= 0 e54243: b4290 - b4291 + x13891 >= 0 e54244: - b4291 + x13891 <= 0 e54245: b4291 - b4292 + x13892 >= 0 e54246: - b4292 + x13892 <= 0 e54247: b4292 - b4293 + x13893 >= 0 e54248: - b4293 + x13893 <= 0 e54249: b4293 - b4294 + x13894 >= 0 e54250: - b4294 + x13894 <= 0 e54251: b4294 - b4295 + x13895 >= 0 e54252: - b4295 + x13895 <= 0 e54253: b4295 - b4296 + x13896 >= 0 e54254: - b4296 + x13896 <= 0 e54255: b4296 - b4297 + x13897 >= 0 e54256: - b4297 + x13897 <= 0 e54257: x19340 = 0 e54258: - b4298 + x13898 + x19340 >= 0 e54259: - b4298 + x13898 <= 0 e54260: b4298 - b4299 + x13899 >= 0 e54261: - b4299 + x13899 <= 0 e54262: b4299 - b4300 + x13900 >= 0 e54263: - b4300 + x13900 <= 0 e54264: b4300 - b4301 + x13901 >= 0 e54265: - b4301 + x13901 <= 0 e54266: b4301 - b4302 + x13902 >= 0 e54267: - b4302 + x13902 <= 0 e54268: b4302 - b4303 + x13903 >= 0 e54269: - b4303 + x13903 <= 0 e54270: b4303 - b4304 + x13904 >= 0 e54271: - b4304 + x13904 <= 0 e54272: b4304 - b4305 + x13905 >= 0 e54273: - b4305 + x13905 <= 0 e54274: b4305 - b4306 + x13906 >= 0 e54275: - b4306 + x13906 <= 0 e54276: b4306 - b4307 + x13907 >= 0 e54277: - b4307 + x13907 <= 0 e54278: b4307 - b4308 + x13908 >= 0 e54279: - b4308 + x13908 <= 0 e54280: b4308 - b4309 + x13909 >= 0 e54281: - b4309 + x13909 <= 0 e54282: b4309 - b4310 + x13910 >= 0 e54283: - b4310 + x13910 <= 0 e54284: b4310 - b4311 + x13911 >= 0 e54285: - b4311 + x13911 <= 0 e54286: b4311 - b4312 + x13912 >= 0 e54287: - b4312 + x13912 <= 0 e54288: b4312 - b4313 + x13913 >= 0 e54289: - b4313 + x13913 <= 0 e54290: b4313 - b4314 + x13914 >= 0 e54291: - b4314 + x13914 <= 0 e54292: b4314 - b4315 + x13915 >= 0 e54293: - b4315 + x13915 <= 0 e54294: b4315 - b4316 + x13916 >= 0 e54295: - b4316 + x13916 <= 0 e54296: b4316 - b4317 + x13917 >= 0 e54297: - b4317 + x13917 <= 0 e54298: b4317 - b4318 + x13918 >= 0 e54299: - b4318 + x13918 <= 0 e54300: b4318 - b4319 + x13919 >= 0 e54301: - b4319 + x13919 <= 0 e54302: b4319 - b4320 + x13920 >= 0 e54303: - b4320 + x13920 <= 0 e54304: b4320 - b4321 + x13921 >= 0 e54305: - b4321 + x13921 <= 0 e54306: x19288 = 1 e54307: - b4322 + x13922 + x19288 >= 0 e54308: - b4322 + x13922 <= 0 e54309: b4322 - b4323 + x13923 >= 0 e54310: - b4323 + x13923 <= 0 e54311: b4323 - b4324 + x13924 >= 0 e54312: - b4324 + x13924 <= 0 e54313: b4324 - b4325 + x13925 >= 0 e54314: - b4325 + x13925 <= 0 e54315: b4325 - b4326 + x13926 >= 0 e54316: - b4326 + x13926 <= 0 e54317: b4326 - b4327 + x13927 >= 0 e54318: - b4327 + x13927 <= 0 e54319: b4327 - b4328 + x13928 >= 0 e54320: - b4328 + x13928 <= 0 e54321: b4328 - b4329 + x13929 >= 0 e54322: - b4329 + x13929 <= 0 e54323: b4329 - b4330 + x13930 >= 0 e54324: - b4330 + x13930 <= 0 e54325: b4330 - b4331 + x13931 >= 0 e54326: - b4331 + x13931 <= 0 e54327: b4331 - b4332 + x13932 >= 0 e54328: - b4332 + x13932 <= 0 e54329: b4332 - b4333 + x13933 >= 0 e54330: - b4333 + x13933 <= 0 e54331: b4333 - b4334 + x13934 >= 0 e54332: - b4334 + x13934 <= 0 e54333: b4334 - b4335 + x13935 >= 0 e54334: - b4335 + x13935 <= 0 e54335: b4335 - b4336 + x13936 >= 0 e54336: - b4336 + x13936 <= 0 e54337: b4336 - b4337 + x13937 >= 0 e54338: - b4337 + x13937 <= 0 e54339: b4337 - b4338 + x13938 >= 0 e54340: - b4338 + x13938 <= 0 e54341: b4338 - b4339 + x13939 >= 0 e54342: - b4339 + x13939 <= 0 e54343: b4339 - b4340 + x13940 >= 0 e54344: - b4340 + x13940 <= 0 e54345: b4340 - b4341 + x13941 >= 0 e54346: - b4341 + x13941 <= 0 e54347: b4341 - b4342 + x13942 >= 0 e54348: - b4342 + x13942 <= 0 e54349: b4342 - b4343 + x13943 >= 0 e54350: - b4343 + x13943 <= 0 e54351: b4343 - b4344 + x13944 >= 0 e54352: - b4344 + x13944 <= 0 e54353: b4344 - b4345 + x13945 >= 0 e54354: - b4345 + x13945 <= 0 e54355: x19289 = 0 e54356: - b4346 + x13946 + x19289 >= 0 e54357: - b4346 + x13946 <= 0 e54358: b4346 - b4347 + x13947 >= 0 e54359: - b4347 + x13947 <= 0 e54360: b4347 - b4348 + x13948 >= 0 e54361: - b4348 + x13948 <= 0 e54362: b4348 - b4349 + x13949 >= 0 e54363: - b4349 + x13949 <= 0 e54364: b4349 - b4350 + x13950 >= 0 e54365: - b4350 + x13950 <= 0 e54366: b4350 - b4351 + x13951 >= 0 e54367: - b4351 + x13951 <= 0 e54368: b4351 - b4352 + x13952 >= 0 e54369: - b4352 + x13952 <= 0 e54370: b4352 - b4353 + x13953 >= 0 e54371: - b4353 + x13953 <= 0 e54372: b4353 - b4354 + x13954 >= 0 e54373: - b4354 + x13954 <= 0 e54374: b4354 - b4355 + x13955 >= 0 e54375: - b4355 + x13955 <= 0 e54376: b4355 - b4356 + x13956 >= 0 e54377: - b4356 + x13956 <= 0 e54378: b4356 - b4357 + x13957 >= 0 e54379: - b4357 + x13957 <= 0 e54380: b4357 - b4358 + x13958 >= 0 e54381: - b4358 + x13958 <= 0 e54382: b4358 - b4359 + x13959 >= 0 e54383: - b4359 + x13959 <= 0 e54384: b4359 - b4360 + x13960 >= 0 e54385: - b4360 + x13960 <= 0 e54386: b4360 - b4361 + x13961 >= 0 e54387: - b4361 + x13961 <= 0 e54388: b4361 - b4362 + x13962 >= 0 e54389: - b4362 + x13962 <= 0 e54390: b4362 - b4363 + x13963 >= 0 e54391: - b4363 + x13963 <= 0 e54392: b4363 - b4364 + x13964 >= 0 e54393: - b4364 + x13964 <= 0 e54394: b4364 - b4365 + x13965 >= 0 e54395: - b4365 + x13965 <= 0 e54396: b4365 - b4366 + x13966 >= 0 e54397: - b4366 + x13966 <= 0 e54398: b4366 - b4367 + x13967 >= 0 e54399: - b4367 + x13967 <= 0 e54400: b4367 - b4368 + x13968 >= 0 e54401: - b4368 + x13968 <= 0 e54402: b4368 - b4369 + x13969 >= 0 e54403: - b4369 + x13969 <= 0 e54404: x19341 = 0 e54405: - b4370 + x13970 + x19341 >= 0 e54406: - b4370 + x13970 <= 0 e54407: b4370 - b4371 + x13971 >= 0 e54408: - b4371 + x13971 <= 0 e54409: b4371 - b4372 + x13972 >= 0 e54410: - b4372 + x13972 <= 0 e54411: b4372 - b4373 + x13973 >= 0 e54412: - b4373 + x13973 <= 0 e54413: b4373 - b4374 + x13974 >= 0 e54414: - b4374 + x13974 <= 0 e54415: b4374 - b4375 + x13975 >= 0 e54416: - b4375 + x13975 <= 0 e54417: b4375 - b4376 + x13976 >= 0 e54418: - b4376 + x13976 <= 0 e54419: b4376 - b4377 + x13977 >= 0 e54420: - b4377 + x13977 <= 0 e54421: b4377 - b4378 + x13978 >= 0 e54422: - b4378 + x13978 <= 0 e54423: b4378 - b4379 + x13979 >= 0 e54424: - b4379 + x13979 <= 0 e54425: b4379 - b4380 + x13980 >= 0 e54426: - b4380 + x13980 <= 0 e54427: b4380 - b4381 + x13981 >= 0 e54428: - b4381 + x13981 <= 0 e54429: b4381 - b4382 + x13982 >= 0 e54430: - b4382 + x13982 <= 0 e54431: b4382 - b4383 + x13983 >= 0 e54432: - b4383 + x13983 <= 0 e54433: b4383 - b4384 + x13984 >= 0 e54434: - b4384 + x13984 <= 0 e54435: b4384 - b4385 + x13985 >= 0 e54436: - b4385 + x13985 <= 0 e54437: b4385 - b4386 + x13986 >= 0 e54438: - b4386 + x13986 <= 0 e54439: b4386 - b4387 + x13987 >= 0 e54440: - b4387 + x13987 <= 0 e54441: b4387 - b4388 + x13988 >= 0 e54442: - b4388 + x13988 <= 0 e54443: b4388 - b4389 + x13989 >= 0 e54444: - b4389 + x13989 <= 0 e54445: b4389 - b4390 + x13990 >= 0 e54446: - b4390 + x13990 <= 0 e54447: b4390 - b4391 + x13991 >= 0 e54448: - b4391 + x13991 <= 0 e54449: b4391 - b4392 + x13992 >= 0 e54450: - b4392 + x13992 <= 0 e54451: b4392 - b4393 + x13993 >= 0 e54452: - b4393 + x13993 <= 0 e54453: b4402 = 1 e54454: b4394 = 1 e54455: b4395 = 1 e54456: b4396 = 1 e54457: b4397 = 1 e54458: b4398 = 1 e54459: b4399 = 1 e54460: b4400 = 1 e54461: b4401 = 1 e54462: b4402 = 1 e54463: b4402 - b4403 + x14003 >= 0 e54464: - b4403 + x14003 <= 0 e54465: b4403 - b4404 + x14004 >= 0 e54466: - b4404 + x14004 <= 0 e54467: b4404 - b4405 + x14005 >= 0 e54468: - b4405 + x14005 <= 0 e54469: b4405 - b4406 + x14006 >= 0 e54470: - b4406 + x14006 <= 0 e54471: b4406 - b4407 + x14007 >= 0 e54472: - b4407 + x14007 <= 0 e54473: b4407 - b4408 + x14008 >= 0 e54474: - b4408 + x14008 <= 0 e54475: b4408 - b4409 + x14009 >= 0 e54476: - b4409 + x14009 <= 0 e54477: b4409 - b4410 + x14010 >= 0 e54478: - b4410 + x14010 <= 0 e54479: b4410 - b4411 + x14011 >= 0 e54480: - b4411 + x14011 <= 0 e54481: b4411 - b4412 + x14012 >= 0 e54482: - b4412 + x14012 <= 0 e54483: b4412 - b4413 + x14013 >= 0 e54484: - b4413 + x14013 <= 0 e54485: b4413 - b4414 + x14014 >= 0 e54486: - b4414 + x14014 <= 0 e54487: b4414 - b4415 + x14015 >= 0 e54488: - b4415 + x14015 <= 0 e54489: b4415 - b4416 + x14016 >= 0 e54490: - b4416 + x14016 <= 0 e54491: b4416 - b4417 + x14017 >= 0 e54492: - b4417 + x14017 <= 0 e54493: b4424 = 1 e54494: b4418 = 1 e54495: b4419 = 1 e54496: b4420 = 1 e54497: b4421 = 1 e54498: b4422 = 1 e54499: b4423 = 1 e54500: b4424 = 1 e54501: b4424 - b4425 + x14025 >= 0 e54502: - b4425 + x14025 <= 0 e54503: b4425 - b4426 + x14026 >= 0 e54504: - b4426 + x14026 <= 0 e54505: b4426 - b4427 + x14027 >= 0 e54506: - b4427 + x14027 <= 0 e54507: b4427 - b4428 + x14028 >= 0 e54508: - b4428 + x14028 <= 0 e54509: b4428 - b4429 + x14029 >= 0 e54510: - b4429 + x14029 <= 0 e54511: b4429 - b4430 + x14030 >= 0 e54512: - b4430 + x14030 <= 0 e54513: b4430 - b4431 + x14031 >= 0 e54514: - b4431 + x14031 <= 0 e54515: b4431 - b4432 + x14032 >= 0 e54516: - b4432 + x14032 <= 0 e54517: b4432 - b4433 + x14033 >= 0 e54518: - b4433 + x14033 <= 0 e54519: b4433 - b4434 + x14034 >= 0 e54520: - b4434 + x14034 <= 0 e54521: b4434 - b4435 + x14035 >= 0 e54522: - b4435 + x14035 <= 0 e54523: b4435 - b4436 + x14036 >= 0 e54524: - b4436 + x14036 <= 0 e54525: b4436 - b4437 + x14037 >= 0 e54526: - b4437 + x14037 <= 0 e54527: b4437 - b4438 + x14038 >= 0 e54528: - b4438 + x14038 <= 0 e54529: b4438 - b4439 + x14039 >= 0 e54530: - b4439 + x14039 <= 0 e54531: b4439 - b4440 + x14040 >= 0 e54532: - b4440 + x14040 <= 0 e54533: b4440 - b4441 + x14041 >= 0 e54534: - b4441 + x14041 <= 0 e54535: x19342 = 0 e54536: - b4442 + x14042 + x19342 >= 0 e54537: - b4442 + x14042 <= 0 e54538: b4442 - b4443 + x14043 >= 0 e54539: - b4443 + x14043 <= 0 e54540: b4443 - b4444 + x14044 >= 0 e54541: - b4444 + x14044 <= 0 e54542: b4444 - b4445 + x14045 >= 0 e54543: - b4445 + x14045 <= 0 e54544: b4445 - b4446 + x14046 >= 0 e54545: - b4446 + x14046 <= 0 e54546: b4446 - b4447 + x14047 >= 0 e54547: - b4447 + x14047 <= 0 e54548: b4447 - b4448 + x14048 >= 0 e54549: - b4448 + x14048 <= 0 e54550: b4448 - b4449 + x14049 >= 0 e54551: - b4449 + x14049 <= 0 e54552: b4449 - b4450 + x14050 >= 0 e54553: - b4450 + x14050 <= 0 e54554: b4450 - b4451 + x14051 >= 0 e54555: - b4451 + x14051 <= 0 e54556: b4451 - b4452 + x14052 >= 0 e54557: - b4452 + x14052 <= 0 e54558: b4452 - b4453 + x14053 >= 0 e54559: - b4453 + x14053 <= 0 e54560: b4453 - b4454 + x14054 >= 0 e54561: - b4454 + x14054 <= 0 e54562: b4454 - b4455 + x14055 >= 0 e54563: - b4455 + x14055 <= 0 e54564: b4455 - b4456 + x14056 >= 0 e54565: - b4456 + x14056 <= 0 e54566: b4456 - b4457 + x14057 >= 0 e54567: - b4457 + x14057 <= 0 e54568: b4457 - b4458 + x14058 >= 0 e54569: - b4458 + x14058 <= 0 e54570: b4458 - b4459 + x14059 >= 0 e54571: - b4459 + x14059 <= 0 e54572: b4459 - b4460 + x14060 >= 0 e54573: - b4460 + x14060 <= 0 e54574: b4460 - b4461 + x14061 >= 0 e54575: - b4461 + x14061 <= 0 e54576: b4461 - b4462 + x14062 >= 0 e54577: - b4462 + x14062 <= 0 e54578: b4462 - b4463 + x14063 >= 0 e54579: - b4463 + x14063 <= 0 e54580: b4463 - b4464 + x14064 >= 0 e54581: - b4464 + x14064 <= 0 e54582: b4464 - b4465 + x14065 >= 0 e54583: - b4465 + x14065 <= 0 e54584: x19343 = 0 e54585: - b4466 + x14066 + x19343 >= 0 e54586: - b4466 + x14066 <= 0 e54587: b4466 - b4467 + x14067 >= 0 e54588: - b4467 + x14067 <= 0 e54589: b4467 - b4468 + x14068 >= 0 e54590: - b4468 + x14068 <= 0 e54591: b4468 - b4469 + x14069 >= 0 e54592: - b4469 + x14069 <= 0 e54593: b4469 - b4470 + x14070 >= 0 e54594: - b4470 + x14070 <= 0 e54595: b4470 - b4471 + x14071 >= 0 e54596: - b4471 + x14071 <= 0 e54597: b4471 - b4472 + x14072 >= 0 e54598: - b4472 + x14072 <= 0 e54599: b4472 - b4473 + x14073 >= 0 e54600: - b4473 + x14073 <= 0 e54601: b4473 - b4474 + x14074 >= 0 e54602: - b4474 + x14074 <= 0 e54603: b4474 - b4475 + x14075 >= 0 e54604: - b4475 + x14075 <= 0 e54605: b4475 - b4476 + x14076 >= 0 e54606: - b4476 + x14076 <= 0 e54607: b4476 - b4477 + x14077 >= 0 e54608: - b4477 + x14077 <= 0 e54609: b4477 - b4478 + x14078 >= 0 e54610: - b4478 + x14078 <= 0 e54611: b4478 - b4479 + x14079 >= 0 e54612: - b4479 + x14079 <= 0 e54613: b4479 - b4480 + x14080 >= 0 e54614: - b4480 + x14080 <= 0 e54615: b4480 - b4481 + x14081 >= 0 e54616: - b4481 + x14081 <= 0 e54617: b4481 - b4482 + x14082 >= 0 e54618: - b4482 + x14082 <= 0 e54619: b4482 - b4483 + x14083 >= 0 e54620: - b4483 + x14083 <= 0 e54621: b4483 - b4484 + x14084 >= 0 e54622: - b4484 + x14084 <= 0 e54623: b4484 - b4485 + x14085 >= 0 e54624: - b4485 + x14085 <= 0 e54625: b4485 - b4486 + x14086 >= 0 e54626: - b4486 + x14086 <= 0 e54627: b4486 - b4487 + x14087 >= 0 e54628: - b4487 + x14087 <= 0 e54629: b4487 - b4488 + x14088 >= 0 e54630: - b4488 + x14088 <= 0 e54631: b4488 - b4489 + x14089 >= 0 e54632: - b4489 + x14089 <= 0 e54633: x19344 = 0 e54634: - b4490 + x14090 + x19344 >= 0 e54635: - b4490 + x14090 <= 0 e54636: b4490 - b4491 + x14091 >= 0 e54637: - b4491 + x14091 <= 0 e54638: b4491 - b4492 + x14092 >= 0 e54639: - b4492 + x14092 <= 0 e54640: b4492 - b4493 + x14093 >= 0 e54641: - b4493 + x14093 <= 0 e54642: b4493 - b4494 + x14094 >= 0 e54643: - b4494 + x14094 <= 0 e54644: b4494 - b4495 + x14095 >= 0 e54645: - b4495 + x14095 <= 0 e54646: b4495 - b4496 + x14096 >= 0 e54647: - b4496 + x14096 <= 0 e54648: b4496 - b4497 + x14097 >= 0 e54649: - b4497 + x14097 <= 0 e54650: b4497 - b4498 + x14098 >= 0 e54651: - b4498 + x14098 <= 0 e54652: b4498 - b4499 + x14099 >= 0 e54653: - b4499 + x14099 <= 0 e54654: b4499 - b4500 + x14100 >= 0 e54655: - b4500 + x14100 <= 0 e54656: b4500 - b4501 + x14101 >= 0 e54657: - b4501 + x14101 <= 0 e54658: b4501 - b4502 + x14102 >= 0 e54659: - b4502 + x14102 <= 0 e54660: b4502 - b4503 + x14103 >= 0 e54661: - b4503 + x14103 <= 0 e54662: b4503 - b4504 + x14104 >= 0 e54663: - b4504 + x14104 <= 0 e54664: b4504 - b4505 + x14105 >= 0 e54665: - b4505 + x14105 <= 0 e54666: b4505 - b4506 + x14106 >= 0 e54667: - b4506 + x14106 <= 0 e54668: b4506 - b4507 + x14107 >= 0 e54669: - b4507 + x14107 <= 0 e54670: b4507 - b4508 + x14108 >= 0 e54671: - b4508 + x14108 <= 0 e54672: b4508 - b4509 + x14109 >= 0 e54673: - b4509 + x14109 <= 0 e54674: b4509 - b4510 + x14110 >= 0 e54675: - b4510 + x14110 <= 0 e54676: b4510 - b4511 + x14111 >= 0 e54677: - b4511 + x14111 <= 0 e54678: b4511 - b4512 + x14112 >= 0 e54679: - b4512 + x14112 <= 0 e54680: b4512 - b4513 + x14113 >= 0 e54681: - b4513 + x14113 <= 0 e54682: x19345 = 0 e54683: - b4514 + x14114 + x19345 >= 0 e54684: - b4514 + x14114 <= 0 e54685: b4514 - b4515 + x14115 >= 0 e54686: - b4515 + x14115 <= 0 e54687: b4515 - b4516 + x14116 >= 0 e54688: - b4516 + x14116 <= 0 e54689: b4516 - b4517 + x14117 >= 0 e54690: - b4517 + x14117 <= 0 e54691: b4517 - b4518 + x14118 >= 0 e54692: - b4518 + x14118 <= 0 e54693: b4518 - b4519 + x14119 >= 0 e54694: - b4519 + x14119 <= 0 e54695: b4519 - b4520 + x14120 >= 0 e54696: - b4520 + x14120 <= 0 e54697: b4520 - b4521 + x14121 >= 0 e54698: - b4521 + x14121 <= 0 e54699: b4521 - b4522 + x14122 >= 0 e54700: - b4522 + x14122 <= 0 e54701: b4522 - b4523 + x14123 >= 0 e54702: - b4523 + x14123 <= 0 e54703: b4523 - b4524 + x14124 >= 0 e54704: - b4524 + x14124 <= 0 e54705: b4524 - b4525 + x14125 >= 0 e54706: - b4525 + x14125 <= 0 e54707: b4525 - b4526 + x14126 >= 0 e54708: - b4526 + x14126 <= 0 e54709: b4526 - b4527 + x14127 >= 0 e54710: - b4527 + x14127 <= 0 e54711: b4527 - b4528 + x14128 >= 0 e54712: - b4528 + x14128 <= 0 e54713: b4528 - b4529 + x14129 >= 0 e54714: - b4529 + x14129 <= 0 e54715: b4529 - b4530 + x14130 >= 0 e54716: - b4530 + x14130 <= 0 e54717: b4530 - b4531 + x14131 >= 0 e54718: - b4531 + x14131 <= 0 e54719: b4531 - b4532 + x14132 >= 0 e54720: - b4532 + x14132 <= 0 e54721: b4532 - b4533 + x14133 >= 0 e54722: - b4533 + x14133 <= 0 e54723: b4533 - b4534 + x14134 >= 0 e54724: - b4534 + x14134 <= 0 e54725: b4534 - b4535 + x14135 >= 0 e54726: - b4535 + x14135 <= 0 e54727: b4535 - b4536 + x14136 >= 0 e54728: - b4536 + x14136 <= 0 e54729: b4536 - b4537 + x14137 >= 0 e54730: - b4537 + x14137 <= 0 e54731: b4543 = 1 e54732: b4538 = 1 e54733: b4539 = 1 e54734: b4540 = 1 e54735: b4541 = 1 e54736: b4542 = 1 e54737: b4543 = 1 e54738: b4543 - b4544 + x14144 >= 0 e54739: - b4544 + x14144 <= 0 e54740: b4544 - b4545 + x14145 >= 0 e54741: - b4545 + x14145 <= 0 e54742: b4545 - b4546 + x14146 >= 0 e54743: - b4546 + x14146 <= 0 e54744: b4546 - b4547 + x14147 >= 0 e54745: - b4547 + x14147 <= 0 e54746: b4547 - b4548 + x14148 >= 0 e54747: - b4548 + x14148 <= 0 e54748: b4548 - b4549 + x14149 >= 0 e54749: - b4549 + x14149 <= 0 e54750: b4549 - b4550 + x14150 >= 0 e54751: - b4550 + x14150 <= 0 e54752: b4550 - b4551 + x14151 >= 0 e54753: - b4551 + x14151 <= 0 e54754: b4551 - b4552 + x14152 >= 0 e54755: - b4552 + x14152 <= 0 e54756: b4552 - b4553 + x14153 >= 0 e54757: - b4553 + x14153 <= 0 e54758: b4553 - b4554 + x14154 >= 0 e54759: - b4554 + x14154 <= 0 e54760: b4554 - b4555 + x14155 >= 0 e54761: - b4555 + x14155 <= 0 e54762: b4555 - b4556 + x14156 >= 0 e54763: - b4556 + x14156 <= 0 e54764: b4556 - b4557 + x14157 >= 0 e54765: - b4557 + x14157 <= 0 e54766: b4557 - b4558 + x14158 >= 0 e54767: - b4558 + x14158 <= 0 e54768: b4558 - b4559 + x14159 >= 0 e54769: - b4559 + x14159 <= 0 e54770: b4559 - b4560 + x14160 >= 0 e54771: - b4560 + x14160 <= 0 e54772: b4560 - b4561 + x14161 >= 0 e54773: - b4561 + x14161 <= 0 e54774: x19346 = 0 e54775: - b4562 + x14162 + x19346 >= 0 e54776: - b4562 + x14162 <= 0 e54777: b4562 - b4563 + x14163 >= 0 e54778: - b4563 + x14163 <= 0 e54779: b4563 - b4564 + x14164 >= 0 e54780: - b4564 + x14164 <= 0 e54781: b4564 - b4565 + x14165 >= 0 e54782: - b4565 + x14165 <= 0 e54783: b4565 - b4566 + x14166 >= 0 e54784: - b4566 + x14166 <= 0 e54785: b4566 - b4567 + x14167 >= 0 e54786: - b4567 + x14167 <= 0 e54787: b4567 - b4568 + x14168 >= 0 e54788: - b4568 + x14168 <= 0 e54789: b4568 - b4569 + x14169 >= 0 e54790: - b4569 + x14169 <= 0 e54791: b4569 - b4570 + x14170 >= 0 e54792: - b4570 + x14170 <= 0 e54793: b4570 - b4571 + x14171 >= 0 e54794: - b4571 + x14171 <= 0 e54795: b4571 - b4572 + x14172 >= 0 e54796: - b4572 + x14172 <= 0 e54797: b4572 - b4573 + x14173 >= 0 e54798: - b4573 + x14173 <= 0 e54799: b4573 - b4574 + x14174 >= 0 e54800: - b4574 + x14174 <= 0 e54801: b4574 - b4575 + x14175 >= 0 e54802: - b4575 + x14175 <= 0 e54803: b4575 - b4576 + x14176 >= 0 e54804: - b4576 + x14176 <= 0 e54805: b4576 - b4577 + x14177 >= 0 e54806: - b4577 + x14177 <= 0 e54807: b4577 - b4578 + x14178 >= 0 e54808: - b4578 + x14178 <= 0 e54809: b4578 - b4579 + x14179 >= 0 e54810: - b4579 + x14179 <= 0 e54811: b4579 - b4580 + x14180 >= 0 e54812: - b4580 + x14180 <= 0 e54813: b4580 - b4581 + x14181 >= 0 e54814: - b4581 + x14181 <= 0 e54815: b4581 - b4582 + x14182 >= 0 e54816: - b4582 + x14182 <= 0 e54817: b4582 - b4583 + x14183 >= 0 e54818: - b4583 + x14183 <= 0 e54819: b4583 - b4584 + x14184 >= 0 e54820: - b4584 + x14184 <= 0 e54821: b4584 - b4585 + x14185 >= 0 e54822: - b4585 + x14185 <= 0 e54823: b4593 = 1 e54824: b4586 = 1 e54825: b4587 = 1 e54826: b4588 = 1 e54827: b4589 = 1 e54828: b4590 = 1 e54829: b4591 = 1 e54830: b4592 = 1 e54831: b4593 = 1 e54832: b4593 - b4594 + x14194 >= 0 e54833: - b4594 + x14194 <= 0 e54834: b4594 - b4595 + x14195 >= 0 e54835: - b4595 + x14195 <= 0 e54836: b4595 - b4596 + x14196 >= 0 e54837: - b4596 + x14196 <= 0 e54838: b4596 - b4597 + x14197 >= 0 e54839: - b4597 + x14197 <= 0 e54840: b4597 - b4598 + x14198 >= 0 e54841: - b4598 + x14198 <= 0 e54842: b4598 - b4599 + x14199 >= 0 e54843: - b4599 + x14199 <= 0 e54844: b4599 - b4600 + x14200 >= 0 e54845: - b4600 + x14200 <= 0 e54846: b4600 - b4601 + x14201 >= 0 e54847: - b4601 + x14201 <= 0 e54848: b4601 - b4602 + x14202 >= 0 e54849: - b4602 + x14202 <= 0 e54850: b4602 - b4603 + x14203 >= 0 e54851: - b4603 + x14203 <= 0 e54852: b4603 - b4604 + x14204 >= 0 e54853: - b4604 + x14204 <= 0 e54854: b4604 - b4605 + x14205 >= 0 e54855: - b4605 + x14205 <= 0 e54856: b4605 - b4606 + x14206 >= 0 e54857: - b4606 + x14206 <= 0 e54858: b4606 - b4607 + x14207 >= 0 e54859: - b4607 + x14207 <= 0 e54860: b4607 - b4608 + x14208 >= 0 e54861: - b4608 + x14208 <= 0 e54862: b4608 - b4609 + x14209 >= 0 e54863: - b4609 + x14209 <= 0 e54864: x19290 = 1 e54865: - b4610 + x14210 + x19290 >= 0 e54866: - b4610 + x14210 <= 0 e54867: b4610 - b4611 + x14211 >= 0 e54868: - b4611 + x14211 <= 0 e54869: b4611 - b4612 + x14212 >= 0 e54870: - b4612 + x14212 <= 0 e54871: b4612 - b4613 + x14213 >= 0 e54872: - b4613 + x14213 <= 0 e54873: b4613 - b4614 + x14214 >= 0 e54874: - b4614 + x14214 <= 0 e54875: b4614 - b4615 + x14215 >= 0 e54876: - b4615 + x14215 <= 0 e54877: b4615 - b4616 + x14216 >= 0 e54878: - b4616 + x14216 <= 0 e54879: b4616 - b4617 + x14217 >= 0 e54880: - b4617 + x14217 <= 0 e54881: b4617 - b4618 + x14218 >= 0 e54882: - b4618 + x14218 <= 0 e54883: b4618 - b4619 + x14219 >= 0 e54884: - b4619 + x14219 <= 0 e54885: b4619 - b4620 + x14220 >= 0 e54886: - b4620 + x14220 <= 0 e54887: b4620 - b4621 + x14221 >= 0 e54888: - b4621 + x14221 <= 0 e54889: b4621 - b4622 + x14222 >= 0 e54890: - b4622 + x14222 <= 0 e54891: b4622 - b4623 + x14223 >= 0 e54892: - b4623 + x14223 <= 0 e54893: b4623 - b4624 + x14224 >= 0 e54894: - b4624 + x14224 <= 0 e54895: b4624 - b4625 + x14225 >= 0 e54896: - b4625 + x14225 <= 0 e54897: b4625 - b4626 + x14226 >= 0 e54898: - b4626 + x14226 <= 0 e54899: b4626 - b4627 + x14227 >= 0 e54900: - b4627 + x14227 <= 0 e54901: b4627 - b4628 + x14228 >= 0 e54902: - b4628 + x14228 <= 0 e54903: b4628 - b4629 + x14229 >= 0 e54904: - b4629 + x14229 <= 0 e54905: b4629 - b4630 + x14230 >= 0 e54906: - b4630 + x14230 <= 0 e54907: b4630 - b4631 + x14231 >= 0 e54908: - b4631 + x14231 <= 0 e54909: b4631 - b4632 + x14232 >= 0 e54910: - b4632 + x14232 <= 0 e54911: b4632 - b4633 + x14233 >= 0 e54912: - b4633 + x14233 <= 0 e54913: x19347 = 0 e54914: - b4634 + x14234 + x19347 >= 0 e54915: - b4634 + x14234 <= 0 e54916: b4634 - b4635 + x14235 >= 0 e54917: - b4635 + x14235 <= 0 e54918: b4635 - b4636 + x14236 >= 0 e54919: - b4636 + x14236 <= 0 e54920: b4636 - b4637 + x14237 >= 0 e54921: - b4637 + x14237 <= 0 e54922: b4637 - b4638 + x14238 >= 0 e54923: - b4638 + x14238 <= 0 e54924: b4638 - b4639 + x14239 >= 0 e54925: - b4639 + x14239 <= 0 e54926: b4639 - b4640 + x14240 >= 0 e54927: - b4640 + x14240 <= 0 e54928: b4640 - b4641 + x14241 >= 0 e54929: - b4641 + x14241 <= 0 e54930: b4641 - b4642 + x14242 >= 0 e54931: - b4642 + x14242 <= 0 e54932: b4642 - b4643 + x14243 >= 0 e54933: - b4643 + x14243 <= 0 e54934: b4643 - b4644 + x14244 >= 0 e54935: - b4644 + x14244 <= 0 e54936: b4644 - b4645 + x14245 >= 0 e54937: - b4645 + x14245 <= 0 e54938: b4645 - b4646 + x14246 >= 0 e54939: - b4646 + x14246 <= 0 e54940: b4646 - b4647 + x14247 >= 0 e54941: - b4647 + x14247 <= 0 e54942: b4647 - b4648 + x14248 >= 0 e54943: - b4648 + x14248 <= 0 e54944: b4648 - b4649 + x14249 >= 0 e54945: - b4649 + x14249 <= 0 e54946: b4649 - b4650 + x14250 >= 0 e54947: - b4650 + x14250 <= 0 e54948: b4650 - b4651 + x14251 >= 0 e54949: - b4651 + x14251 <= 0 e54950: b4651 - b4652 + x14252 >= 0 e54951: - b4652 + x14252 <= 0 e54952: b4652 - b4653 + x14253 >= 0 e54953: - b4653 + x14253 <= 0 e54954: b4653 - b4654 + x14254 >= 0 e54955: - b4654 + x14254 <= 0 e54956: b4654 - b4655 + x14255 >= 0 e54957: - b4655 + x14255 <= 0 e54958: b4655 - b4656 + x14256 >= 0 e54959: - b4656 + x14256 <= 0 e54960: b4656 - b4657 + x14257 >= 0 e54961: - b4657 + x14257 <= 0 e54962: x19348 = 0 e54963: - b4658 + x14258 + x19348 >= 0 e54964: - b4658 + x14258 <= 0 e54965: b4658 - b4659 + x14259 >= 0 e54966: - b4659 + x14259 <= 0 e54967: b4659 - b4660 + x14260 >= 0 e54968: - b4660 + x14260 <= 0 e54969: b4660 - b4661 + x14261 >= 0 e54970: - b4661 + x14261 <= 0 e54971: b4661 - b4662 + x14262 >= 0 e54972: - b4662 + x14262 <= 0 e54973: b4662 - b4663 + x14263 >= 0 e54974: - b4663 + x14263 <= 0 e54975: b4663 - b4664 + x14264 >= 0 e54976: - b4664 + x14264 <= 0 e54977: b4664 - b4665 + x14265 >= 0 e54978: - b4665 + x14265 <= 0 e54979: b4665 - b4666 + x14266 >= 0 e54980: - b4666 + x14266 <= 0 e54981: b4666 - b4667 + x14267 >= 0 e54982: - b4667 + x14267 <= 0 e54983: b4667 - b4668 + x14268 >= 0 e54984: - b4668 + x14268 <= 0 e54985: b4668 - b4669 + x14269 >= 0 e54986: - b4669 + x14269 <= 0 e54987: b4669 - b4670 + x14270 >= 0 e54988: - b4670 + x14270 <= 0 e54989: b4670 - b4671 + x14271 >= 0 e54990: - b4671 + x14271 <= 0 e54991: b4671 - b4672 + x14272 >= 0 e54992: - b4672 + x14272 <= 0 e54993: b4672 - b4673 + x14273 >= 0 e54994: - b4673 + x14273 <= 0 e54995: b4673 - b4674 + x14274 >= 0 e54996: - b4674 + x14274 <= 0 e54997: b4674 - b4675 + x14275 >= 0 e54998: - b4675 + x14275 <= 0 e54999: b4675 - b4676 + x14276 >= 0 e55000: - b4676 + x14276 <= 0 e55001: b4676 - b4677 + x14277 >= 0 e55002: - b4677 + x14277 <= 0 e55003: b4677 - b4678 + x14278 >= 0 e55004: - b4678 + x14278 <= 0 e55005: b4678 - b4679 + x14279 >= 0 e55006: - b4679 + x14279 <= 0 e55007: b4679 - b4680 + x14280 >= 0 e55008: - b4680 + x14280 <= 0 e55009: b4680 - b4681 + x14281 >= 0 e55010: - b4681 + x14281 <= 0 e55011: x19291 = 0 e55012: - b4682 + x14282 + x19291 >= 0 e55013: - b4682 + x14282 <= 0 e55014: b4682 - b4683 + x14283 >= 0 e55015: - b4683 + x14283 <= 0 e55016: b4683 - b4684 + x14284 >= 0 e55017: - b4684 + x14284 <= 0 e55018: b4684 - b4685 + x14285 >= 0 e55019: - b4685 + x14285 <= 0 e55020: b4685 - b4686 + x14286 >= 0 e55021: - b4686 + x14286 <= 0 e55022: b4686 - b4687 + x14287 >= 0 e55023: - b4687 + x14287 <= 0 e55024: b4687 - b4688 + x14288 >= 0 e55025: - b4688 + x14288 <= 0 e55026: b4688 - b4689 + x14289 >= 0 e55027: - b4689 + x14289 <= 0 e55028: b4689 - b4690 + x14290 >= 0 e55029: - b4690 + x14290 <= 0 e55030: b4690 - b4691 + x14291 >= 0 e55031: - b4691 + x14291 <= 0 e55032: b4691 - b4692 + x14292 >= 0 e55033: - b4692 + x14292 <= 0 e55034: b4692 - b4693 + x14293 >= 0 e55035: - b4693 + x14293 <= 0 e55036: b4693 - b4694 + x14294 >= 0 e55037: - b4694 + x14294 <= 0 e55038: b4694 - b4695 + x14295 >= 0 e55039: - b4695 + x14295 <= 0 e55040: b4695 - b4696 + x14296 >= 0 e55041: - b4696 + x14296 <= 0 e55042: b4696 - b4697 + x14297 >= 0 e55043: - b4697 + x14297 <= 0 e55044: b4697 - b4698 + x14298 >= 0 e55045: - b4698 + x14298 <= 0 e55046: b4698 - b4699 + x14299 >= 0 e55047: - b4699 + x14299 <= 0 e55048: b4699 - b4700 + x14300 >= 0 e55049: - b4700 + x14300 <= 0 e55050: b4700 - b4701 + x14301 >= 0 e55051: - b4701 + x14301 <= 0 e55052: b4701 - b4702 + x14302 >= 0 e55053: - b4702 + x14302 <= 0 e55054: b4702 - b4703 + x14303 >= 0 e55055: - b4703 + x14303 <= 0 e55056: b4703 - b4704 + x14304 >= 0 e55057: - b4704 + x14304 <= 0 e55058: b4704 - b4705 + x14305 >= 0 e55059: - b4705 + x14305 <= 0 e55060: x19349 = 0 e55061: - b4706 + x14306 + x19349 >= 0 e55062: - b4706 + x14306 <= 0 e55063: b4706 - b4707 + x14307 >= 0 e55064: - b4707 + x14307 <= 0 e55065: b4707 - b4708 + x14308 >= 0 e55066: - b4708 + x14308 <= 0 e55067: b4708 - b4709 + x14309 >= 0 e55068: - b4709 + x14309 <= 0 e55069: b4709 - b4710 + x14310 >= 0 e55070: - b4710 + x14310 <= 0 e55071: b4710 - b4711 + x14311 >= 0 e55072: - b4711 + x14311 <= 0 e55073: b4711 - b4712 + x14312 >= 0 e55074: - b4712 + x14312 <= 0 e55075: b4712 - b4713 + x14313 >= 0 e55076: - b4713 + x14313 <= 0 e55077: b4713 - b4714 + x14314 >= 0 e55078: - b4714 + x14314 <= 0 e55079: b4714 - b4715 + x14315 >= 0 e55080: - b4715 + x14315 <= 0 e55081: b4715 - b4716 + x14316 >= 0 e55082: - b4716 + x14316 <= 0 e55083: b4716 - b4717 + x14317 >= 0 e55084: - b4717 + x14317 <= 0 e55085: b4717 - b4718 + x14318 >= 0 e55086: - b4718 + x14318 <= 0 e55087: b4718 - b4719 + x14319 >= 0 e55088: - b4719 + x14319 <= 0 e55089: b4719 - b4720 + x14320 >= 0 e55090: - b4720 + x14320 <= 0 e55091: b4720 - b4721 + x14321 >= 0 e55092: - b4721 + x14321 <= 0 e55093: b4721 - b4722 + x14322 >= 0 e55094: - b4722 + x14322 <= 0 e55095: b4722 - b4723 + x14323 >= 0 e55096: - b4723 + x14323 <= 0 e55097: b4723 - b4724 + x14324 >= 0 e55098: - b4724 + x14324 <= 0 e55099: b4724 - b4725 + x14325 >= 0 e55100: - b4725 + x14325 <= 0 e55101: b4725 - b4726 + x14326 >= 0 e55102: - b4726 + x14326 <= 0 e55103: b4726 - b4727 + x14327 >= 0 e55104: - b4727 + x14327 <= 0 e55105: b4727 - b4728 + x14328 >= 0 e55106: - b4728 + x14328 <= 0 e55107: b4728 - b4729 + x14329 >= 0 e55108: - b4729 + x14329 <= 0 e55109: b4732 = 1 e55110: b4730 = 1 e55111: b4731 = 1 e55112: b4732 = 1 e55113: b4732 - b4733 + x14333 >= 0 e55114: - b4733 + x14333 <= 0 e55115: b4733 - b4734 + x14334 >= 0 e55116: - b4734 + x14334 <= 0 e55117: b4734 - b4735 + x14335 >= 0 e55118: - b4735 + x14335 <= 0 e55119: b4735 - b4736 + x14336 >= 0 e55120: - b4736 + x14336 <= 0 e55121: b4736 - b4737 + x14337 >= 0 e55122: - b4737 + x14337 <= 0 e55123: b4737 - b4738 + x14338 >= 0 e55124: - b4738 + x14338 <= 0 e55125: b4738 - b4739 + x14339 >= 0 e55126: - b4739 + x14339 <= 0 e55127: b4739 - b4740 + x14340 >= 0 e55128: - b4740 + x14340 <= 0 e55129: b4740 - b4741 + x14341 >= 0 e55130: - b4741 + x14341 <= 0 e55131: b4741 - b4742 + x14342 >= 0 e55132: - b4742 + x14342 <= 0 e55133: b4742 - b4743 + x14343 >= 0 e55134: - b4743 + x14343 <= 0 e55135: b4743 - b4744 + x14344 >= 0 e55136: - b4744 + x14344 <= 0 e55137: b4744 - b4745 + x14345 >= 0 e55138: - b4745 + x14345 <= 0 e55139: b4745 - b4746 + x14346 >= 0 e55140: - b4746 + x14346 <= 0 e55141: b4746 - b4747 + x14347 >= 0 e55142: - b4747 + x14347 <= 0 e55143: b4747 - b4748 + x14348 >= 0 e55144: - b4748 + x14348 <= 0 e55145: b4748 - b4749 + x14349 >= 0 e55146: - b4749 + x14349 <= 0 e55147: b4749 - b4750 + x14350 >= 0 e55148: - b4750 + x14350 <= 0 e55149: b4750 - b4751 + x14351 >= 0 e55150: - b4751 + x14351 <= 0 e55151: b4751 - b4752 + x14352 >= 0 e55152: - b4752 + x14352 <= 0 e55153: b4752 - b4753 + x14353 >= 0 e55154: - b4753 + x14353 <= 0 e55155: x19292 = 1 e55156: - b4754 + x14354 + x19292 >= 0 e55157: - b4754 + x14354 <= 0 e55158: b4754 - b4755 + x14355 >= 0 e55159: - b4755 + x14355 <= 0 e55160: b4755 - b4756 + x14356 >= 0 e55161: - b4756 + x14356 <= 0 e55162: b4756 - b4757 + x14357 >= 0 e55163: - b4757 + x14357 <= 0 e55164: b4757 - b4758 + x14358 >= 0 e55165: - b4758 + x14358 <= 0 e55166: b4758 - b4759 + x14359 >= 0 e55167: - b4759 + x14359 <= 0 e55168: b4759 - b4760 + x14360 >= 0 e55169: - b4760 + x14360 <= 0 e55170: b4760 - b4761 + x14361 >= 0 e55171: - b4761 + x14361 <= 0 e55172: b4761 - b4762 + x14362 >= 0 e55173: - b4762 + x14362 <= 0 e55174: b4762 - b4763 + x14363 >= 0 e55175: - b4763 + x14363 <= 0 e55176: b4763 - b4764 + x14364 >= 0 e55177: - b4764 + x14364 <= 0 e55178: b4764 - b4765 + x14365 >= 0 e55179: - b4765 + x14365 <= 0 e55180: b4765 - b4766 + x14366 >= 0 e55181: - b4766 + x14366 <= 0 e55182: b4766 - b4767 + x14367 >= 0 e55183: - b4767 + x14367 <= 0 e55184: b4767 - b4768 + x14368 >= 0 e55185: - b4768 + x14368 <= 0 e55186: b4768 - b4769 + x14369 >= 0 e55187: - b4769 + x14369 <= 0 e55188: b4769 - b4770 + x14370 >= 0 e55189: - b4770 + x14370 <= 0 e55190: b4770 - b4771 + x14371 >= 0 e55191: - b4771 + x14371 <= 0 e55192: b4771 - b4772 + x14372 >= 0 e55193: - b4772 + x14372 <= 0 e55194: b4772 - b4773 + x14373 >= 0 e55195: - b4773 + x14373 <= 0 e55196: b4773 - b4774 + x14374 >= 0 e55197: - b4774 + x14374 <= 0 e55198: b4774 - b4775 + x14375 >= 0 e55199: - b4775 + x14375 <= 0 e55200: b4775 - b4776 + x14376 >= 0 e55201: - b4776 + x14376 <= 0 e55202: b4776 - b4777 + x14377 >= 0 e55203: - b4777 + x14377 <= 0 e55204: x19350 = 0 e55205: - b4778 + x14378 + x19350 >= 0 e55206: - b4778 + x14378 <= 0 e55207: b4778 - b4779 + x14379 >= 0 e55208: - b4779 + x14379 <= 0 e55209: b4779 - b4780 + x14380 >= 0 e55210: - b4780 + x14380 <= 0 e55211: b4780 - b4781 + x14381 >= 0 e55212: - b4781 + x14381 <= 0 e55213: b4781 - b4782 + x14382 >= 0 e55214: - b4782 + x14382 <= 0 e55215: b4782 - b4783 + x14383 >= 0 e55216: - b4783 + x14383 <= 0 e55217: b4783 - b4784 + x14384 >= 0 e55218: - b4784 + x14384 <= 0 e55219: b4784 - b4785 + x14385 >= 0 e55220: - b4785 + x14385 <= 0 e55221: b4785 - b4786 + x14386 >= 0 e55222: - b4786 + x14386 <= 0 e55223: b4786 - b4787 + x14387 >= 0 e55224: - b4787 + x14387 <= 0 e55225: b4787 - b4788 + x14388 >= 0 e55226: - b4788 + x14388 <= 0 e55227: b4788 - b4789 + x14389 >= 0 e55228: - b4789 + x14389 <= 0 e55229: b4789 - b4790 + x14390 >= 0 e55230: - b4790 + x14390 <= 0 e55231: b4790 - b4791 + x14391 >= 0 e55232: - b4791 + x14391 <= 0 e55233: b4791 - b4792 + x14392 >= 0 e55234: - b4792 + x14392 <= 0 e55235: b4792 - b4793 + x14393 >= 0 e55236: - b4793 + x14393 <= 0 e55237: b4793 - b4794 + x14394 >= 0 e55238: - b4794 + x14394 <= 0 e55239: b4794 - b4795 + x14395 >= 0 e55240: - b4795 + x14395 <= 0 e55241: b4795 - b4796 + x14396 >= 0 e55242: - b4796 + x14396 <= 0 e55243: b4796 - b4797 + x14397 >= 0 e55244: - b4797 + x14397 <= 0 e55245: b4797 - b4798 + x14398 >= 0 e55246: - b4798 + x14398 <= 0 e55247: b4798 - b4799 + x14399 >= 0 e55248: - b4799 + x14399 <= 0 e55249: b4799 - b4800 + x14400 >= 0 e55250: - b4800 + x14400 <= 0 e55251: b4800 - b4801 + x14401 >= 0 e55252: - b4801 + x14401 <= 0 e55253: x4802 <= 87.382025 e55254: - 9.261587 b2 - x4802 + x4803 <= 33 e55255: - 9.261587 b3 - x4803 + x4804 <= 33 e55256: - 9.261587 b4 - x4804 + x4805 <= 33 e55257: - 9.261587 b5 - x4805 + x4806 <= 33 e55258: - 9.261587 b6 - x4806 + x4807 <= 33 e55259: - 9.261587 b7 - x4807 + x4808 <= 33 e55260: - 9.261587 b8 - x4808 + x4809 <= 33 e55261: - 9.261587 b9 - x4809 + x4810 <= 33 e55262: - 9.261587 b10 - x4810 + x4811 <= 33 e55263: - 9.261587 b11 - x4811 + x4812 <= 33 e55264: - 9.261587 b12 - x4812 + x4813 <= 33 e55265: - 9.261587 b13 - x4813 + x4814 <= 33 e55266: - 9.261587 b14 - x4814 + x4815 <= 33 e55267: - 9.261587 b15 - x4815 + x4816 <= 33 e55268: - 9.261587 b16 - x4816 + x4817 <= 33 e55269: - 9.261587 b17 - x4817 + x4818 <= 33 e55270: - 9.261587 b18 - x4818 + x4819 <= 33 e55271: - 9.261587 b19 - x4819 + x4820 <= 33 e55272: - 9.261587 b20 - x4820 + x4821 <= 33 e55273: - 9.261587 b21 - x4821 + x4822 <= 33 e55274: - 9.261587 b22 - x4822 + x4823 <= 33 e55275: - 9.261587 b23 - x4823 + x4824 <= 33 e55276: - 9.261587 b24 - x4824 + x4825 <= 33 e55277: x4826 <= 38 e55278: 5.397508 b26 - x4826 + x4827 <= 38 e55279: 5.397508 b27 - x4827 + x4828 <= 38 e55280: 5.397508 b28 - x4828 + x4829 <= 38 e55281: 5.397508 b29 - x4829 + x4830 <= 38 e55282: 5.397508 b30 - x4830 + x4831 <= 38 e55283: 5.397508 b31 - x4831 + x4832 <= 38 e55284: 5.397508 b32 - x4832 + x4833 <= 38 e55285: 5.397508 b33 - x4833 + x4834 <= 38 e55286: 5.397508 b34 - x4834 + x4835 <= 38 e55287: 5.397508 b35 - x4835 + x4836 <= 38 e55288: 5.397508 b36 - x4836 + x4837 <= 38 e55289: 5.397508 b37 - x4837 + x4838 <= 38 e55290: 5.397508 b38 - x4838 + x4839 <= 38 e55291: 5.397508 b39 - x4839 + x4840 <= 38 e55292: 5.397508 b40 - x4840 + x4841 <= 38 e55293: 5.397508 b41 - x4841 + x4842 <= 38 e55294: 5.397508 b42 - x4842 + x4843 <= 38 e55295: 5.397508 b43 - x4843 + x4844 <= 38 e55296: 5.397508 b44 - x4844 + x4845 <= 38 e55297: 5.397508 b45 - x4845 + x4846 <= 38 e55298: 5.397508 b46 - x4846 + x4847 <= 38 e55299: 5.397508 b47 - x4847 + x4848 <= 38 e55300: 5.397508 b48 - x4848 + x4849 <= 38 e55301: x4850 <= 44 e55302: 14.882768 b50 - x4850 + x4851 <= 44 e55303: 14.882768 b51 - x4851 + x4852 <= 44 e55304: 14.882768 b52 - x4852 + x4853 <= 44 e55305: 14.882768 b53 - x4853 + x4854 <= 44 e55306: 14.882768 b54 - x4854 + x4855 <= 44 e55307: 14.882768 b55 - x4855 + x4856 <= 44 e55308: 14.882768 b56 - x4856 + x4857 <= 44 e55309: 14.882768 b57 - x4857 + x4858 <= 44 e55310: 14.882768 b58 - x4858 + x4859 <= 44 e55311: 14.882768 b59 - x4859 + x4860 <= 44 e55312: 14.882768 b60 - x4860 + x4861 <= 44 e55313: 14.882768 b61 - x4861 + x4862 <= 44 e55314: 14.882768 b62 - x4862 + x4863 <= 44 e55315: 14.882768 b63 - x4863 + x4864 <= 44 e55316: 14.882768 b64 - x4864 + x4865 <= 44 e55317: 14.882768 b65 - x4865 + x4866 <= 44 e55318: 14.882768 b66 - x4866 + x4867 <= 44 e55319: 14.882768 b67 - x4867 + x4868 <= 44 e55320: 14.882768 b68 - x4868 + x4869 <= 44 e55321: 14.882768 b69 - x4869 + x4870 <= 44 e55322: 14.882768 b70 - x4870 + x4871 <= 44 e55323: 14.882768 b71 - x4871 + x4872 <= 44 e55324: 14.882768 b72 - x4872 + x4873 <= 44 e55325: x4874 <= 40 e55326: - 0.015534 b74 - x4874 + x4875 <= 40 e55327: - 0.015534 b75 - x4875 + x4876 <= 40 e55328: - 0.015534 b76 - x4876 + x4877 <= 40 e55329: - 0.015534 b77 - x4877 + x4878 <= 40 e55330: - 0.015534 b78 - x4878 + x4879 <= 40 e55331: - 0.015534 b79 - x4879 + x4880 <= 40 e55332: - 0.015534 b80 - x4880 + x4881 <= 40 e55333: - 0.015534 b81 - x4881 + x4882 <= 40 e55334: - 0.015534 b82 - x4882 + x4883 <= 40 e55335: - 0.015534 b83 - x4883 + x4884 <= 40 e55336: - 0.015534 b84 - x4884 + x4885 <= 40 e55337: - 0.015534 b85 - x4885 + x4886 <= 40 e55338: - 0.015534 b86 - x4886 + x4887 <= 40 e55339: - 0.015534 b87 - x4887 + x4888 <= 40 e55340: - 0.015534 b88 - x4888 + x4889 <= 40 e55341: - 0.015534 b89 - x4889 + x4890 <= 40 e55342: - 0.015534 b90 - x4890 + x4891 <= 40 e55343: - 0.015534 b91 - x4891 + x4892 <= 40 e55344: - 0.015534 b92 - x4892 + x4893 <= 40 e55345: - 0.015534 b93 - x4893 + x4894 <= 40 e55346: - 0.015534 b94 - x4894 + x4895 <= 40 e55347: - 0.015534 b95 - x4895 + x4896 <= 40 e55348: - 0.015534 b96 - x4896 + x4897 <= 40 e55349: x4898 <= 90.020268 e55350: 19.636246 b98 - x4898 + x4899 <= 42 e55351: 19.636246 b99 - x4899 + x4900 <= 42 e55352: 19.636246 b100 - x4900 + x4901 <= 42 e55353: 19.636246 b101 - x4901 + x4902 <= 42 e55354: 19.636246 b102 - x4902 + x4903 <= 42 e55355: 19.636246 b103 - x4903 + x4904 <= 42 e55356: 19.636246 b104 - x4904 + x4905 <= 42 e55357: 19.636246 b105 - x4905 + x4906 <= 42 e55358: 19.636246 b106 - x4906 + x4907 <= 42 e55359: 19.636246 b107 - x4907 + x4908 <= 42 e55360: 19.636246 b108 - x4908 + x4909 <= 42 e55361: 19.636246 b109 - x4909 + x4910 <= 42 e55362: 19.636246 b110 - x4910 + x4911 <= 42 e55363: 19.636246 b111 - x4911 + x4912 <= 42 e55364: 19.636246 b112 - x4912 + x4913 <= 42 e55365: 19.636246 b113 - x4913 + x4914 <= 42 e55366: 19.636246 b114 - x4914 + x4915 <= 42 e55367: 19.636246 b115 - x4915 + x4916 <= 42 e55368: 19.636246 b116 - x4916 + x4917 <= 42 e55369: 19.636246 b117 - x4917 + x4918 <= 42 e55370: 19.636246 b118 - x4918 + x4919 <= 42 e55371: 19.636246 b119 - x4919 + x4920 <= 42 e55372: 19.636246 b120 - x4920 + x4921 <= 42 e55373: x4922 <= 134.212298 e55374: 8.9665 b122 - x4922 + x4923 <= 43 e55375: 8.9665 b123 - x4923 + x4924 <= 43 e55376: 8.9665 b124 - x4924 + x4925 <= 43 e55377: 8.9665 b125 - x4925 + x4926 <= 43 e55378: 8.9665 b126 - x4926 + x4927 <= 43 e55379: 8.9665 b127 - x4927 + x4928 <= 43 e55380: 8.9665 b128 - x4928 + x4929 <= 43 e55381: 8.9665 b129 - x4929 + x4930 <= 43 e55382: 8.9665 b130 - x4930 + x4931 <= 43 e55383: 8.9665 b131 - x4931 + x4932 <= 43 e55384: 8.9665 b132 - x4932 + x4933 <= 43 e55385: 8.9665 b133 - x4933 + x4934 <= 43 e55386: 8.9665 b134 - x4934 + x4935 <= 43 e55387: 8.9665 b135 - x4935 + x4936 <= 43 e55388: 8.9665 b136 - x4936 + x4937 <= 43 e55389: 8.9665 b137 - x4937 + x4938 <= 43 e55390: 8.9665 b138 - x4938 + x4939 <= 43 e55391: 8.9665 b139 - x4939 + x4940 <= 43 e55392: 8.9665 b140 - x4940 + x4941 <= 43 e55393: 8.9665 b141 - x4941 + x4942 <= 43 e55394: 8.9665 b142 - x4942 + x4943 <= 43 e55395: 8.9665 b143 - x4943 + x4944 <= 43 e55396: 8.9665 b144 - x4944 + x4945 <= 43 e55397: x4946 <= 39 e55398: 0.250133 b146 - x4946 + x4947 <= 39 e55399: 0.250133 b147 - x4947 + x4948 <= 39 e55400: 0.250133 b148 - x4948 + x4949 <= 39 e55401: 0.250133 b149 - x4949 + x4950 <= 39 e55402: 0.250133 b150 - x4950 + x4951 <= 39 e55403: 0.250133 b151 - x4951 + x4952 <= 39 e55404: 0.250133 b152 - x4952 + x4953 <= 39 e55405: 0.250133 b153 - x4953 + x4954 <= 39 e55406: 0.250133 b154 - x4954 + x4955 <= 39 e55407: 0.250133 b155 - x4955 + x4956 <= 39 e55408: 0.250133 b156 - x4956 + x4957 <= 39 e55409: 0.250133 b157 - x4957 + x4958 <= 39 e55410: 0.250133 b158 - x4958 + x4959 <= 39 e55411: 0.250133 b159 - x4959 + x4960 <= 39 e55412: 0.250133 b160 - x4960 + x4961 <= 39 e55413: 0.250133 b161 - x4961 + x4962 <= 39 e55414: 0.250133 b162 - x4962 + x4963 <= 39 e55415: 0.250133 b163 - x4963 + x4964 <= 39 e55416: 0.250133 b164 - x4964 + x4965 <= 39 e55417: 0.250133 b165 - x4965 + x4966 <= 39 e55418: 0.250133 b166 - x4966 + x4967 <= 39 e55419: 0.250133 b167 - x4967 + x4968 <= 39 e55420: 0.250133 b168 - x4968 + x4969 <= 39 e55421: x4970 <= 37 e55422: 11.973354 b170 - x4970 + x4971 <= 37 e55423: 11.973354 b171 - x4971 + x4972 <= 37 e55424: 11.973354 b172 - x4972 + x4973 <= 37 e55425: 11.973354 b173 - x4973 + x4974 <= 37 e55426: 11.973354 b174 - x4974 + x4975 <= 37 e55427: 11.973354 b175 - x4975 + x4976 <= 37 e55428: 11.973354 b176 - x4976 + x4977 <= 37 e55429: 11.973354 b177 - x4977 + x4978 <= 37 e55430: 11.973354 b178 - x4978 + x4979 <= 37 e55431: 11.973354 b179 - x4979 + x4980 <= 37 e55432: 11.973354 b180 - x4980 + x4981 <= 37 e55433: 11.973354 b181 - x4981 + x4982 <= 37 e55434: 11.973354 b182 - x4982 + x4983 <= 37 e55435: 11.973354 b183 - x4983 + x4984 <= 37 e55436: 11.973354 b184 - x4984 + x4985 <= 37 e55437: 11.973354 b185 - x4985 + x4986 <= 37 e55438: 11.973354 b186 - x4986 + x4987 <= 37 e55439: 11.973354 b187 - x4987 + x4988 <= 37 e55440: 11.973354 b188 - x4988 + x4989 <= 37 e55441: 11.973354 b189 - x4989 + x4990 <= 37 e55442: 11.973354 b190 - x4990 + x4991 <= 37 e55443: 11.973354 b191 - x4991 + x4992 <= 37 e55444: 11.973354 b192 - x4992 + x4993 <= 37 e55445: x4994 <= 39 e55446: 3.652956 b194 - x4994 + x4995 <= 39 e55447: 3.652956 b195 - x4995 + x4996 <= 39 e55448: 3.652956 b196 - x4996 + x4997 <= 39 e55449: 3.652956 b197 - x4997 + x4998 <= 39 e55450: 3.652956 b198 - x4998 + x4999 <= 39 e55451: 3.652956 b199 - x4999 + x5000 <= 39 e55452: 3.652956 b200 - x5000 + x5001 <= 39 e55453: 3.652956 b201 - x5001 + x5002 <= 39 e55454: 3.652956 b202 - x5002 + x5003 <= 39 e55455: 3.652956 b203 - x5003 + x5004 <= 39 e55456: 3.652956 b204 - x5004 + x5005 <= 39 e55457: 3.652956 b205 - x5005 + x5006 <= 39 e55458: 3.652956 b206 - x5006 + x5007 <= 39 e55459: 3.652956 b207 - x5007 + x5008 <= 39 e55460: 3.652956 b208 - x5008 + x5009 <= 39 e55461: 3.652956 b209 - x5009 + x5010 <= 39 e55462: 3.652956 b210 - x5010 + x5011 <= 39 e55463: 3.652956 b211 - x5011 + x5012 <= 39 e55464: 3.652956 b212 - x5012 + x5013 <= 39 e55465: 3.652956 b213 - x5013 + x5014 <= 39 e55466: 3.652956 b214 - x5014 + x5015 <= 39 e55467: 3.652956 b215 - x5015 + x5016 <= 39 e55468: 3.652956 b216 - x5016 + x5017 <= 39 e55469: x5018 <= 85.457464 e55470: 22.337175 b218 - x5018 + x5019 <= 45 e55471: 22.337175 b219 - x5019 + x5020 <= 45 e55472: 22.337175 b220 - x5020 + x5021 <= 45 e55473: 22.337175 b221 - x5021 + x5022 <= 45 e55474: 22.337175 b222 - x5022 + x5023 <= 45 e55475: 22.337175 b223 - x5023 + x5024 <= 45 e55476: 22.337175 b224 - x5024 + x5025 <= 45 e55477: 22.337175 b225 - x5025 + x5026 <= 45 e55478: 22.337175 b226 - x5026 + x5027 <= 45 e55479: 22.337175 b227 - x5027 + x5028 <= 45 e55480: 22.337175 b228 - x5028 + x5029 <= 45 e55481: 22.337175 b229 - x5029 + x5030 <= 45 e55482: 22.337175 b230 - x5030 + x5031 <= 45 e55483: 22.337175 b231 - x5031 + x5032 <= 45 e55484: 22.337175 b232 - x5032 + x5033 <= 45 e55485: 22.337175 b233 - x5033 + x5034 <= 45 e55486: 22.337175 b234 - x5034 + x5035 <= 45 e55487: 22.337175 b235 - x5035 + x5036 <= 45 e55488: 22.337175 b236 - x5036 + x5037 <= 45 e55489: 22.337175 b237 - x5037 + x5038 <= 45 e55490: 22.337175 b238 - x5038 + x5039 <= 45 e55491: 22.337175 b239 - x5039 + x5040 <= 45 e55492: 22.337175 b240 - x5040 + x5041 <= 45 e55493: x5042 <= 116.931983 e55494: 18.347444 b242 - x5042 + x5043 <= 42 e55495: 18.347444 b243 - x5043 + x5044 <= 42 e55496: 18.347444 b244 - x5044 + x5045 <= 42 e55497: 18.347444 b245 - x5045 + x5046 <= 42 e55498: 18.347444 b246 - x5046 + x5047 <= 42 e55499: 18.347444 b247 - x5047 + x5048 <= 42 e55500: 18.347444 b248 - x5048 + x5049 <= 42 e55501: 18.347444 b249 - x5049 + x5050 <= 42 e55502: 18.347444 b250 - x5050 + x5051 <= 42 e55503: 18.347444 b251 - x5051 + x5052 <= 42 e55504: 18.347444 b252 - x5052 + x5053 <= 42 e55505: 18.347444 b253 - x5053 + x5054 <= 42 e55506: 18.347444 b254 - x5054 + x5055 <= 42 e55507: 18.347444 b255 - x5055 + x5056 <= 42 e55508: 18.347444 b256 - x5056 + x5057 <= 42 e55509: 18.347444 b257 - x5057 + x5058 <= 42 e55510: 18.347444 b258 - x5058 + x5059 <= 42 e55511: 18.347444 b259 - x5059 + x5060 <= 42 e55512: 18.347444 b260 - x5060 + x5061 <= 42 e55513: 18.347444 b261 - x5061 + x5062 <= 42 e55514: 18.347444 b262 - x5062 + x5063 <= 42 e55515: 18.347444 b263 - x5063 + x5064 <= 42 e55516: 18.347444 b264 - x5064 + x5065 <= 42 e55517: x5066 <= 146.339644 e55518: 5.82713 b266 - x5066 + x5067 <= 43 e55519: 5.82713 b267 - x5067 + x5068 <= 43 e55520: 5.82713 b268 - x5068 + x5069 <= 43 e55521: 5.82713 b269 - x5069 + x5070 <= 43 e55522: 5.82713 b270 - x5070 + x5071 <= 43 e55523: 5.82713 b271 - x5071 + x5072 <= 43 e55524: 5.82713 b272 - x5072 + x5073 <= 43 e55525: 5.82713 b273 - x5073 + x5074 <= 43 e55526: 5.82713 b274 - x5074 + x5075 <= 43 e55527: 5.82713 b275 - x5075 + x5076 <= 43 e55528: 5.82713 b276 - x5076 + x5077 <= 43 e55529: 5.82713 b277 - x5077 + x5078 <= 43 e55530: 5.82713 b278 - x5078 + x5079 <= 43 e55531: 5.82713 b279 - x5079 + x5080 <= 43 e55532: 5.82713 b280 - x5080 + x5081 <= 43 e55533: 5.82713 b281 - x5081 + x5082 <= 43 e55534: 5.82713 b282 - x5082 + x5083 <= 43 e55535: 5.82713 b283 - x5083 + x5084 <= 43 e55536: 5.82713 b284 - x5084 + x5085 <= 43 e55537: 5.82713 b285 - x5085 + x5086 <= 43 e55538: 5.82713 b286 - x5086 + x5087 <= 43 e55539: 5.82713 b287 - x5087 + x5088 <= 43 e55540: 5.82713 b288 - x5088 + x5089 <= 43 e55541: x5090 <= 151.833348 e55542: - 2.730983 b290 - x5090 + x5091 <= 37 e55543: - 2.730983 b291 - x5091 + x5092 <= 37 e55544: - 2.730983 b292 - x5092 + x5093 <= 37 e55545: - 2.730983 b293 - x5093 + x5094 <= 37 e55546: - 2.730983 b294 - x5094 + x5095 <= 37 e55547: - 2.730983 b295 - x5095 + x5096 <= 37 e55548: - 2.730983 b296 - x5096 + x5097 <= 37 e55549: - 2.730983 b297 - x5097 + x5098 <= 37 e55550: - 2.730983 b298 - x5098 + x5099 <= 37 e55551: - 2.730983 b299 - x5099 + x5100 <= 37 e55552: - 2.730983 b300 - x5100 + x5101 <= 37 e55553: - 2.730983 b301 - x5101 + x5102 <= 37 e55554: - 2.730983 b302 - x5102 + x5103 <= 37 e55555: - 2.730983 b303 - x5103 + x5104 <= 37 e55556: - 2.730983 b304 - x5104 + x5105 <= 37 e55557: - 2.730983 b305 - x5105 + x5106 <= 37 e55558: - 2.730983 b306 - x5106 + x5107 <= 37 e55559: - 2.730983 b307 - x5107 + x5108 <= 37 e55560: - 2.730983 b308 - x5108 + x5109 <= 37 e55561: - 2.730983 b309 - x5109 + x5110 <= 37 e55562: - 2.730983 b310 - x5110 + x5111 <= 37 e55563: - 2.730983 b311 - x5111 + x5112 <= 37 e55564: - 2.730983 b312 - x5112 + x5113 <= 37 e55565: x5114 <= 40 e55566: 11.352817 b314 - x5114 + x5115 <= 40 e55567: 11.352817 b315 - x5115 + x5116 <= 40 e55568: 11.352817 b316 - x5116 + x5117 <= 40 e55569: 11.352817 b317 - x5117 + x5118 <= 40 e55570: 11.352817 b318 - x5118 + x5119 <= 40 e55571: 11.352817 b319 - x5119 + x5120 <= 40 e55572: 11.352817 b320 - x5120 + x5121 <= 40 e55573: 11.352817 b321 - x5121 + x5122 <= 40 e55574: 11.352817 b322 - x5122 + x5123 <= 40 e55575: 11.352817 b323 - x5123 + x5124 <= 40 e55576: 11.352817 b324 - x5124 + x5125 <= 40 e55577: 11.352817 b325 - x5125 + x5126 <= 40 e55578: 11.352817 b326 - x5126 + x5127 <= 40 e55579: 11.352817 b327 - x5127 + x5128 <= 40 e55580: 11.352817 b328 - x5128 + x5129 <= 40 e55581: 11.352817 b329 - x5129 + x5130 <= 40 e55582: 11.352817 b330 - x5130 + x5131 <= 40 e55583: 11.352817 b331 - x5131 + x5132 <= 40 e55584: 11.352817 b332 - x5132 + x5133 <= 40 e55585: 11.352817 b333 - x5133 + x5134 <= 40 e55586: 11.352817 b334 - x5134 + x5135 <= 40 e55587: 11.352817 b335 - x5135 + x5136 <= 40 e55588: 11.352817 b336 - x5136 + x5137 <= 40 e55589: x5138 <= 89.272763 e55590: 8.467517 b338 - x5138 + x5139 <= 43 e55591: 8.467517 b339 - x5139 + x5140 <= 43 e55592: 8.467517 b340 - x5140 + x5141 <= 43 e55593: 8.467517 b341 - x5141 + x5142 <= 43 e55594: 8.467517 b342 - x5142 + x5143 <= 43 e55595: 8.467517 b343 - x5143 + x5144 <= 43 e55596: 8.467517 b344 - x5144 + x5145 <= 43 e55597: 8.467517 b345 - x5145 + x5146 <= 43 e55598: 8.467517 b346 - x5146 + x5147 <= 43 e55599: 8.467517 b347 - x5147 + x5148 <= 43 e55600: 8.467517 b348 - x5148 + x5149 <= 43 e55601: 8.467517 b349 - x5149 + x5150 <= 43 e55602: 8.467517 b350 - x5150 + x5151 <= 43 e55603: 8.467517 b351 - x5151 + x5152 <= 43 e55604: 8.467517 b352 - x5152 + x5153 <= 43 e55605: 8.467517 b353 - x5153 + x5154 <= 43 e55606: 8.467517 b354 - x5154 + x5155 <= 43 e55607: 8.467517 b355 - x5155 + x5156 <= 43 e55608: 8.467517 b356 - x5156 + x5157 <= 43 e55609: 8.467517 b357 - x5157 + x5158 <= 43 e55610: 8.467517 b358 - x5158 + x5159 <= 43 e55611: 8.467517 b359 - x5159 + x5160 <= 43 e55612: 8.467517 b360 - x5160 + x5161 <= 43 e55613: x5162 <= 98.741962 e55614: 7.316249 b362 - x5162 + x5163 <= 42 e55615: 7.316249 b363 - x5163 + x5164 <= 42 e55616: 7.316249 b364 - x5164 + x5165 <= 42 e55617: 7.316249 b365 - x5165 + x5166 <= 42 e55618: 7.316249 b366 - x5166 + x5167 <= 42 e55619: 7.316249 b367 - x5167 + x5168 <= 42 e55620: 7.316249 b368 - x5168 + x5169 <= 42 e55621: 7.316249 b369 - x5169 + x5170 <= 42 e55622: 7.316249 b370 - x5170 + x5171 <= 42 e55623: 7.316249 b371 - x5171 + x5172 <= 42 e55624: 7.316249 b372 - x5172 + x5173 <= 42 e55625: 7.316249 b373 - x5173 + x5174 <= 42 e55626: 7.316249 b374 - x5174 + x5175 <= 42 e55627: 7.316249 b375 - x5175 + x5176 <= 42 e55628: 7.316249 b376 - x5176 + x5177 <= 42 e55629: 7.316249 b377 - x5177 + x5178 <= 42 e55630: 7.316249 b378 - x5178 + x5179 <= 42 e55631: 7.316249 b379 - x5179 + x5180 <= 42 e55632: 7.316249 b380 - x5180 + x5181 <= 42 e55633: 7.316249 b381 - x5181 + x5182 <= 42 e55634: 7.316249 b382 - x5182 + x5183 <= 42 e55635: 7.316249 b383 - x5183 + x5184 <= 42 e55636: 7.316249 b384 - x5184 + x5185 <= 42 e55637: x5186 <= 116.444973 e55638: 22.828417 b386 - x5186 + x5187 <= 49 e55639: 22.828417 b387 - x5187 + x5188 <= 49 e55640: 22.828417 b388 - x5188 + x5189 <= 49 e55641: 22.828417 b389 - x5189 + x5190 <= 49 e55642: 22.828417 b390 - x5190 + x5191 <= 49 e55643: 22.828417 b391 - x5191 + x5192 <= 49 e55644: 22.828417 b392 - x5192 + x5193 <= 49 e55645: 22.828417 b393 - x5193 + x5194 <= 49 e55646: 22.828417 b394 - x5194 + x5195 <= 49 e55647: 22.828417 b395 - x5195 + x5196 <= 49 e55648: 22.828417 b396 - x5196 + x5197 <= 49 e55649: 22.828417 b397 - x5197 + x5198 <= 49 e55650: 22.828417 b398 - x5198 + x5199 <= 49 e55651: 22.828417 b399 - x5199 + x5200 <= 49 e55652: 22.828417 b400 - x5200 + x5201 <= 49 e55653: 22.828417 b401 - x5201 + x5202 <= 49 e55654: 22.828417 b402 - x5202 + x5203 <= 49 e55655: 22.828417 b403 - x5203 + x5204 <= 49 e55656: 22.828417 b404 - x5204 + x5205 <= 49 e55657: 22.828417 b405 - x5205 + x5206 <= 49 e55658: 22.828417 b406 - x5206 + x5207 <= 49 e55659: 22.828417 b407 - x5207 + x5208 <= 49 e55660: 22.828417 b408 - x5208 + x5209 <= 49 e55661: x5210 <= 70.45251 e55662: 3.527322 b410 - x5210 + x5211 <= 33 e55663: 3.527322 b411 - x5211 + x5212 <= 33 e55664: 3.527322 b412 - x5212 + x5213 <= 33 e55665: 3.527322 b413 - x5213 + x5214 <= 33 e55666: 3.527322 b414 - x5214 + x5215 <= 33 e55667: 3.527322 b415 - x5215 + x5216 <= 33 e55668: 3.527322 b416 - x5216 + x5217 <= 33 e55669: 3.527322 b417 - x5217 + x5218 <= 33 e55670: 3.527322 b418 - x5218 + x5219 <= 33 e55671: 3.527322 b419 - x5219 + x5220 <= 33 e55672: 3.527322 b420 - x5220 + x5221 <= 33 e55673: 3.527322 b421 - x5221 + x5222 <= 33 e55674: 3.527322 b422 - x5222 + x5223 <= 33 e55675: 3.527322 b423 - x5223 + x5224 <= 33 e55676: 3.527322 b424 - x5224 + x5225 <= 33 e55677: 3.527322 b425 - x5225 + x5226 <= 33 e55678: 3.527322 b426 - x5226 + x5227 <= 33 e55679: 3.527322 b427 - x5227 + x5228 <= 33 e55680: 3.527322 b428 - x5228 + x5229 <= 33 e55681: 3.527322 b429 - x5229 + x5230 <= 33 e55682: 3.527322 b430 - x5230 + x5231 <= 33 e55683: 3.527322 b431 - x5231 + x5232 <= 33 e55684: 3.527322 b432 - x5232 + x5233 <= 33 e55685: x5234 <= 128.450054 e55686: - 3.236409 b434 - x5234 + x5235 <= 34 e55687: - 3.236409 b435 - x5235 + x5236 <= 34 e55688: - 3.236409 b436 - x5236 + x5237 <= 34 e55689: - 3.236409 b437 - x5237 + x5238 <= 34 e55690: - 3.236409 b438 - x5238 + x5239 <= 34 e55691: - 3.236409 b439 - x5239 + x5240 <= 34 e55692: - 3.236409 b440 - x5240 + x5241 <= 34 e55693: - 3.236409 b441 - x5241 + x5242 <= 34 e55694: - 3.236409 b442 - x5242 + x5243 <= 34 e55695: - 3.236409 b443 - x5243 + x5244 <= 34 e55696: - 3.236409 b444 - x5244 + x5245 <= 34 e55697: - 3.236409 b445 - x5245 + x5246 <= 34 e55698: - 3.236409 b446 - x5246 + x5247 <= 34 e55699: - 3.236409 b447 - x5247 + x5248 <= 34 e55700: - 3.236409 b448 - x5248 + x5249 <= 34 e55701: - 3.236409 b449 - x5249 + x5250 <= 34 e55702: - 3.236409 b450 - x5250 + x5251 <= 34 e55703: - 3.236409 b451 - x5251 + x5252 <= 34 e55704: - 3.236409 b452 - x5252 + x5253 <= 34 e55705: - 3.236409 b453 - x5253 + x5254 <= 34 e55706: - 3.236409 b454 - x5254 + x5255 <= 34 e55707: - 3.236409 b455 - x5255 + x5256 <= 34 e55708: - 3.236409 b456 - x5256 + x5257 <= 34 e55709: x5258 <= 43 e55710: 18.451529 b458 - x5258 + x5259 <= 43 e55711: 18.451529 b459 - x5259 + x5260 <= 43 e55712: 18.451529 b460 - x5260 + x5261 <= 43 e55713: 18.451529 b461 - x5261 + x5262 <= 43 e55714: 18.451529 b462 - x5262 + x5263 <= 43 e55715: 18.451529 b463 - x5263 + x5264 <= 43 e55716: 18.451529 b464 - x5264 + x5265 <= 43 e55717: 18.451529 b465 - x5265 + x5266 <= 43 e55718: 18.451529 b466 - x5266 + x5267 <= 43 e55719: 18.451529 b467 - x5267 + x5268 <= 43 e55720: 18.451529 b468 - x5268 + x5269 <= 43 e55721: 18.451529 b469 - x5269 + x5270 <= 43 e55722: 18.451529 b470 - x5270 + x5271 <= 43 e55723: 18.451529 b471 - x5271 + x5272 <= 43 e55724: 18.451529 b472 - x5272 + x5273 <= 43 e55725: 18.451529 b473 - x5273 + x5274 <= 43 e55726: 18.451529 b474 - x5274 + x5275 <= 43 e55727: 18.451529 b475 - x5275 + x5276 <= 43 e55728: 18.451529 b476 - x5276 + x5277 <= 43 e55729: 18.451529 b477 - x5277 + x5278 <= 43 e55730: 18.451529 b478 - x5278 + x5279 <= 43 e55731: 18.451529 b479 - x5279 + x5280 <= 43 e55732: 18.451529 b480 - x5280 + x5281 <= 43 e55733: x5282 <= 82.242892 e55734: - 9.54825 b482 - x5282 + x5283 <= 30 e55735: - 9.54825 b483 - x5283 + x5284 <= 30 e55736: - 9.54825 b484 - x5284 + x5285 <= 30 e55737: - 9.54825 b485 - x5285 + x5286 <= 30 e55738: - 9.54825 b486 - x5286 + x5287 <= 30 e55739: - 9.54825 b487 - x5287 + x5288 <= 30 e55740: - 9.54825 b488 - x5288 + x5289 <= 30 e55741: - 9.54825 b489 - x5289 + x5290 <= 30 e55742: - 9.54825 b490 - x5290 + x5291 <= 30 e55743: - 9.54825 b491 - x5291 + x5292 <= 30 e55744: - 9.54825 b492 - x5292 + x5293 <= 30 e55745: - 9.54825 b493 - x5293 + x5294 <= 30 e55746: - 9.54825 b494 - x5294 + x5295 <= 30 e55747: - 9.54825 b495 - x5295 + x5296 <= 30 e55748: - 9.54825 b496 - x5296 + x5297 <= 30 e55749: - 9.54825 b497 - x5297 + x5298 <= 30 e55750: - 9.54825 b498 - x5298 + x5299 <= 30 e55751: - 9.54825 b499 - x5299 + x5300 <= 30 e55752: - 9.54825 b500 - x5300 + x5301 <= 30 e55753: - 9.54825 b501 - x5301 + x5302 <= 30 e55754: - 9.54825 b502 - x5302 + x5303 <= 30 e55755: - 9.54825 b503 - x5303 + x5304 <= 30 e55756: - 9.54825 b504 - x5304 + x5305 <= 30 e55757: x5306 <= 41 e55758: 9.332946 b506 - x5306 + x5307 <= 41 e55759: 9.332946 b507 - x5307 + x5308 <= 41 e55760: 9.332946 b508 - x5308 + x5309 <= 41 e55761: 9.332946 b509 - x5309 + x5310 <= 41 e55762: 9.332946 b510 - x5310 + x5311 <= 41 e55763: 9.332946 b511 - x5311 + x5312 <= 41 e55764: 9.332946 b512 - x5312 + x5313 <= 41 e55765: 9.332946 b513 - x5313 + x5314 <= 41 e55766: 9.332946 b514 - x5314 + x5315 <= 41 e55767: 9.332946 b515 - x5315 + x5316 <= 41 e55768: 9.332946 b516 - x5316 + x5317 <= 41 e55769: 9.332946 b517 - x5317 + x5318 <= 41 e55770: 9.332946 b518 - x5318 + x5319 <= 41 e55771: 9.332946 b519 - x5319 + x5320 <= 41 e55772: 9.332946 b520 - x5320 + x5321 <= 41 e55773: 9.332946 b521 - x5321 + x5322 <= 41 e55774: 9.332946 b522 - x5322 + x5323 <= 41 e55775: 9.332946 b523 - x5323 + x5324 <= 41 e55776: 9.332946 b524 - x5324 + x5325 <= 41 e55777: 9.332946 b525 - x5325 + x5326 <= 41 e55778: 9.332946 b526 - x5326 + x5327 <= 41 e55779: 9.332946 b527 - x5327 + x5328 <= 41 e55780: 9.332946 b528 - x5328 + x5329 <= 41 e55781: x5330 <= 104.92021 e55782: 9.664955 b530 - x5330 + x5331 <= 43 e55783: 9.664955 b531 - x5331 + x5332 <= 43 e55784: 9.664955 b532 - x5332 + x5333 <= 43 e55785: 9.664955 b533 - x5333 + x5334 <= 43 e55786: 9.664955 b534 - x5334 + x5335 <= 43 e55787: 9.664955 b535 - x5335 + x5336 <= 43 e55788: 9.664955 b536 - x5336 + x5337 <= 43 e55789: 9.664955 b537 - x5337 + x5338 <= 43 e55790: 9.664955 b538 - x5338 + x5339 <= 43 e55791: 9.664955 b539 - x5339 + x5340 <= 43 e55792: 9.664955 b540 - x5340 + x5341 <= 43 e55793: 9.664955 b541 - x5341 + x5342 <= 43 e55794: 9.664955 b542 - x5342 + x5343 <= 43 e55795: 9.664955 b543 - x5343 + x5344 <= 43 e55796: 9.664955 b544 - x5344 + x5345 <= 43 e55797: 9.664955 b545 - x5345 + x5346 <= 43 e55798: 9.664955 b546 - x5346 + x5347 <= 43 e55799: 9.664955 b547 - x5347 + x5348 <= 43 e55800: 9.664955 b548 - x5348 + x5349 <= 43 e55801: 9.664955 b549 - x5349 + x5350 <= 43 e55802: 9.664955 b550 - x5350 + x5351 <= 43 e55803: 9.664955 b551 - x5351 + x5352 <= 43 e55804: 9.664955 b552 - x5352 + x5353 <= 43 e55805: x5354 <= 97.130652 e55806: 7.490782 b554 - x5354 + x5355 <= 41 e55807: 7.490782 b555 - x5355 + x5356 <= 41 e55808: 7.490782 b556 - x5356 + x5357 <= 41 e55809: 7.490782 b557 - x5357 + x5358 <= 41 e55810: 7.490782 b558 - x5358 + x5359 <= 41 e55811: 7.490782 b559 - x5359 + x5360 <= 41 e55812: 7.490782 b560 - x5360 + x5361 <= 41 e55813: 7.490782 b561 - x5361 + x5362 <= 41 e55814: 7.490782 b562 - x5362 + x5363 <= 41 e55815: 7.490782 b563 - x5363 + x5364 <= 41 e55816: 7.490782 b564 - x5364 + x5365 <= 41 e55817: 7.490782 b565 - x5365 + x5366 <= 41 e55818: 7.490782 b566 - x5366 + x5367 <= 41 e55819: 7.490782 b567 - x5367 + x5368 <= 41 e55820: 7.490782 b568 - x5368 + x5369 <= 41 e55821: 7.490782 b569 - x5369 + x5370 <= 41 e55822: 7.490782 b570 - x5370 + x5371 <= 41 e55823: 7.490782 b571 - x5371 + x5372 <= 41 e55824: 7.490782 b572 - x5372 + x5373 <= 41 e55825: 7.490782 b573 - x5373 + x5374 <= 41 e55826: 7.490782 b574 - x5374 + x5375 <= 41 e55827: 7.490782 b575 - x5375 + x5376 <= 41 e55828: 7.490782 b576 - x5376 + x5377 <= 41 e55829: x5378 <= 48 e55830: 17.137737 b578 - x5378 + x5379 <= 48 e55831: 17.137737 b579 - x5379 + x5380 <= 48 e55832: 17.137737 b580 - x5380 + x5381 <= 48 e55833: 17.137737 b581 - x5381 + x5382 <= 48 e55834: 17.137737 b582 - x5382 + x5383 <= 48 e55835: 17.137737 b583 - x5383 + x5384 <= 48 e55836: 17.137737 b584 - x5384 + x5385 <= 48 e55837: 17.137737 b585 - x5385 + x5386 <= 48 e55838: 17.137737 b586 - x5386 + x5387 <= 48 e55839: 17.137737 b587 - x5387 + x5388 <= 48 e55840: 17.137737 b588 - x5388 + x5389 <= 48 e55841: 17.137737 b589 - x5389 + x5390 <= 48 e55842: 17.137737 b590 - x5390 + x5391 <= 48 e55843: 17.137737 b591 - x5391 + x5392 <= 48 e55844: 17.137737 b592 - x5392 + x5393 <= 48 e55845: 17.137737 b593 - x5393 + x5394 <= 48 e55846: 17.137737 b594 - x5394 + x5395 <= 48 e55847: 17.137737 b595 - x5395 + x5396 <= 48 e55848: 17.137737 b596 - x5396 + x5397 <= 48 e55849: 17.137737 b597 - x5397 + x5398 <= 48 e55850: 17.137737 b598 - x5398 + x5399 <= 48 e55851: 17.137737 b599 - x5399 + x5400 <= 48 e55852: 17.137737 b600 - x5400 + x5401 <= 48 e55853: x5402 <= 35 e55854: 11.124517 b602 - x5402 + x5403 <= 35 e55855: 11.124517 b603 - x5403 + x5404 <= 35 e55856: 11.124517 b604 - x5404 + x5405 <= 35 e55857: 11.124517 b605 - x5405 + x5406 <= 35 e55858: 11.124517 b606 - x5406 + x5407 <= 35 e55859: 11.124517 b607 - x5407 + x5408 <= 35 e55860: 11.124517 b608 - x5408 + x5409 <= 35 e55861: 11.124517 b609 - x5409 + x5410 <= 35 e55862: 11.124517 b610 - x5410 + x5411 <= 35 e55863: 11.124517 b611 - x5411 + x5412 <= 35 e55864: 11.124517 b612 - x5412 + x5413 <= 35 e55865: 11.124517 b613 - x5413 + x5414 <= 35 e55866: 11.124517 b614 - x5414 + x5415 <= 35 e55867: 11.124517 b615 - x5415 + x5416 <= 35 e55868: 11.124517 b616 - x5416 + x5417 <= 35 e55869: 11.124517 b617 - x5417 + x5418 <= 35 e55870: 11.124517 b618 - x5418 + x5419 <= 35 e55871: 11.124517 b619 - x5419 + x5420 <= 35 e55872: 11.124517 b620 - x5420 + x5421 <= 35 e55873: 11.124517 b621 - x5421 + x5422 <= 35 e55874: 11.124517 b622 - x5422 + x5423 <= 35 e55875: 11.124517 b623 - x5423 + x5424 <= 35 e55876: 11.124517 b624 - x5424 + x5425 <= 35 e55877: x5426 <= 43 e55878: 14.065619 b626 - x5426 + x5427 <= 43 e55879: 14.065619 b627 - x5427 + x5428 <= 43 e55880: 14.065619 b628 - x5428 + x5429 <= 43 e55881: 14.065619 b629 - x5429 + x5430 <= 43 e55882: 14.065619 b630 - x5430 + x5431 <= 43 e55883: 14.065619 b631 - x5431 + x5432 <= 43 e55884: 14.065619 b632 - x5432 + x5433 <= 43 e55885: 14.065619 b633 - x5433 + x5434 <= 43 e55886: 14.065619 b634 - x5434 + x5435 <= 43 e55887: 14.065619 b635 - x5435 + x5436 <= 43 e55888: 14.065619 b636 - x5436 + x5437 <= 43 e55889: 14.065619 b637 - x5437 + x5438 <= 43 e55890: 14.065619 b638 - x5438 + x5439 <= 43 e55891: 14.065619 b639 - x5439 + x5440 <= 43 e55892: 14.065619 b640 - x5440 + x5441 <= 43 e55893: 14.065619 b641 - x5441 + x5442 <= 43 e55894: 14.065619 b642 - x5442 + x5443 <= 43 e55895: 14.065619 b643 - x5443 + x5444 <= 43 e55896: 14.065619 b644 - x5444 + x5445 <= 43 e55897: 14.065619 b645 - x5445 + x5446 <= 43 e55898: 14.065619 b646 - x5446 + x5447 <= 43 e55899: 14.065619 b647 - x5447 + x5448 <= 43 e55900: 14.065619 b648 - x5448 + x5449 <= 43 e55901: x5450 <= 43 e55902: 4.877443 b650 - x5450 + x5451 <= 43 e55903: 4.877443 b651 - x5451 + x5452 <= 43 e55904: 4.877443 b652 - x5452 + x5453 <= 43 e55905: 4.877443 b653 - x5453 + x5454 <= 43 e55906: 4.877443 b654 - x5454 + x5455 <= 43 e55907: 4.877443 b655 - x5455 + x5456 <= 43 e55908: 4.877443 b656 - x5456 + x5457 <= 43 e55909: 4.877443 b657 - x5457 + x5458 <= 43 e55910: 4.877443 b658 - x5458 + x5459 <= 43 e55911: 4.877443 b659 - x5459 + x5460 <= 43 e55912: 4.877443 b660 - x5460 + x5461 <= 43 e55913: 4.877443 b661 - x5461 + x5462 <= 43 e55914: 4.877443 b662 - x5462 + x5463 <= 43 e55915: 4.877443 b663 - x5463 + x5464 <= 43 e55916: 4.877443 b664 - x5464 + x5465 <= 43 e55917: 4.877443 b665 - x5465 + x5466 <= 43 e55918: 4.877443 b666 - x5466 + x5467 <= 43 e55919: 4.877443 b667 - x5467 + x5468 <= 43 e55920: 4.877443 b668 - x5468 + x5469 <= 43 e55921: 4.877443 b669 - x5469 + x5470 <= 43 e55922: 4.877443 b670 - x5470 + x5471 <= 43 e55923: 4.877443 b671 - x5471 + x5472 <= 43 e55924: 4.877443 b672 - x5472 + x5473 <= 43 e55925: x5474 <= 47 e55926: 15.582887 b674 - x5474 + x5475 <= 47 e55927: 15.582887 b675 - x5475 + x5476 <= 47 e55928: 15.582887 b676 - x5476 + x5477 <= 47 e55929: 15.582887 b677 - x5477 + x5478 <= 47 e55930: 15.582887 b678 - x5478 + x5479 <= 47 e55931: 15.582887 b679 - x5479 + x5480 <= 47 e55932: 15.582887 b680 - x5480 + x5481 <= 47 e55933: 15.582887 b681 - x5481 + x5482 <= 47 e55934: 15.582887 b682 - x5482 + x5483 <= 47 e55935: 15.582887 b683 - x5483 + x5484 <= 47 e55936: 15.582887 b684 - x5484 + x5485 <= 47 e55937: 15.582887 b685 - x5485 + x5486 <= 47 e55938: 15.582887 b686 - x5486 + x5487 <= 47 e55939: 15.582887 b687 - x5487 + x5488 <= 47 e55940: 15.582887 b688 - x5488 + x5489 <= 47 e55941: 15.582887 b689 - x5489 + x5490 <= 47 e55942: 15.582887 b690 - x5490 + x5491 <= 47 e55943: 15.582887 b691 - x5491 + x5492 <= 47 e55944: 15.582887 b692 - x5492 + x5493 <= 47 e55945: 15.582887 b693 - x5493 + x5494 <= 47 e55946: 15.582887 b694 - x5494 + x5495 <= 47 e55947: 15.582887 b695 - x5495 + x5496 <= 47 e55948: 15.582887 b696 - x5496 + x5497 <= 47 e55949: x5498 <= 86.69194 e55950: 18.294862 b698 - x5498 + x5499 <= 44 e55951: 18.294862 b699 - x5499 + x5500 <= 44 e55952: 18.294862 b700 - x5500 + x5501 <= 44 e55953: 18.294862 b701 - x5501 + x5502 <= 44 e55954: 18.294862 b702 - x5502 + x5503 <= 44 e55955: 18.294862 b703 - x5503 + x5504 <= 44 e55956: 18.294862 b704 - x5504 + x5505 <= 44 e55957: 18.294862 b705 - x5505 + x5506 <= 44 e55958: 18.294862 b706 - x5506 + x5507 <= 44 e55959: 18.294862 b707 - x5507 + x5508 <= 44 e55960: 18.294862 b708 - x5508 + x5509 <= 44 e55961: 18.294862 b709 - x5509 + x5510 <= 44 e55962: 18.294862 b710 - x5510 + x5511 <= 44 e55963: 18.294862 b711 - x5511 + x5512 <= 44 e55964: 18.294862 b712 - x5512 + x5513 <= 44 e55965: 18.294862 b713 - x5513 + x5514 <= 44 e55966: 18.294862 b714 - x5514 + x5515 <= 44 e55967: 18.294862 b715 - x5515 + x5516 <= 44 e55968: 18.294862 b716 - x5516 + x5517 <= 44 e55969: 18.294862 b717 - x5517 + x5518 <= 44 e55970: 18.294862 b718 - x5518 + x5519 <= 44 e55971: 18.294862 b719 - x5519 + x5520 <= 44 e55972: 18.294862 b720 - x5520 + x5521 <= 44 e55973: x5522 <= 119.319338 e55974: 14.525633 b722 - x5522 + x5523 <= 49 e55975: 14.525633 b723 - x5523 + x5524 <= 49 e55976: 14.525633 b724 - x5524 + x5525 <= 49 e55977: 14.525633 b725 - x5525 + x5526 <= 49 e55978: 14.525633 b726 - x5526 + x5527 <= 49 e55979: 14.525633 b727 - x5527 + x5528 <= 49 e55980: 14.525633 b728 - x5528 + x5529 <= 49 e55981: 14.525633 b729 - x5529 + x5530 <= 49 e55982: 14.525633 b730 - x5530 + x5531 <= 49 e55983: 14.525633 b731 - x5531 + x5532 <= 49 e55984: 14.525633 b732 - x5532 + x5533 <= 49 e55985: 14.525633 b733 - x5533 + x5534 <= 49 e55986: 14.525633 b734 - x5534 + x5535 <= 49 e55987: 14.525633 b735 - x5535 + x5536 <= 49 e55988: 14.525633 b736 - x5536 + x5537 <= 49 e55989: 14.525633 b737 - x5537 + x5538 <= 49 e55990: 14.525633 b738 - x5538 + x5539 <= 49 e55991: 14.525633 b739 - x5539 + x5540 <= 49 e55992: 14.525633 b740 - x5540 + x5541 <= 49 e55993: 14.525633 b741 - x5541 + x5542 <= 49 e55994: 14.525633 b742 - x5542 + x5543 <= 49 e55995: 14.525633 b743 - x5543 + x5544 <= 49 e55996: 14.525633 b744 - x5544 + x5545 <= 49 e55997: x5546 <= 48 e55998: 19.93338 b746 - x5546 + x5547 <= 48 e55999: 19.93338 b747 - x5547 + x5548 <= 48 e56000: 19.93338 b748 - x5548 + x5549 <= 48 e56001: 19.93338 b749 - x5549 + x5550 <= 48 e56002: 19.93338 b750 - x5550 + x5551 <= 48 e56003: 19.93338 b751 - x5551 + x5552 <= 48 e56004: 19.93338 b752 - x5552 + x5553 <= 48 e56005: 19.93338 b753 - x5553 + x5554 <= 48 e56006: 19.93338 b754 - x5554 + x5555 <= 48 e56007: 19.93338 b755 - x5555 + x5556 <= 48 e56008: 19.93338 b756 - x5556 + x5557 <= 48 e56009: 19.93338 b757 - x5557 + x5558 <= 48 e56010: 19.93338 b758 - x5558 + x5559 <= 48 e56011: 19.93338 b759 - x5559 + x5560 <= 48 e56012: 19.93338 b760 - x5560 + x5561 <= 48 e56013: 19.93338 b761 - x5561 + x5562 <= 48 e56014: 19.93338 b762 - x5562 + x5563 <= 48 e56015: 19.93338 b763 - x5563 + x5564 <= 48 e56016: 19.93338 b764 - x5564 + x5565 <= 48 e56017: 19.93338 b765 - x5565 + x5566 <= 48 e56018: 19.93338 b766 - x5566 + x5567 <= 48 e56019: 19.93338 b767 - x5567 + x5568 <= 48 e56020: 19.93338 b768 - x5568 + x5569 <= 48 e56021: x5570 <= 42 e56022: 12.282685 b770 - x5570 + x5571 <= 42 e56023: 12.282685 b771 - x5571 + x5572 <= 42 e56024: 12.282685 b772 - x5572 + x5573 <= 42 e56025: 12.282685 b773 - x5573 + x5574 <= 42 e56026: 12.282685 b774 - x5574 + x5575 <= 42 e56027: 12.282685 b775 - x5575 + x5576 <= 42 e56028: 12.282685 b776 - x5576 + x5577 <= 42 e56029: 12.282685 b777 - x5577 + x5578 <= 42 e56030: 12.282685 b778 - x5578 + x5579 <= 42 e56031: 12.282685 b779 - x5579 + x5580 <= 42 e56032: 12.282685 b780 - x5580 + x5581 <= 42 e56033: 12.282685 b781 - x5581 + x5582 <= 42 e56034: 12.282685 b782 - x5582 + x5583 <= 42 e56035: 12.282685 b783 - x5583 + x5584 <= 42 e56036: 12.282685 b784 - x5584 + x5585 <= 42 e56037: 12.282685 b785 - x5585 + x5586 <= 42 e56038: 12.282685 b786 - x5586 + x5587 <= 42 e56039: 12.282685 b787 - x5587 + x5588 <= 42 e56040: 12.282685 b788 - x5588 + x5589 <= 42 e56041: 12.282685 b789 - x5589 + x5590 <= 42 e56042: 12.282685 b790 - x5590 + x5591 <= 42 e56043: 12.282685 b791 - x5591 + x5592 <= 42 e56044: 12.282685 b792 - x5592 + x5593 <= 42 e56045: x5594 <= 78.095008 e56046: - 0.913142 b794 - x5594 + x5595 <= 30 e56047: - 0.913142 b795 - x5595 + x5596 <= 30 e56048: - 0.913142 b796 - x5596 + x5597 <= 30 e56049: - 0.913142 b797 - x5597 + x5598 <= 30 e56050: - 0.913142 b798 - x5598 + x5599 <= 30 e56051: - 0.913142 b799 - x5599 + x5600 <= 30 e56052: - 0.913142 b800 - x5600 + x5601 <= 30 e56053: - 0.913142 b801 - x5601 + x5602 <= 30 e56054: - 0.913142 b802 - x5602 + x5603 <= 30 e56055: - 0.913142 b803 - x5603 + x5604 <= 30 e56056: - 0.913142 b804 - x5604 + x5605 <= 30 e56057: - 0.913142 b805 - x5605 + x5606 <= 30 e56058: - 0.913142 b806 - x5606 + x5607 <= 30 e56059: - 0.913142 b807 - x5607 + x5608 <= 30 e56060: - 0.913142 b808 - x5608 + x5609 <= 30 e56061: - 0.913142 b809 - x5609 + x5610 <= 30 e56062: - 0.913142 b810 - x5610 + x5611 <= 30 e56063: - 0.913142 b811 - x5611 + x5612 <= 30 e56064: - 0.913142 b812 - x5612 + x5613 <= 30 e56065: - 0.913142 b813 - x5613 + x5614 <= 30 e56066: - 0.913142 b814 - x5614 + x5615 <= 30 e56067: - 0.913142 b815 - x5615 + x5616 <= 30 e56068: - 0.913142 b816 - x5616 + x5617 <= 30 e56069: x5618 <= 31 e56070: - 0.52634 b818 - x5618 + x5619 <= 31 e56071: - 0.52634 b819 - x5619 + x5620 <= 31 e56072: - 0.52634 b820 - x5620 + x5621 <= 31 e56073: - 0.52634 b821 - x5621 + x5622 <= 31 e56074: - 0.52634 b822 - x5622 + x5623 <= 31 e56075: - 0.52634 b823 - x5623 + x5624 <= 31 e56076: - 0.52634 b824 - x5624 + x5625 <= 31 e56077: - 0.52634 b825 - x5625 + x5626 <= 31 e56078: - 0.52634 b826 - x5626 + x5627 <= 31 e56079: - 0.52634 b827 - x5627 + x5628 <= 31 e56080: - 0.52634 b828 - x5628 + x5629 <= 31 e56081: - 0.52634 b829 - x5629 + x5630 <= 31 e56082: - 0.52634 b830 - x5630 + x5631 <= 31 e56083: - 0.52634 b831 - x5631 + x5632 <= 31 e56084: - 0.52634 b832 - x5632 + x5633 <= 31 e56085: - 0.52634 b833 - x5633 + x5634 <= 31 e56086: - 0.52634 b834 - x5634 + x5635 <= 31 e56087: - 0.52634 b835 - x5635 + x5636 <= 31 e56088: - 0.52634 b836 - x5636 + x5637 <= 31 e56089: - 0.52634 b837 - x5637 + x5638 <= 31 e56090: - 0.52634 b838 - x5638 + x5639 <= 31 e56091: - 0.52634 b839 - x5639 + x5640 <= 31 e56092: - 0.52634 b840 - x5640 + x5641 <= 31 e56093: x5642 <= 46 e56094: 15.027047 b842 - x5642 + x5643 <= 46 e56095: 15.027047 b843 - x5643 + x5644 <= 46 e56096: 15.027047 b844 - x5644 + x5645 <= 46 e56097: 15.027047 b845 - x5645 + x5646 <= 46 e56098: 15.027047 b846 - x5646 + x5647 <= 46 e56099: 15.027047 b847 - x5647 + x5648 <= 46 e56100: 15.027047 b848 - x5648 + x5649 <= 46 e56101: 15.027047 b849 - x5649 + x5650 <= 46 e56102: 15.027047 b850 - x5650 + x5651 <= 46 e56103: 15.027047 b851 - x5651 + x5652 <= 46 e56104: 15.027047 b852 - x5652 + x5653 <= 46 e56105: 15.027047 b853 - x5653 + x5654 <= 46 e56106: 15.027047 b854 - x5654 + x5655 <= 46 e56107: 15.027047 b855 - x5655 + x5656 <= 46 e56108: 15.027047 b856 - x5656 + x5657 <= 46 e56109: 15.027047 b857 - x5657 + x5658 <= 46 e56110: 15.027047 b858 - x5658 + x5659 <= 46 e56111: 15.027047 b859 - x5659 + x5660 <= 46 e56112: 15.027047 b860 - x5660 + x5661 <= 46 e56113: 15.027047 b861 - x5661 + x5662 <= 46 e56114: 15.027047 b862 - x5662 + x5663 <= 46 e56115: 15.027047 b863 - x5663 + x5664 <= 46 e56116: 15.027047 b864 - x5664 + x5665 <= 46 e56117: x5666 <= 131.044225 e56118: - 7.938994 b866 - x5666 + x5667 <= 33 e56119: - 7.938994 b867 - x5667 + x5668 <= 33 e56120: - 7.938994 b868 - x5668 + x5669 <= 33 e56121: - 7.938994 b869 - x5669 + x5670 <= 33 e56122: - 7.938994 b870 - x5670 + x5671 <= 33 e56123: - 7.938994 b871 - x5671 + x5672 <= 33 e56124: - 7.938994 b872 - x5672 + x5673 <= 33 e56125: - 7.938994 b873 - x5673 + x5674 <= 33 e56126: - 7.938994 b874 - x5674 + x5675 <= 33 e56127: - 7.938994 b875 - x5675 + x5676 <= 33 e56128: - 7.938994 b876 - x5676 + x5677 <= 33 e56129: - 7.938994 b877 - x5677 + x5678 <= 33 e56130: - 7.938994 b878 - x5678 + x5679 <= 33 e56131: - 7.938994 b879 - x5679 + x5680 <= 33 e56132: - 7.938994 b880 - x5680 + x5681 <= 33 e56133: - 7.938994 b881 - x5681 + x5682 <= 33 e56134: - 7.938994 b882 - x5682 + x5683 <= 33 e56135: - 7.938994 b883 - x5683 + x5684 <= 33 e56136: - 7.938994 b884 - x5684 + x5685 <= 33 e56137: - 7.938994 b885 - x5685 + x5686 <= 33 e56138: - 7.938994 b886 - x5686 + x5687 <= 33 e56139: - 7.938994 b887 - x5687 + x5688 <= 33 e56140: - 7.938994 b888 - x5688 + x5689 <= 33 e56141: x5690 <= 112.343951 e56142: 19.381194 b890 - x5690 + x5691 <= 44 e56143: 19.381194 b891 - x5691 + x5692 <= 44 e56144: 19.381194 b892 - x5692 + x5693 <= 44 e56145: 19.381194 b893 - x5693 + x5694 <= 44 e56146: 19.381194 b894 - x5694 + x5695 <= 44 e56147: 19.381194 b895 - x5695 + x5696 <= 44 e56148: 19.381194 b896 - x5696 + x5697 <= 44 e56149: 19.381194 b897 - x5697 + x5698 <= 44 e56150: 19.381194 b898 - x5698 + x5699 <= 44 e56151: 19.381194 b899 - x5699 + x5700 <= 44 e56152: 19.381194 b900 - x5700 + x5701 <= 44 e56153: 19.381194 b901 - x5701 + x5702 <= 44 e56154: 19.381194 b902 - x5702 + x5703 <= 44 e56155: 19.381194 b903 - x5703 + x5704 <= 44 e56156: 19.381194 b904 - x5704 + x5705 <= 44 e56157: 19.381194 b905 - x5705 + x5706 <= 44 e56158: 19.381194 b906 - x5706 + x5707 <= 44 e56159: 19.381194 b907 - x5707 + x5708 <= 44 e56160: 19.381194 b908 - x5708 + x5709 <= 44 e56161: 19.381194 b909 - x5709 + x5710 <= 44 e56162: 19.381194 b910 - x5710 + x5711 <= 44 e56163: 19.381194 b911 - x5711 + x5712 <= 44 e56164: 19.381194 b912 - x5712 + x5713 <= 44 e56165: x5714 <= 135.430408 e56166: 3.801024 b914 - x5714 + x5715 <= 39 e56167: 3.801024 b915 - x5715 + x5716 <= 39 e56168: 3.801024 b916 - x5716 + x5717 <= 39 e56169: 3.801024 b917 - x5717 + x5718 <= 39 e56170: 3.801024 b918 - x5718 + x5719 <= 39 e56171: 3.801024 b919 - x5719 + x5720 <= 39 e56172: 3.801024 b920 - x5720 + x5721 <= 39 e56173: 3.801024 b921 - x5721 + x5722 <= 39 e56174: 3.801024 b922 - x5722 + x5723 <= 39 e56175: 3.801024 b923 - x5723 + x5724 <= 39 e56176: 3.801024 b924 - x5724 + x5725 <= 39 e56177: 3.801024 b925 - x5725 + x5726 <= 39 e56178: 3.801024 b926 - x5726 + x5727 <= 39 e56179: 3.801024 b927 - x5727 + x5728 <= 39 e56180: 3.801024 b928 - x5728 + x5729 <= 39 e56181: 3.801024 b929 - x5729 + x5730 <= 39 e56182: 3.801024 b930 - x5730 + x5731 <= 39 e56183: 3.801024 b931 - x5731 + x5732 <= 39 e56184: 3.801024 b932 - x5732 + x5733 <= 39 e56185: 3.801024 b933 - x5733 + x5734 <= 39 e56186: 3.801024 b934 - x5734 + x5735 <= 39 e56187: 3.801024 b935 - x5735 + x5736 <= 39 e56188: 3.801024 b936 - x5736 + x5737 <= 39 e56189: x5738 <= 42 e56190: 13.463877 b938 - x5738 + x5739 <= 42 e56191: 13.463877 b939 - x5739 + x5740 <= 42 e56192: 13.463877 b940 - x5740 + x5741 <= 42 e56193: 13.463877 b941 - x5741 + x5742 <= 42 e56194: 13.463877 b942 - x5742 + x5743 <= 42 e56195: 13.463877 b943 - x5743 + x5744 <= 42 e56196: 13.463877 b944 - x5744 + x5745 <= 42 e56197: 13.463877 b945 - x5745 + x5746 <= 42 e56198: 13.463877 b946 - x5746 + x5747 <= 42 e56199: 13.463877 b947 - x5747 + x5748 <= 42 e56200: 13.463877 b948 - x5748 + x5749 <= 42 e56201: 13.463877 b949 - x5749 + x5750 <= 42 e56202: 13.463877 b950 - x5750 + x5751 <= 42 e56203: 13.463877 b951 - x5751 + x5752 <= 42 e56204: 13.463877 b952 - x5752 + x5753 <= 42 e56205: 13.463877 b953 - x5753 + x5754 <= 42 e56206: 13.463877 b954 - x5754 + x5755 <= 42 e56207: 13.463877 b955 - x5755 + x5756 <= 42 e56208: 13.463877 b956 - x5756 + x5757 <= 42 e56209: 13.463877 b957 - x5757 + x5758 <= 42 e56210: 13.463877 b958 - x5758 + x5759 <= 42 e56211: 13.463877 b959 - x5759 + x5760 <= 42 e56212: 13.463877 b960 - x5760 + x5761 <= 42 e56213: x5762 <= 47 e56214: 17.819354 b962 - x5762 + x5763 <= 47 e56215: 17.819354 b963 - x5763 + x5764 <= 47 e56216: 17.819354 b964 - x5764 + x5765 <= 47 e56217: 17.819354 b965 - x5765 + x5766 <= 47 e56218: 17.819354 b966 - x5766 + x5767 <= 47 e56219: 17.819354 b967 - x5767 + x5768 <= 47 e56220: 17.819354 b968 - x5768 + x5769 <= 47 e56221: 17.819354 b969 - x5769 + x5770 <= 47 e56222: 17.819354 b970 - x5770 + x5771 <= 47 e56223: 17.819354 b971 - x5771 + x5772 <= 47 e56224: 17.819354 b972 - x5772 + x5773 <= 47 e56225: 17.819354 b973 - x5773 + x5774 <= 47 e56226: 17.819354 b974 - x5774 + x5775 <= 47 e56227: 17.819354 b975 - x5775 + x5776 <= 47 e56228: 17.819354 b976 - x5776 + x5777 <= 47 e56229: 17.819354 b977 - x5777 + x5778 <= 47 e56230: 17.819354 b978 - x5778 + x5779 <= 47 e56231: 17.819354 b979 - x5779 + x5780 <= 47 e56232: 17.819354 b980 - x5780 + x5781 <= 47 e56233: 17.819354 b981 - x5781 + x5782 <= 47 e56234: 17.819354 b982 - x5782 + x5783 <= 47 e56235: 17.819354 b983 - x5783 + x5784 <= 47 e56236: 17.819354 b984 - x5784 + x5785 <= 47 e56237: x5786 <= 36 e56238: 4.364294 b986 - x5786 + x5787 <= 36 e56239: 4.364294 b987 - x5787 + x5788 <= 36 e56240: 4.364294 b988 - x5788 + x5789 <= 36 e56241: 4.364294 b989 - x5789 + x5790 <= 36 e56242: 4.364294 b990 - x5790 + x5791 <= 36 e56243: 4.364294 b991 - x5791 + x5792 <= 36 e56244: 4.364294 b992 - x5792 + x5793 <= 36 e56245: 4.364294 b993 - x5793 + x5794 <= 36 e56246: 4.364294 b994 - x5794 + x5795 <= 36 e56247: 4.364294 b995 - x5795 + x5796 <= 36 e56248: 4.364294 b996 - x5796 + x5797 <= 36 e56249: 4.364294 b997 - x5797 + x5798 <= 36 e56250: 4.364294 b998 - x5798 + x5799 <= 36 e56251: 4.364294 b999 - x5799 + x5800 <= 36 e56252: 4.364294 b1000 - x5800 + x5801 <= 36 e56253: 4.364294 b1001 - x5801 + x5802 <= 36 e56254: 4.364294 b1002 - x5802 + x5803 <= 36 e56255: 4.364294 b1003 - x5803 + x5804 <= 36 e56256: 4.364294 b1004 - x5804 + x5805 <= 36 e56257: 4.364294 b1005 - x5805 + x5806 <= 36 e56258: 4.364294 b1006 - x5806 + x5807 <= 36 e56259: 4.364294 b1007 - x5807 + x5808 <= 36 e56260: 4.364294 b1008 - x5808 + x5809 <= 36 e56261: x5810 <= 37 e56262: 11.061696 b1010 - x5810 + x5811 <= 37 e56263: 11.061696 b1011 - x5811 + x5812 <= 37 e56264: 11.061696 b1012 - x5812 + x5813 <= 37 e56265: 11.061696 b1013 - x5813 + x5814 <= 37 e56266: 11.061696 b1014 - x5814 + x5815 <= 37 e56267: 11.061696 b1015 - x5815 + x5816 <= 37 e56268: 11.061696 b1016 - x5816 + x5817 <= 37 e56269: 11.061696 b1017 - x5817 + x5818 <= 37 e56270: 11.061696 b1018 - x5818 + x5819 <= 37 e56271: 11.061696 b1019 - x5819 + x5820 <= 37 e56272: 11.061696 b1020 - x5820 + x5821 <= 37 e56273: 11.061696 b1021 - x5821 + x5822 <= 37 e56274: 11.061696 b1022 - x5822 + x5823 <= 37 e56275: 11.061696 b1023 - x5823 + x5824 <= 37 e56276: 11.061696 b1024 - x5824 + x5825 <= 37 e56277: 11.061696 b1025 - x5825 + x5826 <= 37 e56278: 11.061696 b1026 - x5826 + x5827 <= 37 e56279: 11.061696 b1027 - x5827 + x5828 <= 37 e56280: 11.061696 b1028 - x5828 + x5829 <= 37 e56281: 11.061696 b1029 - x5829 + x5830 <= 37 e56282: 11.061696 b1030 - x5830 + x5831 <= 37 e56283: 11.061696 b1031 - x5831 + x5832 <= 37 e56284: 11.061696 b1032 - x5832 + x5833 <= 37 e56285: x5834 <= 44 e56286: 21.547934 b1034 - x5834 + x5835 <= 44 e56287: 21.547934 b1035 - x5835 + x5836 <= 44 e56288: 21.547934 b1036 - x5836 + x5837 <= 44 e56289: 21.547934 b1037 - x5837 + x5838 <= 44 e56290: 21.547934 b1038 - x5838 + x5839 <= 44 e56291: 21.547934 b1039 - x5839 + x5840 <= 44 e56292: 21.547934 b1040 - x5840 + x5841 <= 44 e56293: 21.547934 b1041 - x5841 + x5842 <= 44 e56294: 21.547934 b1042 - x5842 + x5843 <= 44 e56295: 21.547934 b1043 - x5843 + x5844 <= 44 e56296: 21.547934 b1044 - x5844 + x5845 <= 44 e56297: 21.547934 b1045 - x5845 + x5846 <= 44 e56298: 21.547934 b1046 - x5846 + x5847 <= 44 e56299: 21.547934 b1047 - x5847 + x5848 <= 44 e56300: 21.547934 b1048 - x5848 + x5849 <= 44 e56301: 21.547934 b1049 - x5849 + x5850 <= 44 e56302: 21.547934 b1050 - x5850 + x5851 <= 44 e56303: 21.547934 b1051 - x5851 + x5852 <= 44 e56304: 21.547934 b1052 - x5852 + x5853 <= 44 e56305: 21.547934 b1053 - x5853 + x5854 <= 44 e56306: 21.547934 b1054 - x5854 + x5855 <= 44 e56307: 21.547934 b1055 - x5855 + x5856 <= 44 e56308: 21.547934 b1056 - x5856 + x5857 <= 44 e56309: x5858 <= 91.531741 e56310: 11.431553 b1058 - x5858 + x5859 <= 40 e56311: 11.431553 b1059 - x5859 + x5860 <= 40 e56312: 11.431553 b1060 - x5860 + x5861 <= 40 e56313: 11.431553 b1061 - x5861 + x5862 <= 40 e56314: 11.431553 b1062 - x5862 + x5863 <= 40 e56315: 11.431553 b1063 - x5863 + x5864 <= 40 e56316: 11.431553 b1064 - x5864 + x5865 <= 40 e56317: 11.431553 b1065 - x5865 + x5866 <= 40 e56318: 11.431553 b1066 - x5866 + x5867 <= 40 e56319: 11.431553 b1067 - x5867 + x5868 <= 40 e56320: 11.431553 b1068 - x5868 + x5869 <= 40 e56321: 11.431553 b1069 - x5869 + x5870 <= 40 e56322: 11.431553 b1070 - x5870 + x5871 <= 40 e56323: 11.431553 b1071 - x5871 + x5872 <= 40 e56324: 11.431553 b1072 - x5872 + x5873 <= 40 e56325: 11.431553 b1073 - x5873 + x5874 <= 40 e56326: 11.431553 b1074 - x5874 + x5875 <= 40 e56327: 11.431553 b1075 - x5875 + x5876 <= 40 e56328: 11.431553 b1076 - x5876 + x5877 <= 40 e56329: 11.431553 b1077 - x5877 + x5878 <= 40 e56330: 11.431553 b1078 - x5878 + x5879 <= 40 e56331: 11.431553 b1079 - x5879 + x5880 <= 40 e56332: 11.431553 b1080 - x5880 + x5881 <= 40 e56333: x5882 <= 46 e56334: 18.739202 b1082 - x5882 + x5883 <= 46 e56335: 18.739202 b1083 - x5883 + x5884 <= 46 e56336: 18.739202 b1084 - x5884 + x5885 <= 46 e56337: 18.739202 b1085 - x5885 + x5886 <= 46 e56338: 18.739202 b1086 - x5886 + x5887 <= 46 e56339: 18.739202 b1087 - x5887 + x5888 <= 46 e56340: 18.739202 b1088 - x5888 + x5889 <= 46 e56341: 18.739202 b1089 - x5889 + x5890 <= 46 e56342: 18.739202 b1090 - x5890 + x5891 <= 46 e56343: 18.739202 b1091 - x5891 + x5892 <= 46 e56344: 18.739202 b1092 - x5892 + x5893 <= 46 e56345: 18.739202 b1093 - x5893 + x5894 <= 46 e56346: 18.739202 b1094 - x5894 + x5895 <= 46 e56347: 18.739202 b1095 - x5895 + x5896 <= 46 e56348: 18.739202 b1096 - x5896 + x5897 <= 46 e56349: 18.739202 b1097 - x5897 + x5898 <= 46 e56350: 18.739202 b1098 - x5898 + x5899 <= 46 e56351: 18.739202 b1099 - x5899 + x5900 <= 46 e56352: 18.739202 b1100 - x5900 + x5901 <= 46 e56353: 18.739202 b1101 - x5901 + x5902 <= 46 e56354: 18.739202 b1102 - x5902 + x5903 <= 46 e56355: 18.739202 b1103 - x5903 + x5904 <= 46 e56356: 18.739202 b1104 - x5904 + x5905 <= 46 e56357: x5906 <= 121.70828 e56358: 15.867926 b1106 - x5906 + x5907 <= 49 e56359: 15.867926 b1107 - x5907 + x5908 <= 49 e56360: 15.867926 b1108 - x5908 + x5909 <= 49 e56361: 15.867926 b1109 - x5909 + x5910 <= 49 e56362: 15.867926 b1110 - x5910 + x5911 <= 49 e56363: 15.867926 b1111 - x5911 + x5912 <= 49 e56364: 15.867926 b1112 - x5912 + x5913 <= 49 e56365: 15.867926 b1113 - x5913 + x5914 <= 49 e56366: 15.867926 b1114 - x5914 + x5915 <= 49 e56367: 15.867926 b1115 - x5915 + x5916 <= 49 e56368: 15.867926 b1116 - x5916 + x5917 <= 49 e56369: 15.867926 b1117 - x5917 + x5918 <= 49 e56370: 15.867926 b1118 - x5918 + x5919 <= 49 e56371: 15.867926 b1119 - x5919 + x5920 <= 49 e56372: 15.867926 b1120 - x5920 + x5921 <= 49 e56373: 15.867926 b1121 - x5921 + x5922 <= 49 e56374: 15.867926 b1122 - x5922 + x5923 <= 49 e56375: 15.867926 b1123 - x5923 + x5924 <= 49 e56376: 15.867926 b1124 - x5924 + x5925 <= 49 e56377: 15.867926 b1125 - x5925 + x5926 <= 49 e56378: 15.867926 b1126 - x5926 + x5927 <= 49 e56379: 15.867926 b1127 - x5927 + x5928 <= 49 e56380: 15.867926 b1128 - x5928 + x5929 <= 49 e56381: x5930 <= 74.286944 e56382: - 0.592917 b1130 - x5930 + x5931 <= 33 e56383: - 0.592917 b1131 - x5931 + x5932 <= 33 e56384: - 0.592917 b1132 - x5932 + x5933 <= 33 e56385: - 0.592917 b1133 - x5933 + x5934 <= 33 e56386: - 0.592917 b1134 - x5934 + x5935 <= 33 e56387: - 0.592917 b1135 - x5935 + x5936 <= 33 e56388: - 0.592917 b1136 - x5936 + x5937 <= 33 e56389: - 0.592917 b1137 - x5937 + x5938 <= 33 e56390: - 0.592917 b1138 - x5938 + x5939 <= 33 e56391: - 0.592917 b1139 - x5939 + x5940 <= 33 e56392: - 0.592917 b1140 - x5940 + x5941 <= 33 e56393: - 0.592917 b1141 - x5941 + x5942 <= 33 e56394: - 0.592917 b1142 - x5942 + x5943 <= 33 e56395: - 0.592917 b1143 - x5943 + x5944 <= 33 e56396: - 0.592917 b1144 - x5944 + x5945 <= 33 e56397: - 0.592917 b1145 - x5945 + x5946 <= 33 e56398: - 0.592917 b1146 - x5946 + x5947 <= 33 e56399: - 0.592917 b1147 - x5947 + x5948 <= 33 e56400: - 0.592917 b1148 - x5948 + x5949 <= 33 e56401: - 0.592917 b1149 - x5949 + x5950 <= 33 e56402: - 0.592917 b1150 - x5950 + x5951 <= 33 e56403: - 0.592917 b1151 - x5951 + x5952 <= 33 e56404: - 0.592917 b1152 - x5952 + x5953 <= 33 e56405: x5954 <= 146.70848 e56406: 9.664079 b1154 - x5954 + x5955 <= 42 e56407: 9.664079 b1155 - x5955 + x5956 <= 42 e56408: 9.664079 b1156 - x5956 + x5957 <= 42 e56409: 9.664079 b1157 - x5957 + x5958 <= 42 e56410: 9.664079 b1158 - x5958 + x5959 <= 42 e56411: 9.664079 b1159 - x5959 + x5960 <= 42 e56412: 9.664079 b1160 - x5960 + x5961 <= 42 e56413: 9.664079 b1161 - x5961 + x5962 <= 42 e56414: 9.664079 b1162 - x5962 + x5963 <= 42 e56415: 9.664079 b1163 - x5963 + x5964 <= 42 e56416: 9.664079 b1164 - x5964 + x5965 <= 42 e56417: 9.664079 b1165 - x5965 + x5966 <= 42 e56418: 9.664079 b1166 - x5966 + x5967 <= 42 e56419: 9.664079 b1167 - x5967 + x5968 <= 42 e56420: 9.664079 b1168 - x5968 + x5969 <= 42 e56421: 9.664079 b1169 - x5969 + x5970 <= 42 e56422: 9.664079 b1170 - x5970 + x5971 <= 42 e56423: 9.664079 b1171 - x5971 + x5972 <= 42 e56424: 9.664079 b1172 - x5972 + x5973 <= 42 e56425: 9.664079 b1173 - x5973 + x5974 <= 42 e56426: 9.664079 b1174 - x5974 + x5975 <= 42 e56427: 9.664079 b1175 - x5975 + x5976 <= 42 e56428: 9.664079 b1176 - x5976 + x5977 <= 42 e56429: x5978 <= 40 e56430: 14.936349 b1178 - x5978 + x5979 <= 40 e56431: 14.936349 b1179 - x5979 + x5980 <= 40 e56432: 14.936349 b1180 - x5980 + x5981 <= 40 e56433: 14.936349 b1181 - x5981 + x5982 <= 40 e56434: 14.936349 b1182 - x5982 + x5983 <= 40 e56435: 14.936349 b1183 - x5983 + x5984 <= 40 e56436: 14.936349 b1184 - x5984 + x5985 <= 40 e56437: 14.936349 b1185 - x5985 + x5986 <= 40 e56438: 14.936349 b1186 - x5986 + x5987 <= 40 e56439: 14.936349 b1187 - x5987 + x5988 <= 40 e56440: 14.936349 b1188 - x5988 + x5989 <= 40 e56441: 14.936349 b1189 - x5989 + x5990 <= 40 e56442: 14.936349 b1190 - x5990 + x5991 <= 40 e56443: 14.936349 b1191 - x5991 + x5992 <= 40 e56444: 14.936349 b1192 - x5992 + x5993 <= 40 e56445: 14.936349 b1193 - x5993 + x5994 <= 40 e56446: 14.936349 b1194 - x5994 + x5995 <= 40 e56447: 14.936349 b1195 - x5995 + x5996 <= 40 e56448: 14.936349 b1196 - x5996 + x5997 <= 40 e56449: 14.936349 b1197 - x5997 + x5998 <= 40 e56450: 14.936349 b1198 - x5998 + x5999 <= 40 e56451: 14.936349 b1199 - x5999 + x6000 <= 40 e56452: 14.936349 b1200 - x6000 + x6001 <= 40 e56453: x6002 <= 40 e56454: 3.86896 b1202 - x6002 + x6003 <= 40 e56455: 3.86896 b1203 - x6003 + x6004 <= 40 e56456: 3.86896 b1204 - x6004 + x6005 <= 40 e56457: 3.86896 b1205 - x6005 + x6006 <= 40 e56458: 3.86896 b1206 - x6006 + x6007 <= 40 e56459: 3.86896 b1207 - x6007 + x6008 <= 40 e56460: 3.86896 b1208 - x6008 + x6009 <= 40 e56461: 3.86896 b1209 - x6009 + x6010 <= 40 e56462: 3.86896 b1210 - x6010 + x6011 <= 40 e56463: 3.86896 b1211 - x6011 + x6012 <= 40 e56464: 3.86896 b1212 - x6012 + x6013 <= 40 e56465: 3.86896 b1213 - x6013 + x6014 <= 40 e56466: 3.86896 b1214 - x6014 + x6015 <= 40 e56467: 3.86896 b1215 - x6015 + x6016 <= 40 e56468: 3.86896 b1216 - x6016 + x6017 <= 40 e56469: 3.86896 b1217 - x6017 + x6018 <= 40 e56470: 3.86896 b1218 - x6018 + x6019 <= 40 e56471: 3.86896 b1219 - x6019 + x6020 <= 40 e56472: 3.86896 b1220 - x6020 + x6021 <= 40 e56473: 3.86896 b1221 - x6021 + x6022 <= 40 e56474: 3.86896 b1222 - x6022 + x6023 <= 40 e56475: 3.86896 b1223 - x6023 + x6024 <= 40 e56476: 3.86896 b1224 - x6024 + x6025 <= 40 e56477: x6026 <= 41 e56478: 17.902989 b1226 - x6026 + x6027 <= 41 e56479: 17.902989 b1227 - x6027 + x6028 <= 41 e56480: 17.902989 b1228 - x6028 + x6029 <= 41 e56481: 17.902989 b1229 - x6029 + x6030 <= 41 e56482: 17.902989 b1230 - x6030 + x6031 <= 41 e56483: 17.902989 b1231 - x6031 + x6032 <= 41 e56484: 17.902989 b1232 - x6032 + x6033 <= 41 e56485: 17.902989 b1233 - x6033 + x6034 <= 41 e56486: 17.902989 b1234 - x6034 + x6035 <= 41 e56487: 17.902989 b1235 - x6035 + x6036 <= 41 e56488: 17.902989 b1236 - x6036 + x6037 <= 41 e56489: 17.902989 b1237 - x6037 + x6038 <= 41 e56490: 17.902989 b1238 - x6038 + x6039 <= 41 e56491: 17.902989 b1239 - x6039 + x6040 <= 41 e56492: 17.902989 b1240 - x6040 + x6041 <= 41 e56493: 17.902989 b1241 - x6041 + x6042 <= 41 e56494: 17.902989 b1242 - x6042 + x6043 <= 41 e56495: 17.902989 b1243 - x6043 + x6044 <= 41 e56496: 17.902989 b1244 - x6044 + x6045 <= 41 e56497: 17.902989 b1245 - x6045 + x6046 <= 41 e56498: 17.902989 b1246 - x6046 + x6047 <= 41 e56499: 17.902989 b1247 - x6047 + x6048 <= 41 e56500: 17.902989 b1248 - x6048 + x6049 <= 41 e56501: x6050 <= 35 e56502: 2.463682 b1250 - x6050 + x6051 <= 35 e56503: 2.463682 b1251 - x6051 + x6052 <= 35 e56504: 2.463682 b1252 - x6052 + x6053 <= 35 e56505: 2.463682 b1253 - x6053 + x6054 <= 35 e56506: 2.463682 b1254 - x6054 + x6055 <= 35 e56507: 2.463682 b1255 - x6055 + x6056 <= 35 e56508: 2.463682 b1256 - x6056 + x6057 <= 35 e56509: 2.463682 b1257 - x6057 + x6058 <= 35 e56510: 2.463682 b1258 - x6058 + x6059 <= 35 e56511: 2.463682 b1259 - x6059 + x6060 <= 35 e56512: 2.463682 b1260 - x6060 + x6061 <= 35 e56513: 2.463682 b1261 - x6061 + x6062 <= 35 e56514: 2.463682 b1262 - x6062 + x6063 <= 35 e56515: 2.463682 b1263 - x6063 + x6064 <= 35 e56516: 2.463682 b1264 - x6064 + x6065 <= 35 e56517: 2.463682 b1265 - x6065 + x6066 <= 35 e56518: 2.463682 b1266 - x6066 + x6067 <= 35 e56519: 2.463682 b1267 - x6067 + x6068 <= 35 e56520: 2.463682 b1268 - x6068 + x6069 <= 35 e56521: 2.463682 b1269 - x6069 + x6070 <= 35 e56522: 2.463682 b1270 - x6070 + x6071 <= 35 e56523: 2.463682 b1271 - x6071 + x6072 <= 35 e56524: 2.463682 b1272 - x6072 + x6073 <= 35 e56525: x6074 <= 119.443041 e56526: - 7.009742 b1274 - x6074 + x6075 <= 31 e56527: - 7.009742 b1275 - x6075 + x6076 <= 31 e56528: - 7.009742 b1276 - x6076 + x6077 <= 31 e56529: - 7.009742 b1277 - x6077 + x6078 <= 31 e56530: - 7.009742 b1278 - x6078 + x6079 <= 31 e56531: - 7.009742 b1279 - x6079 + x6080 <= 31 e56532: - 7.009742 b1280 - x6080 + x6081 <= 31 e56533: - 7.009742 b1281 - x6081 + x6082 <= 31 e56534: - 7.009742 b1282 - x6082 + x6083 <= 31 e56535: - 7.009742 b1283 - x6083 + x6084 <= 31 e56536: - 7.009742 b1284 - x6084 + x6085 <= 31 e56537: - 7.009742 b1285 - x6085 + x6086 <= 31 e56538: - 7.009742 b1286 - x6086 + x6087 <= 31 e56539: - 7.009742 b1287 - x6087 + x6088 <= 31 e56540: - 7.009742 b1288 - x6088 + x6089 <= 31 e56541: - 7.009742 b1289 - x6089 + x6090 <= 31 e56542: - 7.009742 b1290 - x6090 + x6091 <= 31 e56543: - 7.009742 b1291 - x6091 + x6092 <= 31 e56544: - 7.009742 b1292 - x6092 + x6093 <= 31 e56545: - 7.009742 b1293 - x6093 + x6094 <= 31 e56546: - 7.009742 b1294 - x6094 + x6095 <= 31 e56547: - 7.009742 b1295 - x6095 + x6096 <= 31 e56548: - 7.009742 b1296 - x6096 + x6097 <= 31 e56549: x6098 <= 99.137074 e56550: 20.375423 b1298 - x6098 + x6099 <= 50 e56551: 20.375423 b1299 - x6099 + x6100 <= 50 e56552: 20.375423 b1300 - x6100 + x6101 <= 50 e56553: 20.375423 b1301 - x6101 + x6102 <= 50 e56554: 20.375423 b1302 - x6102 + x6103 <= 50 e56555: 20.375423 b1303 - x6103 + x6104 <= 50 e56556: 20.375423 b1304 - x6104 + x6105 <= 50 e56557: 20.375423 b1305 - x6105 + x6106 <= 50 e56558: 20.375423 b1306 - x6106 + x6107 <= 50 e56559: 20.375423 b1307 - x6107 + x6108 <= 50 e56560: 20.375423 b1308 - x6108 + x6109 <= 50 e56561: 20.375423 b1309 - x6109 + x6110 <= 50 e56562: 20.375423 b1310 - x6110 + x6111 <= 50 e56563: 20.375423 b1311 - x6111 + x6112 <= 50 e56564: 20.375423 b1312 - x6112 + x6113 <= 50 e56565: 20.375423 b1313 - x6113 + x6114 <= 50 e56566: 20.375423 b1314 - x6114 + x6115 <= 50 e56567: 20.375423 b1315 - x6115 + x6116 <= 50 e56568: 20.375423 b1316 - x6116 + x6117 <= 50 e56569: 20.375423 b1317 - x6117 + x6118 <= 50 e56570: 20.375423 b1318 - x6118 + x6119 <= 50 e56571: 20.375423 b1319 - x6119 + x6120 <= 50 e56572: 20.375423 b1320 - x6120 + x6121 <= 50 e56573: x6122 <= 78.353378 e56574: - 0.979861 b1322 - x6122 + x6123 <= 31 e56575: - 0.979861 b1323 - x6123 + x6124 <= 31 e56576: - 0.979861 b1324 - x6124 + x6125 <= 31 e56577: - 0.979861 b1325 - x6125 + x6126 <= 31 e56578: - 0.979861 b1326 - x6126 + x6127 <= 31 e56579: - 0.979861 b1327 - x6127 + x6128 <= 31 e56580: - 0.979861 b1328 - x6128 + x6129 <= 31 e56581: - 0.979861 b1329 - x6129 + x6130 <= 31 e56582: - 0.979861 b1330 - x6130 + x6131 <= 31 e56583: - 0.979861 b1331 - x6131 + x6132 <= 31 e56584: - 0.979861 b1332 - x6132 + x6133 <= 31 e56585: - 0.979861 b1333 - x6133 + x6134 <= 31 e56586: - 0.979861 b1334 - x6134 + x6135 <= 31 e56587: - 0.979861 b1335 - x6135 + x6136 <= 31 e56588: - 0.979861 b1336 - x6136 + x6137 <= 31 e56589: - 0.979861 b1337 - x6137 + x6138 <= 31 e56590: - 0.979861 b1338 - x6138 + x6139 <= 31 e56591: - 0.979861 b1339 - x6139 + x6140 <= 31 e56592: - 0.979861 b1340 - x6140 + x6141 <= 31 e56593: - 0.979861 b1341 - x6141 + x6142 <= 31 e56594: - 0.979861 b1342 - x6142 + x6143 <= 31 e56595: - 0.979861 b1343 - x6143 + x6144 <= 31 e56596: - 0.979861 b1344 - x6144 + x6145 <= 31 e56597: x6146 <= 43 e56598: 9.273751 b1346 - x6146 + x6147 <= 43 e56599: 9.273751 b1347 - x6147 + x6148 <= 43 e56600: 9.273751 b1348 - x6148 + x6149 <= 43 e56601: 9.273751 b1349 - x6149 + x6150 <= 43 e56602: 9.273751 b1350 - x6150 + x6151 <= 43 e56603: 9.273751 b1351 - x6151 + x6152 <= 43 e56604: 9.273751 b1352 - x6152 + x6153 <= 43 e56605: 9.273751 b1353 - x6153 + x6154 <= 43 e56606: 9.273751 b1354 - x6154 + x6155 <= 43 e56607: 9.273751 b1355 - x6155 + x6156 <= 43 e56608: 9.273751 b1356 - x6156 + x6157 <= 43 e56609: 9.273751 b1357 - x6157 + x6158 <= 43 e56610: 9.273751 b1358 - x6158 + x6159 <= 43 e56611: 9.273751 b1359 - x6159 + x6160 <= 43 e56612: 9.273751 b1360 - x6160 + x6161 <= 43 e56613: 9.273751 b1361 - x6161 + x6162 <= 43 e56614: 9.273751 b1362 - x6162 + x6163 <= 43 e56615: 9.273751 b1363 - x6163 + x6164 <= 43 e56616: 9.273751 b1364 - x6164 + x6165 <= 43 e56617: 9.273751 b1365 - x6165 + x6166 <= 43 e56618: 9.273751 b1366 - x6166 + x6167 <= 43 e56619: 9.273751 b1367 - x6167 + x6168 <= 43 e56620: 9.273751 b1368 - x6168 + x6169 <= 43 e56621: x6170 <= 98.85263 e56622: 21.501331 b1370 - x6170 + x6171 <= 45 e56623: 21.501331 b1371 - x6171 + x6172 <= 45 e56624: 21.501331 b1372 - x6172 + x6173 <= 45 e56625: 21.501331 b1373 - x6173 + x6174 <= 45 e56626: 21.501331 b1374 - x6174 + x6175 <= 45 e56627: 21.501331 b1375 - x6175 + x6176 <= 45 e56628: 21.501331 b1376 - x6176 + x6177 <= 45 e56629: 21.501331 b1377 - x6177 + x6178 <= 45 e56630: 21.501331 b1378 - x6178 + x6179 <= 45 e56631: 21.501331 b1379 - x6179 + x6180 <= 45 e56632: 21.501331 b1380 - x6180 + x6181 <= 45 e56633: 21.501331 b1381 - x6181 + x6182 <= 45 e56634: 21.501331 b1382 - x6182 + x6183 <= 45 e56635: 21.501331 b1383 - x6183 + x6184 <= 45 e56636: 21.501331 b1384 - x6184 + x6185 <= 45 e56637: 21.501331 b1385 - x6185 + x6186 <= 45 e56638: 21.501331 b1386 - x6186 + x6187 <= 45 e56639: 21.501331 b1387 - x6187 + x6188 <= 45 e56640: 21.501331 b1388 - x6188 + x6189 <= 45 e56641: 21.501331 b1389 - x6189 + x6190 <= 45 e56642: 21.501331 b1390 - x6190 + x6191 <= 45 e56643: 21.501331 b1391 - x6191 + x6192 <= 45 e56644: 21.501331 b1392 - x6192 + x6193 <= 45 e56645: x6194 <= 87.181473 e56646: - 4.800184 b1394 - x6194 + x6195 <= 33 e56647: - 4.800184 b1395 - x6195 + x6196 <= 33 e56648: - 4.800184 b1396 - x6196 + x6197 <= 33 e56649: - 4.800184 b1397 - x6197 + x6198 <= 33 e56650: - 4.800184 b1398 - x6198 + x6199 <= 33 e56651: - 4.800184 b1399 - x6199 + x6200 <= 33 e56652: - 4.800184 b1400 - x6200 + x6201 <= 33 e56653: - 4.800184 b1401 - x6201 + x6202 <= 33 e56654: - 4.800184 b1402 - x6202 + x6203 <= 33 e56655: - 4.800184 b1403 - x6203 + x6204 <= 33 e56656: - 4.800184 b1404 - x6204 + x6205 <= 33 e56657: - 4.800184 b1405 - x6205 + x6206 <= 33 e56658: - 4.800184 b1406 - x6206 + x6207 <= 33 e56659: - 4.800184 b1407 - x6207 + x6208 <= 33 e56660: - 4.800184 b1408 - x6208 + x6209 <= 33 e56661: - 4.800184 b1409 - x6209 + x6210 <= 33 e56662: - 4.800184 b1410 - x6210 + x6211 <= 33 e56663: - 4.800184 b1411 - x6211 + x6212 <= 33 e56664: - 4.800184 b1412 - x6212 + x6213 <= 33 e56665: - 4.800184 b1413 - x6213 + x6214 <= 33 e56666: - 4.800184 b1414 - x6214 + x6215 <= 33 e56667: - 4.800184 b1415 - x6215 + x6216 <= 33 e56668: - 4.800184 b1416 - x6216 + x6217 <= 33 e56669: x6218 <= 38 e56670: 4.113836 b1418 - x6218 + x6219 <= 38 e56671: 4.113836 b1419 - x6219 + x6220 <= 38 e56672: 4.113836 b1420 - x6220 + x6221 <= 38 e56673: 4.113836 b1421 - x6221 + x6222 <= 38 e56674: 4.113836 b1422 - x6222 + x6223 <= 38 e56675: 4.113836 b1423 - x6223 + x6224 <= 38 e56676: 4.113836 b1424 - x6224 + x6225 <= 38 e56677: 4.113836 b1425 - x6225 + x6226 <= 38 e56678: 4.113836 b1426 - x6226 + x6227 <= 38 e56679: 4.113836 b1427 - x6227 + x6228 <= 38 e56680: 4.113836 b1428 - x6228 + x6229 <= 38 e56681: 4.113836 b1429 - x6229 + x6230 <= 38 e56682: 4.113836 b1430 - x6230 + x6231 <= 38 e56683: 4.113836 b1431 - x6231 + x6232 <= 38 e56684: 4.113836 b1432 - x6232 + x6233 <= 38 e56685: 4.113836 b1433 - x6233 + x6234 <= 38 e56686: 4.113836 b1434 - x6234 + x6235 <= 38 e56687: 4.113836 b1435 - x6235 + x6236 <= 38 e56688: 4.113836 b1436 - x6236 + x6237 <= 38 e56689: 4.113836 b1437 - x6237 + x6238 <= 38 e56690: 4.113836 b1438 - x6238 + x6239 <= 38 e56691: 4.113836 b1439 - x6239 + x6240 <= 38 e56692: 4.113836 b1440 - x6240 + x6241 <= 38 e56693: x6242 <= 42 e56694: 16.416132 b1442 - x6242 + x6243 <= 42 e56695: 16.416132 b1443 - x6243 + x6244 <= 42 e56696: 16.416132 b1444 - x6244 + x6245 <= 42 e56697: 16.416132 b1445 - x6245 + x6246 <= 42 e56698: 16.416132 b1446 - x6246 + x6247 <= 42 e56699: 16.416132 b1447 - x6247 + x6248 <= 42 e56700: 16.416132 b1448 - x6248 + x6249 <= 42 e56701: 16.416132 b1449 - x6249 + x6250 <= 42 e56702: 16.416132 b1450 - x6250 + x6251 <= 42 e56703: 16.416132 b1451 - x6251 + x6252 <= 42 e56704: 16.416132 b1452 - x6252 + x6253 <= 42 e56705: 16.416132 b1453 - x6253 + x6254 <= 42 e56706: 16.416132 b1454 - x6254 + x6255 <= 42 e56707: 16.416132 b1455 - x6255 + x6256 <= 42 e56708: 16.416132 b1456 - x6256 + x6257 <= 42 e56709: 16.416132 b1457 - x6257 + x6258 <= 42 e56710: 16.416132 b1458 - x6258 + x6259 <= 42 e56711: 16.416132 b1459 - x6259 + x6260 <= 42 e56712: 16.416132 b1460 - x6260 + x6261 <= 42 e56713: 16.416132 b1461 - x6261 + x6262 <= 42 e56714: 16.416132 b1462 - x6262 + x6263 <= 42 e56715: 16.416132 b1463 - x6263 + x6264 <= 42 e56716: 16.416132 b1464 - x6264 + x6265 <= 42 e56717: x6266 <= 40 e56718: 8.266895 b1466 - x6266 + x6267 <= 40 e56719: 8.266895 b1467 - x6267 + x6268 <= 40 e56720: 8.266895 b1468 - x6268 + x6269 <= 40 e56721: 8.266895 b1469 - x6269 + x6270 <= 40 e56722: 8.266895 b1470 - x6270 + x6271 <= 40 e56723: 8.266895 b1471 - x6271 + x6272 <= 40 e56724: 8.266895 b1472 - x6272 + x6273 <= 40 e56725: 8.266895 b1473 - x6273 + x6274 <= 40 e56726: 8.266895 b1474 - x6274 + x6275 <= 40 e56727: 8.266895 b1475 - x6275 + x6276 <= 40 e56728: 8.266895 b1476 - x6276 + x6277 <= 40 e56729: 8.266895 b1477 - x6277 + x6278 <= 40 e56730: 8.266895 b1478 - x6278 + x6279 <= 40 e56731: 8.266895 b1479 - x6279 + x6280 <= 40 e56732: 8.266895 b1480 - x6280 + x6281 <= 40 e56733: 8.266895 b1481 - x6281 + x6282 <= 40 e56734: 8.266895 b1482 - x6282 + x6283 <= 40 e56735: 8.266895 b1483 - x6283 + x6284 <= 40 e56736: 8.266895 b1484 - x6284 + x6285 <= 40 e56737: 8.266895 b1485 - x6285 + x6286 <= 40 e56738: 8.266895 b1486 - x6286 + x6287 <= 40 e56739: 8.266895 b1487 - x6287 + x6288 <= 40 e56740: 8.266895 b1488 - x6288 + x6289 <= 40 e56741: x6290 <= 33 e56742: - 4.405923 b1490 - x6290 + x6291 <= 33 e56743: - 4.405923 b1491 - x6291 + x6292 <= 33 e56744: - 4.405923 b1492 - x6292 + x6293 <= 33 e56745: - 4.405923 b1493 - x6293 + x6294 <= 33 e56746: - 4.405923 b1494 - x6294 + x6295 <= 33 e56747: - 4.405923 b1495 - x6295 + x6296 <= 33 e56748: - 4.405923 b1496 - x6296 + x6297 <= 33 e56749: - 4.405923 b1497 - x6297 + x6298 <= 33 e56750: - 4.405923 b1498 - x6298 + x6299 <= 33 e56751: - 4.405923 b1499 - x6299 + x6300 <= 33 e56752: - 4.405923 b1500 - x6300 + x6301 <= 33 e56753: - 4.405923 b1501 - x6301 + x6302 <= 33 e56754: - 4.405923 b1502 - x6302 + x6303 <= 33 e56755: - 4.405923 b1503 - x6303 + x6304 <= 33 e56756: - 4.405923 b1504 - x6304 + x6305 <= 33 e56757: - 4.405923 b1505 - x6305 + x6306 <= 33 e56758: - 4.405923 b1506 - x6306 + x6307 <= 33 e56759: - 4.405923 b1507 - x6307 + x6308 <= 33 e56760: - 4.405923 b1508 - x6308 + x6309 <= 33 e56761: - 4.405923 b1509 - x6309 + x6310 <= 33 e56762: - 4.405923 b1510 - x6310 + x6311 <= 33 e56763: - 4.405923 b1511 - x6311 + x6312 <= 33 e56764: - 4.405923 b1512 - x6312 + x6313 <= 33 e56765: x6314 <= 111.145241 e56766: 18.961607 b1514 - x6314 + x6315 <= 48 e56767: 18.961607 b1515 - x6315 + x6316 <= 48 e56768: 18.961607 b1516 - x6316 + x6317 <= 48 e56769: 18.961607 b1517 - x6317 + x6318 <= 48 e56770: 18.961607 b1518 - x6318 + x6319 <= 48 e56771: 18.961607 b1519 - x6319 + x6320 <= 48 e56772: 18.961607 b1520 - x6320 + x6321 <= 48 e56773: 18.961607 b1521 - x6321 + x6322 <= 48 e56774: 18.961607 b1522 - x6322 + x6323 <= 48 e56775: 18.961607 b1523 - x6323 + x6324 <= 48 e56776: 18.961607 b1524 - x6324 + x6325 <= 48 e56777: 18.961607 b1525 - x6325 + x6326 <= 48 e56778: 18.961607 b1526 - x6326 + x6327 <= 48 e56779: 18.961607 b1527 - x6327 + x6328 <= 48 e56780: 18.961607 b1528 - x6328 + x6329 <= 48 e56781: 18.961607 b1529 - x6329 + x6330 <= 48 e56782: 18.961607 b1530 - x6330 + x6331 <= 48 e56783: 18.961607 b1531 - x6331 + x6332 <= 48 e56784: 18.961607 b1532 - x6332 + x6333 <= 48 e56785: 18.961607 b1533 - x6333 + x6334 <= 48 e56786: 18.961607 b1534 - x6334 + x6335 <= 48 e56787: 18.961607 b1535 - x6335 + x6336 <= 48 e56788: 18.961607 b1536 - x6336 + x6337 <= 48 e56789: x6338 <= 127.20175 e56790: 6.514484 b1538 - x6338 + x6339 <= 40 e56791: 6.514484 b1539 - x6339 + x6340 <= 40 e56792: 6.514484 b1540 - x6340 + x6341 <= 40 e56793: 6.514484 b1541 - x6341 + x6342 <= 40 e56794: 6.514484 b1542 - x6342 + x6343 <= 40 e56795: 6.514484 b1543 - x6343 + x6344 <= 40 e56796: 6.514484 b1544 - x6344 + x6345 <= 40 e56797: 6.514484 b1545 - x6345 + x6346 <= 40 e56798: 6.514484 b1546 - x6346 + x6347 <= 40 e56799: 6.514484 b1547 - x6347 + x6348 <= 40 e56800: 6.514484 b1548 - x6348 + x6349 <= 40 e56801: 6.514484 b1549 - x6349 + x6350 <= 40 e56802: 6.514484 b1550 - x6350 + x6351 <= 40 e56803: 6.514484 b1551 - x6351 + x6352 <= 40 e56804: 6.514484 b1552 - x6352 + x6353 <= 40 e56805: 6.514484 b1553 - x6353 + x6354 <= 40 e56806: 6.514484 b1554 - x6354 + x6355 <= 40 e56807: 6.514484 b1555 - x6355 + x6356 <= 40 e56808: 6.514484 b1556 - x6356 + x6357 <= 40 e56809: 6.514484 b1557 - x6357 + x6358 <= 40 e56810: 6.514484 b1558 - x6358 + x6359 <= 40 e56811: 6.514484 b1559 - x6359 + x6360 <= 40 e56812: 6.514484 b1560 - x6360 + x6361 <= 40 e56813: x6362 <= 145.282814 e56814: - 9.046765 b1562 - x6362 + x6363 <= 33 e56815: - 9.046765 b1563 - x6363 + x6364 <= 33 e56816: - 9.046765 b1564 - x6364 + x6365 <= 33 e56817: - 9.046765 b1565 - x6365 + x6366 <= 33 e56818: - 9.046765 b1566 - x6366 + x6367 <= 33 e56819: - 9.046765 b1567 - x6367 + x6368 <= 33 e56820: - 9.046765 b1568 - x6368 + x6369 <= 33 e56821: - 9.046765 b1569 - x6369 + x6370 <= 33 e56822: - 9.046765 b1570 - x6370 + x6371 <= 33 e56823: - 9.046765 b1571 - x6371 + x6372 <= 33 e56824: - 9.046765 b1572 - x6372 + x6373 <= 33 e56825: - 9.046765 b1573 - x6373 + x6374 <= 33 e56826: - 9.046765 b1574 - x6374 + x6375 <= 33 e56827: - 9.046765 b1575 - x6375 + x6376 <= 33 e56828: - 9.046765 b1576 - x6376 + x6377 <= 33 e56829: - 9.046765 b1577 - x6377 + x6378 <= 33 e56830: - 9.046765 b1578 - x6378 + x6379 <= 33 e56831: - 9.046765 b1579 - x6379 + x6380 <= 33 e56832: - 9.046765 b1580 - x6380 + x6381 <= 33 e56833: - 9.046765 b1581 - x6381 + x6382 <= 33 e56834: - 9.046765 b1582 - x6382 + x6383 <= 33 e56835: - 9.046765 b1583 - x6383 + x6384 <= 33 e56836: - 9.046765 b1584 - x6384 + x6385 <= 33 e56837: x6386 <= 95.469016 e56838: 13.485505 b1586 - x6386 + x6387 <= 42 e56839: 13.485505 b1587 - x6387 + x6388 <= 42 e56840: 13.485505 b1588 - x6388 + x6389 <= 42 e56841: 13.485505 b1589 - x6389 + x6390 <= 42 e56842: 13.485505 b1590 - x6390 + x6391 <= 42 e56843: 13.485505 b1591 - x6391 + x6392 <= 42 e56844: 13.485505 b1592 - x6392 + x6393 <= 42 e56845: 13.485505 b1593 - x6393 + x6394 <= 42 e56846: 13.485505 b1594 - x6394 + x6395 <= 42 e56847: 13.485505 b1595 - x6395 + x6396 <= 42 e56848: 13.485505 b1596 - x6396 + x6397 <= 42 e56849: 13.485505 b1597 - x6397 + x6398 <= 42 e56850: 13.485505 b1598 - x6398 + x6399 <= 42 e56851: 13.485505 b1599 - x6399 + x6400 <= 42 e56852: 13.485505 b1600 - x6400 + x6401 <= 42 e56853: 13.485505 b1601 - x6401 + x6402 <= 42 e56854: 13.485505 b1602 - x6402 + x6403 <= 42 e56855: 13.485505 b1603 - x6403 + x6404 <= 42 e56856: 13.485505 b1604 - x6404 + x6405 <= 42 e56857: 13.485505 b1605 - x6405 + x6406 <= 42 e56858: 13.485505 b1606 - x6406 + x6407 <= 42 e56859: 13.485505 b1607 - x6407 + x6408 <= 42 e56860: 13.485505 b1608 - x6408 + x6409 <= 42 e56861: x6410 <= 97.748827 e56862: - 9.444523 b1610 - x6410 + x6411 <= 32 e56863: - 9.444523 b1611 - x6411 + x6412 <= 32 e56864: - 9.444523 b1612 - x6412 + x6413 <= 32 e56865: - 9.444523 b1613 - x6413 + x6414 <= 32 e56866: - 9.444523 b1614 - x6414 + x6415 <= 32 e56867: - 9.444523 b1615 - x6415 + x6416 <= 32 e56868: - 9.444523 b1616 - x6416 + x6417 <= 32 e56869: - 9.444523 b1617 - x6417 + x6418 <= 32 e56870: - 9.444523 b1618 - x6418 + x6419 <= 32 e56871: - 9.444523 b1619 - x6419 + x6420 <= 32 e56872: - 9.444523 b1620 - x6420 + x6421 <= 32 e56873: - 9.444523 b1621 - x6421 + x6422 <= 32 e56874: - 9.444523 b1622 - x6422 + x6423 <= 32 e56875: - 9.444523 b1623 - x6423 + x6424 <= 32 e56876: - 9.444523 b1624 - x6424 + x6425 <= 32 e56877: - 9.444523 b1625 - x6425 + x6426 <= 32 e56878: - 9.444523 b1626 - x6426 + x6427 <= 32 e56879: - 9.444523 b1627 - x6427 + x6428 <= 32 e56880: - 9.444523 b1628 - x6428 + x6429 <= 32 e56881: - 9.444523 b1629 - x6429 + x6430 <= 32 e56882: - 9.444523 b1630 - x6430 + x6431 <= 32 e56883: - 9.444523 b1631 - x6431 + x6432 <= 32 e56884: - 9.444523 b1632 - x6432 + x6433 <= 32 e56885: x6434 <= 111.16771 e56886: 10.808312 b1634 - x6434 + x6435 <= 37 e56887: 10.808312 b1635 - x6435 + x6436 <= 37 e56888: 10.808312 b1636 - x6436 + x6437 <= 37 e56889: 10.808312 b1637 - x6437 + x6438 <= 37 e56890: 10.808312 b1638 - x6438 + x6439 <= 37 e56891: 10.808312 b1639 - x6439 + x6440 <= 37 e56892: 10.808312 b1640 - x6440 + x6441 <= 37 e56893: 10.808312 b1641 - x6441 + x6442 <= 37 e56894: 10.808312 b1642 - x6442 + x6443 <= 37 e56895: 10.808312 b1643 - x6443 + x6444 <= 37 e56896: 10.808312 b1644 - x6444 + x6445 <= 37 e56897: 10.808312 b1645 - x6445 + x6446 <= 37 e56898: 10.808312 b1646 - x6446 + x6447 <= 37 e56899: 10.808312 b1647 - x6447 + x6448 <= 37 e56900: 10.808312 b1648 - x6448 + x6449 <= 37 e56901: 10.808312 b1649 - x6449 + x6450 <= 37 e56902: 10.808312 b1650 - x6450 + x6451 <= 37 e56903: 10.808312 b1651 - x6451 + x6452 <= 37 e56904: 10.808312 b1652 - x6452 + x6453 <= 37 e56905: 10.808312 b1653 - x6453 + x6454 <= 37 e56906: 10.808312 b1654 - x6454 + x6455 <= 37 e56907: 10.808312 b1655 - x6455 + x6456 <= 37 e56908: 10.808312 b1656 - x6456 + x6457 <= 37 e56909: x6458 <= 95.371125 e56910: 5.344999 b1658 - x6458 + x6459 <= 38 e56911: 5.344999 b1659 - x6459 + x6460 <= 38 e56912: 5.344999 b1660 - x6460 + x6461 <= 38 e56913: 5.344999 b1661 - x6461 + x6462 <= 38 e56914: 5.344999 b1662 - x6462 + x6463 <= 38 e56915: 5.344999 b1663 - x6463 + x6464 <= 38 e56916: 5.344999 b1664 - x6464 + x6465 <= 38 e56917: 5.344999 b1665 - x6465 + x6466 <= 38 e56918: 5.344999 b1666 - x6466 + x6467 <= 38 e56919: 5.344999 b1667 - x6467 + x6468 <= 38 e56920: 5.344999 b1668 - x6468 + x6469 <= 38 e56921: 5.344999 b1669 - x6469 + x6470 <= 38 e56922: 5.344999 b1670 - x6470 + x6471 <= 38 e56923: 5.344999 b1671 - x6471 + x6472 <= 38 e56924: 5.344999 b1672 - x6472 + x6473 <= 38 e56925: 5.344999 b1673 - x6473 + x6474 <= 38 e56926: 5.344999 b1674 - x6474 + x6475 <= 38 e56927: 5.344999 b1675 - x6475 + x6476 <= 38 e56928: 5.344999 b1676 - x6476 + x6477 <= 38 e56929: 5.344999 b1677 - x6477 + x6478 <= 38 e56930: 5.344999 b1678 - x6478 + x6479 <= 38 e56931: 5.344999 b1679 - x6479 + x6480 <= 38 e56932: 5.344999 b1680 - x6480 + x6481 <= 38 e56933: x6482 <= 49 e56934: 26.265001 b1682 - x6482 + x6483 <= 49 e56935: 26.265001 b1683 - x6483 + x6484 <= 49 e56936: 26.265001 b1684 - x6484 + x6485 <= 49 e56937: 26.265001 b1685 - x6485 + x6486 <= 49 e56938: 26.265001 b1686 - x6486 + x6487 <= 49 e56939: 26.265001 b1687 - x6487 + x6488 <= 49 e56940: 26.265001 b1688 - x6488 + x6489 <= 49 e56941: 26.265001 b1689 - x6489 + x6490 <= 49 e56942: 26.265001 b1690 - x6490 + x6491 <= 49 e56943: 26.265001 b1691 - x6491 + x6492 <= 49 e56944: 26.265001 b1692 - x6492 + x6493 <= 49 e56945: 26.265001 b1693 - x6493 + x6494 <= 49 e56946: 26.265001 b1694 - x6494 + x6495 <= 49 e56947: 26.265001 b1695 - x6495 + x6496 <= 49 e56948: 26.265001 b1696 - x6496 + x6497 <= 49 e56949: 26.265001 b1697 - x6497 + x6498 <= 49 e56950: 26.265001 b1698 - x6498 + x6499 <= 49 e56951: 26.265001 b1699 - x6499 + x6500 <= 49 e56952: 26.265001 b1700 - x6500 + x6501 <= 49 e56953: 26.265001 b1701 - x6501 + x6502 <= 49 e56954: 26.265001 b1702 - x6502 + x6503 <= 49 e56955: 26.265001 b1703 - x6503 + x6504 <= 49 e56956: 26.265001 b1704 - x6504 + x6505 <= 49 e56957: x6506 <= 90.428758 e56958: 16.454543 b1706 - x6506 + x6507 <= 40 e56959: 16.454543 b1707 - x6507 + x6508 <= 40 e56960: 16.454543 b1708 - x6508 + x6509 <= 40 e56961: 16.454543 b1709 - x6509 + x6510 <= 40 e56962: 16.454543 b1710 - x6510 + x6511 <= 40 e56963: 16.454543 b1711 - x6511 + x6512 <= 40 e56964: 16.454543 b1712 - x6512 + x6513 <= 40 e56965: 16.454543 b1713 - x6513 + x6514 <= 40 e56966: 16.454543 b1714 - x6514 + x6515 <= 40 e56967: 16.454543 b1715 - x6515 + x6516 <= 40 e56968: 16.454543 b1716 - x6516 + x6517 <= 40 e56969: 16.454543 b1717 - x6517 + x6518 <= 40 e56970: 16.454543 b1718 - x6518 + x6519 <= 40 e56971: 16.454543 b1719 - x6519 + x6520 <= 40 e56972: 16.454543 b1720 - x6520 + x6521 <= 40 e56973: 16.454543 b1721 - x6521 + x6522 <= 40 e56974: 16.454543 b1722 - x6522 + x6523 <= 40 e56975: 16.454543 b1723 - x6523 + x6524 <= 40 e56976: 16.454543 b1724 - x6524 + x6525 <= 40 e56977: 16.454543 b1725 - x6525 + x6526 <= 40 e56978: 16.454543 b1726 - x6526 + x6527 <= 40 e56979: 16.454543 b1727 - x6527 + x6528 <= 40 e56980: 16.454543 b1728 - x6528 + x6529 <= 40 e56981: x6530 <= 42 e56982: 6.148323 b1730 - x6530 + x6531 <= 42 e56983: 6.148323 b1731 - x6531 + x6532 <= 42 e56984: 6.148323 b1732 - x6532 + x6533 <= 42 e56985: 6.148323 b1733 - x6533 + x6534 <= 42 e56986: 6.148323 b1734 - x6534 + x6535 <= 42 e56987: 6.148323 b1735 - x6535 + x6536 <= 42 e56988: 6.148323 b1736 - x6536 + x6537 <= 42 e56989: 6.148323 b1737 - x6537 + x6538 <= 42 e56990: 6.148323 b1738 - x6538 + x6539 <= 42 e56991: 6.148323 b1739 - x6539 + x6540 <= 42 e56992: 6.148323 b1740 - x6540 + x6541 <= 42 e56993: 6.148323 b1741 - x6541 + x6542 <= 42 e56994: 6.148323 b1742 - x6542 + x6543 <= 42 e56995: 6.148323 b1743 - x6543 + x6544 <= 42 e56996: 6.148323 b1744 - x6544 + x6545 <= 42 e56997: 6.148323 b1745 - x6545 + x6546 <= 42 e56998: 6.148323 b1746 - x6546 + x6547 <= 42 e56999: 6.148323 b1747 - x6547 + x6548 <= 42 e57000: 6.148323 b1748 - x6548 + x6549 <= 42 e57001: 6.148323 b1749 - x6549 + x6550 <= 42 e57002: 6.148323 b1750 - x6550 + x6551 <= 42 e57003: 6.148323 b1751 - x6551 + x6552 <= 42 e57004: 6.148323 b1752 - x6552 + x6553 <= 42 e57005: x6554 <= 101.359446 e57006: 2.266783 b1754 - x6554 + x6555 <= 38 e57007: 2.266783 b1755 - x6555 + x6556 <= 38 e57008: 2.266783 b1756 - x6556 + x6557 <= 38 e57009: 2.266783 b1757 - x6557 + x6558 <= 38 e57010: 2.266783 b1758 - x6558 + x6559 <= 38 e57011: 2.266783 b1759 - x6559 + x6560 <= 38 e57012: 2.266783 b1760 - x6560 + x6561 <= 38 e57013: 2.266783 b1761 - x6561 + x6562 <= 38 e57014: 2.266783 b1762 - x6562 + x6563 <= 38 e57015: 2.266783 b1763 - x6563 + x6564 <= 38 e57016: 2.266783 b1764 - x6564 + x6565 <= 38 e57017: 2.266783 b1765 - x6565 + x6566 <= 38 e57018: 2.266783 b1766 - x6566 + x6567 <= 38 e57019: 2.266783 b1767 - x6567 + x6568 <= 38 e57020: 2.266783 b1768 - x6568 + x6569 <= 38 e57021: 2.266783 b1769 - x6569 + x6570 <= 38 e57022: 2.266783 b1770 - x6570 + x6571 <= 38 e57023: 2.266783 b1771 - x6571 + x6572 <= 38 e57024: 2.266783 b1772 - x6572 + x6573 <= 38 e57025: 2.266783 b1773 - x6573 + x6574 <= 38 e57026: 2.266783 b1774 - x6574 + x6575 <= 38 e57027: 2.266783 b1775 - x6575 + x6576 <= 38 e57028: 2.266783 b1776 - x6576 + x6577 <= 38 e57029: x6578 <= 44 e57030: 20.170865 b1778 - x6578 + x6579 <= 44 e57031: 20.170865 b1779 - x6579 + x6580 <= 44 e57032: 20.170865 b1780 - x6580 + x6581 <= 44 e57033: 20.170865 b1781 - x6581 + x6582 <= 44 e57034: 20.170865 b1782 - x6582 + x6583 <= 44 e57035: 20.170865 b1783 - x6583 + x6584 <= 44 e57036: 20.170865 b1784 - x6584 + x6585 <= 44 e57037: 20.170865 b1785 - x6585 + x6586 <= 44 e57038: 20.170865 b1786 - x6586 + x6587 <= 44 e57039: 20.170865 b1787 - x6587 + x6588 <= 44 e57040: 20.170865 b1788 - x6588 + x6589 <= 44 e57041: 20.170865 b1789 - x6589 + x6590 <= 44 e57042: 20.170865 b1790 - x6590 + x6591 <= 44 e57043: 20.170865 b1791 - x6591 + x6592 <= 44 e57044: 20.170865 b1792 - x6592 + x6593 <= 44 e57045: 20.170865 b1793 - x6593 + x6594 <= 44 e57046: 20.170865 b1794 - x6594 + x6595 <= 44 e57047: 20.170865 b1795 - x6595 + x6596 <= 44 e57048: 20.170865 b1796 - x6596 + x6597 <= 44 e57049: 20.170865 b1797 - x6597 + x6598 <= 44 e57050: 20.170865 b1798 - x6598 + x6599 <= 44 e57051: 20.170865 b1799 - x6599 + x6600 <= 44 e57052: 20.170865 b1800 - x6600 + x6601 <= 44 e57053: x6602 <= 41 e57054: 15.113163 b1802 - x6602 + x6603 <= 41 e57055: 15.113163 b1803 - x6603 + x6604 <= 41 e57056: 15.113163 b1804 - x6604 + x6605 <= 41 e57057: 15.113163 b1805 - x6605 + x6606 <= 41 e57058: 15.113163 b1806 - x6606 + x6607 <= 41 e57059: 15.113163 b1807 - x6607 + x6608 <= 41 e57060: 15.113163 b1808 - x6608 + x6609 <= 41 e57061: 15.113163 b1809 - x6609 + x6610 <= 41 e57062: 15.113163 b1810 - x6610 + x6611 <= 41 e57063: 15.113163 b1811 - x6611 + x6612 <= 41 e57064: 15.113163 b1812 - x6612 + x6613 <= 41 e57065: 15.113163 b1813 - x6613 + x6614 <= 41 e57066: 15.113163 b1814 - x6614 + x6615 <= 41 e57067: 15.113163 b1815 - x6615 + x6616 <= 41 e57068: 15.113163 b1816 - x6616 + x6617 <= 41 e57069: 15.113163 b1817 - x6617 + x6618 <= 41 e57070: 15.113163 b1818 - x6618 + x6619 <= 41 e57071: 15.113163 b1819 - x6619 + x6620 <= 41 e57072: 15.113163 b1820 - x6620 + x6621 <= 41 e57073: 15.113163 b1821 - x6621 + x6622 <= 41 e57074: 15.113163 b1822 - x6622 + x6623 <= 41 e57075: 15.113163 b1823 - x6623 + x6624 <= 41 e57076: 15.113163 b1824 - x6624 + x6625 <= 41 e57077: x6626 <= 44 e57078: 19.88818 b1826 - x6626 + x6627 <= 44 e57079: 19.88818 b1827 - x6627 + x6628 <= 44 e57080: 19.88818 b1828 - x6628 + x6629 <= 44 e57081: 19.88818 b1829 - x6629 + x6630 <= 44 e57082: 19.88818 b1830 - x6630 + x6631 <= 44 e57083: 19.88818 b1831 - x6631 + x6632 <= 44 e57084: 19.88818 b1832 - x6632 + x6633 <= 44 e57085: 19.88818 b1833 - x6633 + x6634 <= 44 e57086: 19.88818 b1834 - x6634 + x6635 <= 44 e57087: 19.88818 b1835 - x6635 + x6636 <= 44 e57088: 19.88818 b1836 - x6636 + x6637 <= 44 e57089: 19.88818 b1837 - x6637 + x6638 <= 44 e57090: 19.88818 b1838 - x6638 + x6639 <= 44 e57091: 19.88818 b1839 - x6639 + x6640 <= 44 e57092: 19.88818 b1840 - x6640 + x6641 <= 44 e57093: 19.88818 b1841 - x6641 + x6642 <= 44 e57094: 19.88818 b1842 - x6642 + x6643 <= 44 e57095: 19.88818 b1843 - x6643 + x6644 <= 44 e57096: 19.88818 b1844 - x6644 + x6645 <= 44 e57097: 19.88818 b1845 - x6645 + x6646 <= 44 e57098: 19.88818 b1846 - x6646 + x6647 <= 44 e57099: 19.88818 b1847 - x6647 + x6648 <= 44 e57100: 19.88818 b1848 - x6648 + x6649 <= 44 e57101: x6650 <= 31 e57102: 1.307781 b1850 - x6650 + x6651 <= 31 e57103: 1.307781 b1851 - x6651 + x6652 <= 31 e57104: 1.307781 b1852 - x6652 + x6653 <= 31 e57105: 1.307781 b1853 - x6653 + x6654 <= 31 e57106: 1.307781 b1854 - x6654 + x6655 <= 31 e57107: 1.307781 b1855 - x6655 + x6656 <= 31 e57108: 1.307781 b1856 - x6656 + x6657 <= 31 e57109: 1.307781 b1857 - x6657 + x6658 <= 31 e57110: 1.307781 b1858 - x6658 + x6659 <= 31 e57111: 1.307781 b1859 - x6659 + x6660 <= 31 e57112: 1.307781 b1860 - x6660 + x6661 <= 31 e57113: 1.307781 b1861 - x6661 + x6662 <= 31 e57114: 1.307781 b1862 - x6662 + x6663 <= 31 e57115: 1.307781 b1863 - x6663 + x6664 <= 31 e57116: 1.307781 b1864 - x6664 + x6665 <= 31 e57117: 1.307781 b1865 - x6665 + x6666 <= 31 e57118: 1.307781 b1866 - x6666 + x6667 <= 31 e57119: 1.307781 b1867 - x6667 + x6668 <= 31 e57120: 1.307781 b1868 - x6668 + x6669 <= 31 e57121: 1.307781 b1869 - x6669 + x6670 <= 31 e57122: 1.307781 b1870 - x6670 + x6671 <= 31 e57123: 1.307781 b1871 - x6671 + x6672 <= 31 e57124: 1.307781 b1872 - x6672 + x6673 <= 31 e57125: x6674 <= 49 e57126: 22.429709 b1874 - x6674 + x6675 <= 49 e57127: 22.429709 b1875 - x6675 + x6676 <= 49 e57128: 22.429709 b1876 - x6676 + x6677 <= 49 e57129: 22.429709 b1877 - x6677 + x6678 <= 49 e57130: 22.429709 b1878 - x6678 + x6679 <= 49 e57131: 22.429709 b1879 - x6679 + x6680 <= 49 e57132: 22.429709 b1880 - x6680 + x6681 <= 49 e57133: 22.429709 b1881 - x6681 + x6682 <= 49 e57134: 22.429709 b1882 - x6682 + x6683 <= 49 e57135: 22.429709 b1883 - x6683 + x6684 <= 49 e57136: 22.429709 b1884 - x6684 + x6685 <= 49 e57137: 22.429709 b1885 - x6685 + x6686 <= 49 e57138: 22.429709 b1886 - x6686 + x6687 <= 49 e57139: 22.429709 b1887 - x6687 + x6688 <= 49 e57140: 22.429709 b1888 - x6688 + x6689 <= 49 e57141: 22.429709 b1889 - x6689 + x6690 <= 49 e57142: 22.429709 b1890 - x6690 + x6691 <= 49 e57143: 22.429709 b1891 - x6691 + x6692 <= 49 e57144: 22.429709 b1892 - x6692 + x6693 <= 49 e57145: 22.429709 b1893 - x6693 + x6694 <= 49 e57146: 22.429709 b1894 - x6694 + x6695 <= 49 e57147: 22.429709 b1895 - x6695 + x6696 <= 49 e57148: 22.429709 b1896 - x6696 + x6697 <= 49 e57149: x6698 <= 97.881222 e57150: 4.556451 b1898 - x6698 + x6699 <= 31 e57151: 4.556451 b1899 - x6699 + x6700 <= 31 e57152: 4.556451 b1900 - x6700 + x6701 <= 31 e57153: 4.556451 b1901 - x6701 + x6702 <= 31 e57154: 4.556451 b1902 - x6702 + x6703 <= 31 e57155: 4.556451 b1903 - x6703 + x6704 <= 31 e57156: 4.556451 b1904 - x6704 + x6705 <= 31 e57157: 4.556451 b1905 - x6705 + x6706 <= 31 e57158: 4.556451 b1906 - x6706 + x6707 <= 31 e57159: 4.556451 b1907 - x6707 + x6708 <= 31 e57160: 4.556451 b1908 - x6708 + x6709 <= 31 e57161: 4.556451 b1909 - x6709 + x6710 <= 31 e57162: 4.556451 b1910 - x6710 + x6711 <= 31 e57163: 4.556451 b1911 - x6711 + x6712 <= 31 e57164: 4.556451 b1912 - x6712 + x6713 <= 31 e57165: 4.556451 b1913 - x6713 + x6714 <= 31 e57166: 4.556451 b1914 - x6714 + x6715 <= 31 e57167: 4.556451 b1915 - x6715 + x6716 <= 31 e57168: 4.556451 b1916 - x6716 + x6717 <= 31 e57169: 4.556451 b1917 - x6717 + x6718 <= 31 e57170: 4.556451 b1918 - x6718 + x6719 <= 31 e57171: 4.556451 b1919 - x6719 + x6720 <= 31 e57172: 4.556451 b1920 - x6720 + x6721 <= 31 e57173: x6722 <= 94.821092 e57174: - 0.625566 b1922 - x6722 + x6723 <= 35 e57175: - 0.625566 b1923 - x6723 + x6724 <= 35 e57176: - 0.625566 b1924 - x6724 + x6725 <= 35 e57177: - 0.625566 b1925 - x6725 + x6726 <= 35 e57178: - 0.625566 b1926 - x6726 + x6727 <= 35 e57179: - 0.625566 b1927 - x6727 + x6728 <= 35 e57180: - 0.625566 b1928 - x6728 + x6729 <= 35 e57181: - 0.625566 b1929 - x6729 + x6730 <= 35 e57182: - 0.625566 b1930 - x6730 + x6731 <= 35 e57183: - 0.625566 b1931 - x6731 + x6732 <= 35 e57184: - 0.625566 b1932 - x6732 + x6733 <= 35 e57185: - 0.625566 b1933 - x6733 + x6734 <= 35 e57186: - 0.625566 b1934 - x6734 + x6735 <= 35 e57187: - 0.625566 b1935 - x6735 + x6736 <= 35 e57188: - 0.625566 b1936 - x6736 + x6737 <= 35 e57189: - 0.625566 b1937 - x6737 + x6738 <= 35 e57190: - 0.625566 b1938 - x6738 + x6739 <= 35 e57191: - 0.625566 b1939 - x6739 + x6740 <= 35 e57192: - 0.625566 b1940 - x6740 + x6741 <= 35 e57193: - 0.625566 b1941 - x6741 + x6742 <= 35 e57194: - 0.625566 b1942 - x6742 + x6743 <= 35 e57195: - 0.625566 b1943 - x6743 + x6744 <= 35 e57196: - 0.625566 b1944 - x6744 + x6745 <= 35 e57197: x6746 <= 32 e57198: - 6.980483 b1946 - x6746 + x6747 <= 32 e57199: - 6.980483 b1947 - x6747 + x6748 <= 32 e57200: - 6.980483 b1948 - x6748 + x6749 <= 32 e57201: - 6.980483 b1949 - x6749 + x6750 <= 32 e57202: - 6.980483 b1950 - x6750 + x6751 <= 32 e57203: - 6.980483 b1951 - x6751 + x6752 <= 32 e57204: - 6.980483 b1952 - x6752 + x6753 <= 32 e57205: - 6.980483 b1953 - x6753 + x6754 <= 32 e57206: - 6.980483 b1954 - x6754 + x6755 <= 32 e57207: - 6.980483 b1955 - x6755 + x6756 <= 32 e57208: - 6.980483 b1956 - x6756 + x6757 <= 32 e57209: - 6.980483 b1957 - x6757 + x6758 <= 32 e57210: - 6.980483 b1958 - x6758 + x6759 <= 32 e57211: - 6.980483 b1959 - x6759 + x6760 <= 32 e57212: - 6.980483 b1960 - x6760 + x6761 <= 32 e57213: - 6.980483 b1961 - x6761 + x6762 <= 32 e57214: - 6.980483 b1962 - x6762 + x6763 <= 32 e57215: - 6.980483 b1963 - x6763 + x6764 <= 32 e57216: - 6.980483 b1964 - x6764 + x6765 <= 32 e57217: - 6.980483 b1965 - x6765 + x6766 <= 32 e57218: - 6.980483 b1966 - x6766 + x6767 <= 32 e57219: - 6.980483 b1967 - x6767 + x6768 <= 32 e57220: - 6.980483 b1968 - x6768 + x6769 <= 32 e57221: x6770 <= 48 e57222: 20.138153 b1970 - x6770 + x6771 <= 48 e57223: 20.138153 b1971 - x6771 + x6772 <= 48 e57224: 20.138153 b1972 - x6772 + x6773 <= 48 e57225: 20.138153 b1973 - x6773 + x6774 <= 48 e57226: 20.138153 b1974 - x6774 + x6775 <= 48 e57227: 20.138153 b1975 - x6775 + x6776 <= 48 e57228: 20.138153 b1976 - x6776 + x6777 <= 48 e57229: 20.138153 b1977 - x6777 + x6778 <= 48 e57230: 20.138153 b1978 - x6778 + x6779 <= 48 e57231: 20.138153 b1979 - x6779 + x6780 <= 48 e57232: 20.138153 b1980 - x6780 + x6781 <= 48 e57233: 20.138153 b1981 - x6781 + x6782 <= 48 e57234: 20.138153 b1982 - x6782 + x6783 <= 48 e57235: 20.138153 b1983 - x6783 + x6784 <= 48 e57236: 20.138153 b1984 - x6784 + x6785 <= 48 e57237: 20.138153 b1985 - x6785 + x6786 <= 48 e57238: 20.138153 b1986 - x6786 + x6787 <= 48 e57239: 20.138153 b1987 - x6787 + x6788 <= 48 e57240: 20.138153 b1988 - x6788 + x6789 <= 48 e57241: 20.138153 b1989 - x6789 + x6790 <= 48 e57242: 20.138153 b1990 - x6790 + x6791 <= 48 e57243: 20.138153 b1991 - x6791 + x6792 <= 48 e57244: 20.138153 b1992 - x6792 + x6793 <= 48 e57245: x6794 <= 46 e57246: 13.702294 b1994 - x6794 + x6795 <= 46 e57247: 13.702294 b1995 - x6795 + x6796 <= 46 e57248: 13.702294 b1996 - x6796 + x6797 <= 46 e57249: 13.702294 b1997 - x6797 + x6798 <= 46 e57250: 13.702294 b1998 - x6798 + x6799 <= 46 e57251: 13.702294 b1999 - x6799 + x6800 <= 46 e57252: 13.702294 b2000 - x6800 + x6801 <= 46 e57253: 13.702294 b2001 - x6801 + x6802 <= 46 e57254: 13.702294 b2002 - x6802 + x6803 <= 46 e57255: 13.702294 b2003 - x6803 + x6804 <= 46 e57256: 13.702294 b2004 - x6804 + x6805 <= 46 e57257: 13.702294 b2005 - x6805 + x6806 <= 46 e57258: 13.702294 b2006 - x6806 + x6807 <= 46 e57259: 13.702294 b2007 - x6807 + x6808 <= 46 e57260: 13.702294 b2008 - x6808 + x6809 <= 46 e57261: 13.702294 b2009 - x6809 + x6810 <= 46 e57262: 13.702294 b2010 - x6810 + x6811 <= 46 e57263: 13.702294 b2011 - x6811 + x6812 <= 46 e57264: 13.702294 b2012 - x6812 + x6813 <= 46 e57265: 13.702294 b2013 - x6813 + x6814 <= 46 e57266: 13.702294 b2014 - x6814 + x6815 <= 46 e57267: 13.702294 b2015 - x6815 + x6816 <= 46 e57268: 13.702294 b2016 - x6816 + x6817 <= 46 e57269: x6818 <= 74.273258 e57270: 16.386356 b2018 - x6818 + x6819 <= 41 e57271: 16.386356 b2019 - x6819 + x6820 <= 41 e57272: 16.386356 b2020 - x6820 + x6821 <= 41 e57273: 16.386356 b2021 - x6821 + x6822 <= 41 e57274: 16.386356 b2022 - x6822 + x6823 <= 41 e57275: 16.386356 b2023 - x6823 + x6824 <= 41 e57276: 16.386356 b2024 - x6824 + x6825 <= 41 e57277: 16.386356 b2025 - x6825 + x6826 <= 41 e57278: 16.386356 b2026 - x6826 + x6827 <= 41 e57279: 16.386356 b2027 - x6827 + x6828 <= 41 e57280: 16.386356 b2028 - x6828 + x6829 <= 41 e57281: 16.386356 b2029 - x6829 + x6830 <= 41 e57282: 16.386356 b2030 - x6830 + x6831 <= 41 e57283: 16.386356 b2031 - x6831 + x6832 <= 41 e57284: 16.386356 b2032 - x6832 + x6833 <= 41 e57285: 16.386356 b2033 - x6833 + x6834 <= 41 e57286: 16.386356 b2034 - x6834 + x6835 <= 41 e57287: 16.386356 b2035 - x6835 + x6836 <= 41 e57288: 16.386356 b2036 - x6836 + x6837 <= 41 e57289: 16.386356 b2037 - x6837 + x6838 <= 41 e57290: 16.386356 b2038 - x6838 + x6839 <= 41 e57291: 16.386356 b2039 - x6839 + x6840 <= 41 e57292: 16.386356 b2040 - x6840 + x6841 <= 41 e57293: x6842 <= 33 e57294: - 8.731226 b2042 - x6842 + x6843 <= 33 e57295: - 8.731226 b2043 - x6843 + x6844 <= 33 e57296: - 8.731226 b2044 - x6844 + x6845 <= 33 e57297: - 8.731226 b2045 - x6845 + x6846 <= 33 e57298: - 8.731226 b2046 - x6846 + x6847 <= 33 e57299: - 8.731226 b2047 - x6847 + x6848 <= 33 e57300: - 8.731226 b2048 - x6848 + x6849 <= 33 e57301: - 8.731226 b2049 - x6849 + x6850 <= 33 e57302: - 8.731226 b2050 - x6850 + x6851 <= 33 e57303: - 8.731226 b2051 - x6851 + x6852 <= 33 e57304: - 8.731226 b2052 - x6852 + x6853 <= 33 e57305: - 8.731226 b2053 - x6853 + x6854 <= 33 e57306: - 8.731226 b2054 - x6854 + x6855 <= 33 e57307: - 8.731226 b2055 - x6855 + x6856 <= 33 e57308: - 8.731226 b2056 - x6856 + x6857 <= 33 e57309: - 8.731226 b2057 - x6857 + x6858 <= 33 e57310: - 8.731226 b2058 - x6858 + x6859 <= 33 e57311: - 8.731226 b2059 - x6859 + x6860 <= 33 e57312: - 8.731226 b2060 - x6860 + x6861 <= 33 e57313: - 8.731226 b2061 - x6861 + x6862 <= 33 e57314: - 8.731226 b2062 - x6862 + x6863 <= 33 e57315: - 8.731226 b2063 - x6863 + x6864 <= 33 e57316: - 8.731226 b2064 - x6864 + x6865 <= 33 e57317: x6866 <= 31 e57318: - 9.443535 b2066 - x6866 + x6867 <= 31 e57319: - 9.443535 b2067 - x6867 + x6868 <= 31 e57320: - 9.443535 b2068 - x6868 + x6869 <= 31 e57321: - 9.443535 b2069 - x6869 + x6870 <= 31 e57322: - 9.443535 b2070 - x6870 + x6871 <= 31 e57323: - 9.443535 b2071 - x6871 + x6872 <= 31 e57324: - 9.443535 b2072 - x6872 + x6873 <= 31 e57325: - 9.443535 b2073 - x6873 + x6874 <= 31 e57326: - 9.443535 b2074 - x6874 + x6875 <= 31 e57327: - 9.443535 b2075 - x6875 + x6876 <= 31 e57328: - 9.443535 b2076 - x6876 + x6877 <= 31 e57329: - 9.443535 b2077 - x6877 + x6878 <= 31 e57330: - 9.443535 b2078 - x6878 + x6879 <= 31 e57331: - 9.443535 b2079 - x6879 + x6880 <= 31 e57332: - 9.443535 b2080 - x6880 + x6881 <= 31 e57333: - 9.443535 b2081 - x6881 + x6882 <= 31 e57334: - 9.443535 b2082 - x6882 + x6883 <= 31 e57335: - 9.443535 b2083 - x6883 + x6884 <= 31 e57336: - 9.443535 b2084 - x6884 + x6885 <= 31 e57337: - 9.443535 b2085 - x6885 + x6886 <= 31 e57338: - 9.443535 b2086 - x6886 + x6887 <= 31 e57339: - 9.443535 b2087 - x6887 + x6888 <= 31 e57340: - 9.443535 b2088 - x6888 + x6889 <= 31 e57341: x6890 <= 43 e57342: 9.554485 b2090 - x6890 + x6891 <= 43 e57343: 9.554485 b2091 - x6891 + x6892 <= 43 e57344: 9.554485 b2092 - x6892 + x6893 <= 43 e57345: 9.554485 b2093 - x6893 + x6894 <= 43 e57346: 9.554485 b2094 - x6894 + x6895 <= 43 e57347: 9.554485 b2095 - x6895 + x6896 <= 43 e57348: 9.554485 b2096 - x6896 + x6897 <= 43 e57349: 9.554485 b2097 - x6897 + x6898 <= 43 e57350: 9.554485 b2098 - x6898 + x6899 <= 43 e57351: 9.554485 b2099 - x6899 + x6900 <= 43 e57352: 9.554485 b2100 - x6900 + x6901 <= 43 e57353: 9.554485 b2101 - x6901 + x6902 <= 43 e57354: 9.554485 b2102 - x6902 + x6903 <= 43 e57355: 9.554485 b2103 - x6903 + x6904 <= 43 e57356: 9.554485 b2104 - x6904 + x6905 <= 43 e57357: 9.554485 b2105 - x6905 + x6906 <= 43 e57358: 9.554485 b2106 - x6906 + x6907 <= 43 e57359: 9.554485 b2107 - x6907 + x6908 <= 43 e57360: 9.554485 b2108 - x6908 + x6909 <= 43 e57361: 9.554485 b2109 - x6909 + x6910 <= 43 e57362: 9.554485 b2110 - x6910 + x6911 <= 43 e57363: 9.554485 b2111 - x6911 + x6912 <= 43 e57364: 9.554485 b2112 - x6912 + x6913 <= 43 e57365: x6914 <= 38 e57366: 4.836786 b2114 - x6914 + x6915 <= 38 e57367: 4.836786 b2115 - x6915 + x6916 <= 38 e57368: 4.836786 b2116 - x6916 + x6917 <= 38 e57369: 4.836786 b2117 - x6917 + x6918 <= 38 e57370: 4.836786 b2118 - x6918 + x6919 <= 38 e57371: 4.836786 b2119 - x6919 + x6920 <= 38 e57372: 4.836786 b2120 - x6920 + x6921 <= 38 e57373: 4.836786 b2121 - x6921 + x6922 <= 38 e57374: 4.836786 b2122 - x6922 + x6923 <= 38 e57375: 4.836786 b2123 - x6923 + x6924 <= 38 e57376: 4.836786 b2124 - x6924 + x6925 <= 38 e57377: 4.836786 b2125 - x6925 + x6926 <= 38 e57378: 4.836786 b2126 - x6926 + x6927 <= 38 e57379: 4.836786 b2127 - x6927 + x6928 <= 38 e57380: 4.836786 b2128 - x6928 + x6929 <= 38 e57381: 4.836786 b2129 - x6929 + x6930 <= 38 e57382: 4.836786 b2130 - x6930 + x6931 <= 38 e57383: 4.836786 b2131 - x6931 + x6932 <= 38 e57384: 4.836786 b2132 - x6932 + x6933 <= 38 e57385: 4.836786 b2133 - x6933 + x6934 <= 38 e57386: 4.836786 b2134 - x6934 + x6935 <= 38 e57387: 4.836786 b2135 - x6935 + x6936 <= 38 e57388: 4.836786 b2136 - x6936 + x6937 <= 38 e57389: x6938 <= 44 e57390: 18.77862 b2138 - x6938 + x6939 <= 44 e57391: 18.77862 b2139 - x6939 + x6940 <= 44 e57392: 18.77862 b2140 - x6940 + x6941 <= 44 e57393: 18.77862 b2141 - x6941 + x6942 <= 44 e57394: 18.77862 b2142 - x6942 + x6943 <= 44 e57395: 18.77862 b2143 - x6943 + x6944 <= 44 e57396: 18.77862 b2144 - x6944 + x6945 <= 44 e57397: 18.77862 b2145 - x6945 + x6946 <= 44 e57398: 18.77862 b2146 - x6946 + x6947 <= 44 e57399: 18.77862 b2147 - x6947 + x6948 <= 44 e57400: 18.77862 b2148 - x6948 + x6949 <= 44 e57401: 18.77862 b2149 - x6949 + x6950 <= 44 e57402: 18.77862 b2150 - x6950 + x6951 <= 44 e57403: 18.77862 b2151 - x6951 + x6952 <= 44 e57404: 18.77862 b2152 - x6952 + x6953 <= 44 e57405: 18.77862 b2153 - x6953 + x6954 <= 44 e57406: 18.77862 b2154 - x6954 + x6955 <= 44 e57407: 18.77862 b2155 - x6955 + x6956 <= 44 e57408: 18.77862 b2156 - x6956 + x6957 <= 44 e57409: 18.77862 b2157 - x6957 + x6958 <= 44 e57410: 18.77862 b2158 - x6958 + x6959 <= 44 e57411: 18.77862 b2159 - x6959 + x6960 <= 44 e57412: 18.77862 b2160 - x6960 + x6961 <= 44 e57413: x6962 <= 37 e57414: - 1.789572 b2162 - x6962 + x6963 <= 37 e57415: - 1.789572 b2163 - x6963 + x6964 <= 37 e57416: - 1.789572 b2164 - x6964 + x6965 <= 37 e57417: - 1.789572 b2165 - x6965 + x6966 <= 37 e57418: - 1.789572 b2166 - x6966 + x6967 <= 37 e57419: - 1.789572 b2167 - x6967 + x6968 <= 37 e57420: - 1.789572 b2168 - x6968 + x6969 <= 37 e57421: - 1.789572 b2169 - x6969 + x6970 <= 37 e57422: - 1.789572 b2170 - x6970 + x6971 <= 37 e57423: - 1.789572 b2171 - x6971 + x6972 <= 37 e57424: - 1.789572 b2172 - x6972 + x6973 <= 37 e57425: - 1.789572 b2173 - x6973 + x6974 <= 37 e57426: - 1.789572 b2174 - x6974 + x6975 <= 37 e57427: - 1.789572 b2175 - x6975 + x6976 <= 37 e57428: - 1.789572 b2176 - x6976 + x6977 <= 37 e57429: - 1.789572 b2177 - x6977 + x6978 <= 37 e57430: - 1.789572 b2178 - x6978 + x6979 <= 37 e57431: - 1.789572 b2179 - x6979 + x6980 <= 37 e57432: - 1.789572 b2180 - x6980 + x6981 <= 37 e57433: - 1.789572 b2181 - x6981 + x6982 <= 37 e57434: - 1.789572 b2182 - x6982 + x6983 <= 37 e57435: - 1.789572 b2183 - x6983 + x6984 <= 37 e57436: - 1.789572 b2184 - x6984 + x6985 <= 37 e57437: x6986 <= 122.530531 e57438: 13.359558 b2186 - x6986 + x6987 <= 44 e57439: 13.359558 b2187 - x6987 + x6988 <= 44 e57440: 13.359558 b2188 - x6988 + x6989 <= 44 e57441: 13.359558 b2189 - x6989 + x6990 <= 44 e57442: 13.359558 b2190 - x6990 + x6991 <= 44 e57443: 13.359558 b2191 - x6991 + x6992 <= 44 e57444: 13.359558 b2192 - x6992 + x6993 <= 44 e57445: 13.359558 b2193 - x6993 + x6994 <= 44 e57446: 13.359558 b2194 - x6994 + x6995 <= 44 e57447: 13.359558 b2195 - x6995 + x6996 <= 44 e57448: 13.359558 b2196 - x6996 + x6997 <= 44 e57449: 13.359558 b2197 - x6997 + x6998 <= 44 e57450: 13.359558 b2198 - x6998 + x6999 <= 44 e57451: 13.359558 b2199 - x6999 + x7000 <= 44 e57452: 13.359558 b2200 - x7000 + x7001 <= 44 e57453: 13.359558 b2201 - x7001 + x7002 <= 44 e57454: 13.359558 b2202 - x7002 + x7003 <= 44 e57455: 13.359558 b2203 - x7003 + x7004 <= 44 e57456: 13.359558 b2204 - x7004 + x7005 <= 44 e57457: 13.359558 b2205 - x7005 + x7006 <= 44 e57458: 13.359558 b2206 - x7006 + x7007 <= 44 e57459: 13.359558 b2207 - x7007 + x7008 <= 44 e57460: 13.359558 b2208 - x7008 + x7009 <= 44 e57461: x7010 <= 125.50087 e57462: 7.213677 b2210 - x7010 + x7011 <= 41 e57463: 7.213677 b2211 - x7011 + x7012 <= 41 e57464: 7.213677 b2212 - x7012 + x7013 <= 41 e57465: 7.213677 b2213 - x7013 + x7014 <= 41 e57466: 7.213677 b2214 - x7014 + x7015 <= 41 e57467: 7.213677 b2215 - x7015 + x7016 <= 41 e57468: 7.213677 b2216 - x7016 + x7017 <= 41 e57469: 7.213677 b2217 - x7017 + x7018 <= 41 e57470: 7.213677 b2218 - x7018 + x7019 <= 41 e57471: 7.213677 b2219 - x7019 + x7020 <= 41 e57472: 7.213677 b2220 - x7020 + x7021 <= 41 e57473: 7.213677 b2221 - x7021 + x7022 <= 41 e57474: 7.213677 b2222 - x7022 + x7023 <= 41 e57475: 7.213677 b2223 - x7023 + x7024 <= 41 e57476: 7.213677 b2224 - x7024 + x7025 <= 41 e57477: 7.213677 b2225 - x7025 + x7026 <= 41 e57478: 7.213677 b2226 - x7026 + x7027 <= 41 e57479: 7.213677 b2227 - x7027 + x7028 <= 41 e57480: 7.213677 b2228 - x7028 + x7029 <= 41 e57481: 7.213677 b2229 - x7029 + x7030 <= 41 e57482: 7.213677 b2230 - x7030 + x7031 <= 41 e57483: 7.213677 b2231 - x7031 + x7032 <= 41 e57484: 7.213677 b2232 - x7032 + x7033 <= 41 e57485: x7034 <= 102.903476 e57486: 19.210671 b2234 - x7034 + x7035 <= 46 e57487: 19.210671 b2235 - x7035 + x7036 <= 46 e57488: 19.210671 b2236 - x7036 + x7037 <= 46 e57489: 19.210671 b2237 - x7037 + x7038 <= 46 e57490: 19.210671 b2238 - x7038 + x7039 <= 46 e57491: 19.210671 b2239 - x7039 + x7040 <= 46 e57492: 19.210671 b2240 - x7040 + x7041 <= 46 e57493: 19.210671 b2241 - x7041 + x7042 <= 46 e57494: 19.210671 b2242 - x7042 + x7043 <= 46 e57495: 19.210671 b2243 - x7043 + x7044 <= 46 e57496: 19.210671 b2244 - x7044 + x7045 <= 46 e57497: 19.210671 b2245 - x7045 + x7046 <= 46 e57498: 19.210671 b2246 - x7046 + x7047 <= 46 e57499: 19.210671 b2247 - x7047 + x7048 <= 46 e57500: 19.210671 b2248 - x7048 + x7049 <= 46 e57501: 19.210671 b2249 - x7049 + x7050 <= 46 e57502: 19.210671 b2250 - x7050 + x7051 <= 46 e57503: 19.210671 b2251 - x7051 + x7052 <= 46 e57504: 19.210671 b2252 - x7052 + x7053 <= 46 e57505: 19.210671 b2253 - x7053 + x7054 <= 46 e57506: 19.210671 b2254 - x7054 + x7055 <= 46 e57507: 19.210671 b2255 - x7055 + x7056 <= 46 e57508: 19.210671 b2256 - x7056 + x7057 <= 46 e57509: x7058 <= 46 e57510: 20.367076 b2258 - x7058 + x7059 <= 46 e57511: 20.367076 b2259 - x7059 + x7060 <= 46 e57512: 20.367076 b2260 - x7060 + x7061 <= 46 e57513: 20.367076 b2261 - x7061 + x7062 <= 46 e57514: 20.367076 b2262 - x7062 + x7063 <= 46 e57515: 20.367076 b2263 - x7063 + x7064 <= 46 e57516: 20.367076 b2264 - x7064 + x7065 <= 46 e57517: 20.367076 b2265 - x7065 + x7066 <= 46 e57518: 20.367076 b2266 - x7066 + x7067 <= 46 e57519: 20.367076 b2267 - x7067 + x7068 <= 46 e57520: 20.367076 b2268 - x7068 + x7069 <= 46 e57521: 20.367076 b2269 - x7069 + x7070 <= 46 e57522: 20.367076 b2270 - x7070 + x7071 <= 46 e57523: 20.367076 b2271 - x7071 + x7072 <= 46 e57524: 20.367076 b2272 - x7072 + x7073 <= 46 e57525: 20.367076 b2273 - x7073 + x7074 <= 46 e57526: 20.367076 b2274 - x7074 + x7075 <= 46 e57527: 20.367076 b2275 - x7075 + x7076 <= 46 e57528: 20.367076 b2276 - x7076 + x7077 <= 46 e57529: 20.367076 b2277 - x7077 + x7078 <= 46 e57530: 20.367076 b2278 - x7078 + x7079 <= 46 e57531: 20.367076 b2279 - x7079 + x7080 <= 46 e57532: 20.367076 b2280 - x7080 + x7081 <= 46 e57533: x7082 <= 128.535406 e57534: - 3.820549 b2282 - x7082 + x7083 <= 33 e57535: - 3.820549 b2283 - x7083 + x7084 <= 33 e57536: - 3.820549 b2284 - x7084 + x7085 <= 33 e57537: - 3.820549 b2285 - x7085 + x7086 <= 33 e57538: - 3.820549 b2286 - x7086 + x7087 <= 33 e57539: - 3.820549 b2287 - x7087 + x7088 <= 33 e57540: - 3.820549 b2288 - x7088 + x7089 <= 33 e57541: - 3.820549 b2289 - x7089 + x7090 <= 33 e57542: - 3.820549 b2290 - x7090 + x7091 <= 33 e57543: - 3.820549 b2291 - x7091 + x7092 <= 33 e57544: - 3.820549 b2292 - x7092 + x7093 <= 33 e57545: - 3.820549 b2293 - x7093 + x7094 <= 33 e57546: - 3.820549 b2294 - x7094 + x7095 <= 33 e57547: - 3.820549 b2295 - x7095 + x7096 <= 33 e57548: - 3.820549 b2296 - x7096 + x7097 <= 33 e57549: - 3.820549 b2297 - x7097 + x7098 <= 33 e57550: - 3.820549 b2298 - x7098 + x7099 <= 33 e57551: - 3.820549 b2299 - x7099 + x7100 <= 33 e57552: - 3.820549 b2300 - x7100 + x7101 <= 33 e57553: - 3.820549 b2301 - x7101 + x7102 <= 33 e57554: - 3.820549 b2302 - x7102 + x7103 <= 33 e57555: - 3.820549 b2303 - x7103 + x7104 <= 33 e57556: - 3.820549 b2304 - x7104 + x7105 <= 33 e57557: x7106 <= 36 e57558: 5.121807 b2306 - x7106 + x7107 <= 36 e57559: 5.121807 b2307 - x7107 + x7108 <= 36 e57560: 5.121807 b2308 - x7108 + x7109 <= 36 e57561: 5.121807 b2309 - x7109 + x7110 <= 36 e57562: 5.121807 b2310 - x7110 + x7111 <= 36 e57563: 5.121807 b2311 - x7111 + x7112 <= 36 e57564: 5.121807 b2312 - x7112 + x7113 <= 36 e57565: 5.121807 b2313 - x7113 + x7114 <= 36 e57566: 5.121807 b2314 - x7114 + x7115 <= 36 e57567: 5.121807 b2315 - x7115 + x7116 <= 36 e57568: 5.121807 b2316 - x7116 + x7117 <= 36 e57569: 5.121807 b2317 - x7117 + x7118 <= 36 e57570: 5.121807 b2318 - x7118 + x7119 <= 36 e57571: 5.121807 b2319 - x7119 + x7120 <= 36 e57572: 5.121807 b2320 - x7120 + x7121 <= 36 e57573: 5.121807 b2321 - x7121 + x7122 <= 36 e57574: 5.121807 b2322 - x7122 + x7123 <= 36 e57575: 5.121807 b2323 - x7123 + x7124 <= 36 e57576: 5.121807 b2324 - x7124 + x7125 <= 36 e57577: 5.121807 b2325 - x7125 + x7126 <= 36 e57578: 5.121807 b2326 - x7126 + x7127 <= 36 e57579: 5.121807 b2327 - x7127 + x7128 <= 36 e57580: 5.121807 b2328 - x7128 + x7129 <= 36 e57581: x7130 <= 94.200436 e57582: 0.608268 b2330 - x7130 + x7131 <= 30 e57583: 0.608268 b2331 - x7131 + x7132 <= 30 e57584: 0.608268 b2332 - x7132 + x7133 <= 30 e57585: 0.608268 b2333 - x7133 + x7134 <= 30 e57586: 0.608268 b2334 - x7134 + x7135 <= 30 e57587: 0.608268 b2335 - x7135 + x7136 <= 30 e57588: 0.608268 b2336 - x7136 + x7137 <= 30 e57589: 0.608268 b2337 - x7137 + x7138 <= 30 e57590: 0.608268 b2338 - x7138 + x7139 <= 30 e57591: 0.608268 b2339 - x7139 + x7140 <= 30 e57592: 0.608268 b2340 - x7140 + x7141 <= 30 e57593: 0.608268 b2341 - x7141 + x7142 <= 30 e57594: 0.608268 b2342 - x7142 + x7143 <= 30 e57595: 0.608268 b2343 - x7143 + x7144 <= 30 e57596: 0.608268 b2344 - x7144 + x7145 <= 30 e57597: 0.608268 b2345 - x7145 + x7146 <= 30 e57598: 0.608268 b2346 - x7146 + x7147 <= 30 e57599: 0.608268 b2347 - x7147 + x7148 <= 30 e57600: 0.608268 b2348 - x7148 + x7149 <= 30 e57601: 0.608268 b2349 - x7149 + x7150 <= 30 e57602: 0.608268 b2350 - x7150 + x7151 <= 30 e57603: 0.608268 b2351 - x7151 + x7152 <= 30 e57604: 0.608268 b2352 - x7152 + x7153 <= 30 e57605: x7154 <= 102.830074 e57606: 0.590637 b2354 - x7154 + x7155 <= 35 e57607: 0.590637 b2355 - x7155 + x7156 <= 35 e57608: 0.590637 b2356 - x7156 + x7157 <= 35 e57609: 0.590637 b2357 - x7157 + x7158 <= 35 e57610: 0.590637 b2358 - x7158 + x7159 <= 35 e57611: 0.590637 b2359 - x7159 + x7160 <= 35 e57612: 0.590637 b2360 - x7160 + x7161 <= 35 e57613: 0.590637 b2361 - x7161 + x7162 <= 35 e57614: 0.590637 b2362 - x7162 + x7163 <= 35 e57615: 0.590637 b2363 - x7163 + x7164 <= 35 e57616: 0.590637 b2364 - x7164 + x7165 <= 35 e57617: 0.590637 b2365 - x7165 + x7166 <= 35 e57618: 0.590637 b2366 - x7166 + x7167 <= 35 e57619: 0.590637 b2367 - x7167 + x7168 <= 35 e57620: 0.590637 b2368 - x7168 + x7169 <= 35 e57621: 0.590637 b2369 - x7169 + x7170 <= 35 e57622: 0.590637 b2370 - x7170 + x7171 <= 35 e57623: 0.590637 b2371 - x7171 + x7172 <= 35 e57624: 0.590637 b2372 - x7172 + x7173 <= 35 e57625: 0.590637 b2373 - x7173 + x7174 <= 35 e57626: 0.590637 b2374 - x7174 + x7175 <= 35 e57627: 0.590637 b2375 - x7175 + x7176 <= 35 e57628: 0.590637 b2376 - x7176 + x7177 <= 35 e57629: x7178 <= 38 e57630: 15.19126 b2378 - x7178 + x7179 <= 38 e57631: 15.19126 b2379 - x7179 + x7180 <= 38 e57632: 15.19126 b2380 - x7180 + x7181 <= 38 e57633: 15.19126 b2381 - x7181 + x7182 <= 38 e57634: 15.19126 b2382 - x7182 + x7183 <= 38 e57635: 15.19126 b2383 - x7183 + x7184 <= 38 e57636: 15.19126 b2384 - x7184 + x7185 <= 38 e57637: 15.19126 b2385 - x7185 + x7186 <= 38 e57638: 15.19126 b2386 - x7186 + x7187 <= 38 e57639: 15.19126 b2387 - x7187 + x7188 <= 38 e57640: 15.19126 b2388 - x7188 + x7189 <= 38 e57641: 15.19126 b2389 - x7189 + x7190 <= 38 e57642: 15.19126 b2390 - x7190 + x7191 <= 38 e57643: 15.19126 b2391 - x7191 + x7192 <= 38 e57644: 15.19126 b2392 - x7192 + x7193 <= 38 e57645: 15.19126 b2393 - x7193 + x7194 <= 38 e57646: 15.19126 b2394 - x7194 + x7195 <= 38 e57647: 15.19126 b2395 - x7195 + x7196 <= 38 e57648: 15.19126 b2396 - x7196 + x7197 <= 38 e57649: 15.19126 b2397 - x7197 + x7198 <= 38 e57650: 15.19126 b2398 - x7198 + x7199 <= 38 e57651: 15.19126 b2399 - x7199 + x7200 <= 38 e57652: 15.19126 b2400 - x7200 + x7201 <= 38 e57653: x7202 <= 136.728935 e57654: 6.175668 b2402 - x7202 + x7203 <= 54 e57655: 6.175668 b2403 - x7203 + x7204 <= 54 e57656: 6.175668 b2404 - x7204 + x7205 <= 54 e57657: 6.175668 b2405 - x7205 + x7206 <= 54 e57658: 6.175668 b2406 - x7206 + x7207 <= 54 e57659: 6.175668 b2407 - x7207 + x7208 <= 54 e57660: 6.175668 b2408 - x7208 + x7209 <= 54 e57661: 6.175668 b2409 - x7209 + x7210 <= 54 e57662: 6.175668 b2410 - x7210 + x7211 <= 54 e57663: 6.175668 b2411 - x7211 + x7212 <= 54 e57664: 6.175668 b2412 - x7212 + x7213 <= 54 e57665: 6.175668 b2413 - x7213 + x7214 <= 54 e57666: 6.175668 b2414 - x7214 + x7215 <= 54 e57667: 6.175668 b2415 - x7215 + x7216 <= 54 e57668: 6.175668 b2416 - x7216 + x7217 <= 54 e57669: 6.175668 b2417 - x7217 + x7218 <= 54 e57670: 6.175668 b2418 - x7218 + x7219 <= 54 e57671: 6.175668 b2419 - x7219 + x7220 <= 54 e57672: 6.175668 b2420 - x7220 + x7221 <= 54 e57673: 6.175668 b2421 - x7221 + x7222 <= 54 e57674: 6.175668 b2422 - x7222 + x7223 <= 54 e57675: 6.175668 b2423 - x7223 + x7224 <= 54 e57676: 6.175668 b2424 - x7224 + x7225 <= 54 e57677: x7226 <= 192.211563 e57678: 6.934035 b2426 - x7226 + x7227 <= 58 e57679: 6.934035 b2427 - x7227 + x7228 <= 58 e57680: 6.934035 b2428 - x7228 + x7229 <= 58 e57681: 6.934035 b2429 - x7229 + x7230 <= 58 e57682: 6.934035 b2430 - x7230 + x7231 <= 58 e57683: 6.934035 b2431 - x7231 + x7232 <= 58 e57684: 6.934035 b2432 - x7232 + x7233 <= 58 e57685: 6.934035 b2433 - x7233 + x7234 <= 58 e57686: 6.934035 b2434 - x7234 + x7235 <= 58 e57687: 6.934035 b2435 - x7235 + x7236 <= 58 e57688: 6.934035 b2436 - x7236 + x7237 <= 58 e57689: 6.934035 b2437 - x7237 + x7238 <= 58 e57690: 6.934035 b2438 - x7238 + x7239 <= 58 e57691: 6.934035 b2439 - x7239 + x7240 <= 58 e57692: 6.934035 b2440 - x7240 + x7241 <= 58 e57693: 6.934035 b2441 - x7241 + x7242 <= 58 e57694: 6.934035 b2442 - x7242 + x7243 <= 58 e57695: 6.934035 b2443 - x7243 + x7244 <= 58 e57696: 6.934035 b2444 - x7244 + x7245 <= 58 e57697: 6.934035 b2445 - x7245 + x7246 <= 58 e57698: 6.934035 b2446 - x7246 + x7247 <= 58 e57699: 6.934035 b2447 - x7247 + x7248 <= 58 e57700: 6.934035 b2448 - x7248 + x7249 <= 58 e57701: x7250 <= 53 e57702: - 21.191891 b2450 - x7250 + x7251 <= 53 e57703: - 21.191891 b2451 - x7251 + x7252 <= 53 e57704: - 21.191891 b2452 - x7252 + x7253 <= 53 e57705: - 21.191891 b2453 - x7253 + x7254 <= 53 e57706: - 21.191891 b2454 - x7254 + x7255 <= 53 e57707: - 21.191891 b2455 - x7255 + x7256 <= 53 e57708: - 21.191891 b2456 - x7256 + x7257 <= 53 e57709: - 21.191891 b2457 - x7257 + x7258 <= 53 e57710: - 21.191891 b2458 - x7258 + x7259 <= 53 e57711: - 21.191891 b2459 - x7259 + x7260 <= 53 e57712: - 21.191891 b2460 - x7260 + x7261 <= 53 e57713: - 21.191891 b2461 - x7261 + x7262 <= 53 e57714: - 21.191891 b2462 - x7262 + x7263 <= 53 e57715: - 21.191891 b2463 - x7263 + x7264 <= 53 e57716: - 21.191891 b2464 - x7264 + x7265 <= 53 e57717: - 21.191891 b2465 - x7265 + x7266 <= 53 e57718: - 21.191891 b2466 - x7266 + x7267 <= 53 e57719: - 21.191891 b2467 - x7267 + x7268 <= 53 e57720: - 21.191891 b2468 - x7268 + x7269 <= 53 e57721: - 21.191891 b2469 - x7269 + x7270 <= 53 e57722: - 21.191891 b2470 - x7270 + x7271 <= 53 e57723: - 21.191891 b2471 - x7271 + x7272 <= 53 e57724: - 21.191891 b2472 - x7272 + x7273 <= 53 e57725: x7274 <= 148.839158 e57726: 19.254241 b2474 - x7274 + x7275 <= 70 e57727: 19.254241 b2475 - x7275 + x7276 <= 70 e57728: 19.254241 b2476 - x7276 + x7277 <= 70 e57729: 19.254241 b2477 - x7277 + x7278 <= 70 e57730: 19.254241 b2478 - x7278 + x7279 <= 70 e57731: 19.254241 b2479 - x7279 + x7280 <= 70 e57732: 19.254241 b2480 - x7280 + x7281 <= 70 e57733: 19.254241 b2481 - x7281 + x7282 <= 70 e57734: 19.254241 b2482 - x7282 + x7283 <= 70 e57735: 19.254241 b2483 - x7283 + x7284 <= 70 e57736: 19.254241 b2484 - x7284 + x7285 <= 70 e57737: 19.254241 b2485 - x7285 + x7286 <= 70 e57738: 19.254241 b2486 - x7286 + x7287 <= 70 e57739: 19.254241 b2487 - x7287 + x7288 <= 70 e57740: 19.254241 b2488 - x7288 + x7289 <= 70 e57741: 19.254241 b2489 - x7289 + x7290 <= 70 e57742: 19.254241 b2490 - x7290 + x7291 <= 70 e57743: 19.254241 b2491 - x7291 + x7292 <= 70 e57744: 19.254241 b2492 - x7292 + x7293 <= 70 e57745: 19.254241 b2493 - x7293 + x7294 <= 70 e57746: 19.254241 b2494 - x7294 + x7295 <= 70 e57747: 19.254241 b2495 - x7295 + x7296 <= 70 e57748: 19.254241 b2496 - x7296 + x7297 <= 70 e57749: x7298 <= 60 e57750: 14.574186 b2498 - x7298 + x7299 <= 60 e57751: 14.574186 b2499 - x7299 + x7300 <= 60 e57752: 14.574186 b2500 - x7300 + x7301 <= 60 e57753: 14.574186 b2501 - x7301 + x7302 <= 60 e57754: 14.574186 b2502 - x7302 + x7303 <= 60 e57755: 14.574186 b2503 - x7303 + x7304 <= 60 e57756: 14.574186 b2504 - x7304 + x7305 <= 60 e57757: 14.574186 b2505 - x7305 + x7306 <= 60 e57758: 14.574186 b2506 - x7306 + x7307 <= 60 e57759: 14.574186 b2507 - x7307 + x7308 <= 60 e57760: 14.574186 b2508 - x7308 + x7309 <= 60 e57761: 14.574186 b2509 - x7309 + x7310 <= 60 e57762: 14.574186 b2510 - x7310 + x7311 <= 60 e57763: 14.574186 b2511 - x7311 + x7312 <= 60 e57764: 14.574186 b2512 - x7312 + x7313 <= 60 e57765: 14.574186 b2513 - x7313 + x7314 <= 60 e57766: 14.574186 b2514 - x7314 + x7315 <= 60 e57767: 14.574186 b2515 - x7315 + x7316 <= 60 e57768: 14.574186 b2516 - x7316 + x7317 <= 60 e57769: 14.574186 b2517 - x7317 + x7318 <= 60 e57770: 14.574186 b2518 - x7318 + x7319 <= 60 e57771: 14.574186 b2519 - x7319 + x7320 <= 60 e57772: 14.574186 b2520 - x7320 + x7321 <= 60 e57773: x7322 <= 179.185729 e57774: 13.041745 b2522 - x7322 + x7323 <= 70 e57775: 13.041745 b2523 - x7323 + x7324 <= 70 e57776: 13.041745 b2524 - x7324 + x7325 <= 70 e57777: 13.041745 b2525 - x7325 + x7326 <= 70 e57778: 13.041745 b2526 - x7326 + x7327 <= 70 e57779: 13.041745 b2527 - x7327 + x7328 <= 70 e57780: 13.041745 b2528 - x7328 + x7329 <= 70 e57781: 13.041745 b2529 - x7329 + x7330 <= 70 e57782: 13.041745 b2530 - x7330 + x7331 <= 70 e57783: 13.041745 b2531 - x7331 + x7332 <= 70 e57784: 13.041745 b2532 - x7332 + x7333 <= 70 e57785: 13.041745 b2533 - x7333 + x7334 <= 70 e57786: 13.041745 b2534 - x7334 + x7335 <= 70 e57787: 13.041745 b2535 - x7335 + x7336 <= 70 e57788: 13.041745 b2536 - x7336 + x7337 <= 70 e57789: 13.041745 b2537 - x7337 + x7338 <= 70 e57790: 13.041745 b2538 - x7338 + x7339 <= 70 e57791: 13.041745 b2539 - x7339 + x7340 <= 70 e57792: 13.041745 b2540 - x7340 + x7341 <= 70 e57793: 13.041745 b2541 - x7341 + x7342 <= 70 e57794: 13.041745 b2542 - x7342 + x7343 <= 70 e57795: 13.041745 b2543 - x7343 + x7344 <= 70 e57796: 13.041745 b2544 - x7344 + x7345 <= 70 e57797: x7346 <= 57 e57798: - 2.743479 b2546 - x7346 + x7347 <= 57 e57799: - 2.743479 b2547 - x7347 + x7348 <= 57 e57800: - 2.743479 b2548 - x7348 + x7349 <= 57 e57801: - 2.743479 b2549 - x7349 + x7350 <= 57 e57802: - 2.743479 b2550 - x7350 + x7351 <= 57 e57803: - 2.743479 b2551 - x7351 + x7352 <= 57 e57804: - 2.743479 b2552 - x7352 + x7353 <= 57 e57805: - 2.743479 b2553 - x7353 + x7354 <= 57 e57806: - 2.743479 b2554 - x7354 + x7355 <= 57 e57807: - 2.743479 b2555 - x7355 + x7356 <= 57 e57808: - 2.743479 b2556 - x7356 + x7357 <= 57 e57809: - 2.743479 b2557 - x7357 + x7358 <= 57 e57810: - 2.743479 b2558 - x7358 + x7359 <= 57 e57811: - 2.743479 b2559 - x7359 + x7360 <= 57 e57812: - 2.743479 b2560 - x7360 + x7361 <= 57 e57813: - 2.743479 b2561 - x7361 + x7362 <= 57 e57814: - 2.743479 b2562 - x7362 + x7363 <= 57 e57815: - 2.743479 b2563 - x7363 + x7364 <= 57 e57816: - 2.743479 b2564 - x7364 + x7365 <= 57 e57817: - 2.743479 b2565 - x7365 + x7366 <= 57 e57818: - 2.743479 b2566 - x7366 + x7367 <= 57 e57819: - 2.743479 b2567 - x7367 + x7368 <= 57 e57820: - 2.743479 b2568 - x7368 + x7369 <= 57 e57821: x7370 <= 67 e57822: 14.047145 b2570 - x7370 + x7371 <= 67 e57823: 14.047145 b2571 - x7371 + x7372 <= 67 e57824: 14.047145 b2572 - x7372 + x7373 <= 67 e57825: 14.047145 b2573 - x7373 + x7374 <= 67 e57826: 14.047145 b2574 - x7374 + x7375 <= 67 e57827: 14.047145 b2575 - x7375 + x7376 <= 67 e57828: 14.047145 b2576 - x7376 + x7377 <= 67 e57829: 14.047145 b2577 - x7377 + x7378 <= 67 e57830: 14.047145 b2578 - x7378 + x7379 <= 67 e57831: 14.047145 b2579 - x7379 + x7380 <= 67 e57832: 14.047145 b2580 - x7380 + x7381 <= 67 e57833: 14.047145 b2581 - x7381 + x7382 <= 67 e57834: 14.047145 b2582 - x7382 + x7383 <= 67 e57835: 14.047145 b2583 - x7383 + x7384 <= 67 e57836: 14.047145 b2584 - x7384 + x7385 <= 67 e57837: 14.047145 b2585 - x7385 + x7386 <= 67 e57838: 14.047145 b2586 - x7386 + x7387 <= 67 e57839: 14.047145 b2587 - x7387 + x7388 <= 67 e57840: 14.047145 b2588 - x7388 + x7389 <= 67 e57841: 14.047145 b2589 - x7389 + x7390 <= 67 e57842: 14.047145 b2590 - x7390 + x7391 <= 67 e57843: 14.047145 b2591 - x7391 + x7392 <= 67 e57844: 14.047145 b2592 - x7392 + x7393 <= 67 e57845: x7394 <= 135.889499 e57846: 12.775367 b2594 - x7394 + x7395 <= 56 e57847: 12.775367 b2595 - x7395 + x7396 <= 56 e57848: 12.775367 b2596 - x7396 + x7397 <= 56 e57849: 12.775367 b2597 - x7397 + x7398 <= 56 e57850: 12.775367 b2598 - x7398 + x7399 <= 56 e57851: 12.775367 b2599 - x7399 + x7400 <= 56 e57852: 12.775367 b2600 - x7400 + x7401 <= 56 e57853: 12.775367 b2601 - x7401 + x7402 <= 56 e57854: 12.775367 b2602 - x7402 + x7403 <= 56 e57855: 12.775367 b2603 - x7403 + x7404 <= 56 e57856: 12.775367 b2604 - x7404 + x7405 <= 56 e57857: 12.775367 b2605 - x7405 + x7406 <= 56 e57858: 12.775367 b2606 - x7406 + x7407 <= 56 e57859: 12.775367 b2607 - x7407 + x7408 <= 56 e57860: 12.775367 b2608 - x7408 + x7409 <= 56 e57861: 12.775367 b2609 - x7409 + x7410 <= 56 e57862: 12.775367 b2610 - x7410 + x7411 <= 56 e57863: 12.775367 b2611 - x7411 + x7412 <= 56 e57864: 12.775367 b2612 - x7412 + x7413 <= 56 e57865: 12.775367 b2613 - x7413 + x7414 <= 56 e57866: 12.775367 b2614 - x7414 + x7415 <= 56 e57867: 12.775367 b2615 - x7415 + x7416 <= 56 e57868: 12.775367 b2616 - x7416 + x7417 <= 56 e57869: x7418 <= 61 e57870: - 2.319512 b2618 - x7418 + x7419 <= 61 e57871: - 2.319512 b2619 - x7419 + x7420 <= 61 e57872: - 2.319512 b2620 - x7420 + x7421 <= 61 e57873: - 2.319512 b2621 - x7421 + x7422 <= 61 e57874: - 2.319512 b2622 - x7422 + x7423 <= 61 e57875: - 2.319512 b2623 - x7423 + x7424 <= 61 e57876: - 2.319512 b2624 - x7424 + x7425 <= 61 e57877: - 2.319512 b2625 - x7425 + x7426 <= 61 e57878: - 2.319512 b2626 - x7426 + x7427 <= 61 e57879: - 2.319512 b2627 - x7427 + x7428 <= 61 e57880: - 2.319512 b2628 - x7428 + x7429 <= 61 e57881: - 2.319512 b2629 - x7429 + x7430 <= 61 e57882: - 2.319512 b2630 - x7430 + x7431 <= 61 e57883: - 2.319512 b2631 - x7431 + x7432 <= 61 e57884: - 2.319512 b2632 - x7432 + x7433 <= 61 e57885: - 2.319512 b2633 - x7433 + x7434 <= 61 e57886: - 2.319512 b2634 - x7434 + x7435 <= 61 e57887: - 2.319512 b2635 - x7435 + x7436 <= 61 e57888: - 2.319512 b2636 - x7436 + x7437 <= 61 e57889: - 2.319512 b2637 - x7437 + x7438 <= 61 e57890: - 2.319512 b2638 - x7438 + x7439 <= 61 e57891: - 2.319512 b2639 - x7439 + x7440 <= 61 e57892: - 2.319512 b2640 - x7440 + x7441 <= 61 e57893: x7442 <= 58 e57894: 4.963836 b2642 - x7442 + x7443 <= 58 e57895: 4.963836 b2643 - x7443 + x7444 <= 58 e57896: 4.963836 b2644 - x7444 + x7445 <= 58 e57897: 4.963836 b2645 - x7445 + x7446 <= 58 e57898: 4.963836 b2646 - x7446 + x7447 <= 58 e57899: 4.963836 b2647 - x7447 + x7448 <= 58 e57900: 4.963836 b2648 - x7448 + x7449 <= 58 e57901: 4.963836 b2649 - x7449 + x7450 <= 58 e57902: 4.963836 b2650 - x7450 + x7451 <= 58 e57903: 4.963836 b2651 - x7451 + x7452 <= 58 e57904: 4.963836 b2652 - x7452 + x7453 <= 58 e57905: 4.963836 b2653 - x7453 + x7454 <= 58 e57906: 4.963836 b2654 - x7454 + x7455 <= 58 e57907: 4.963836 b2655 - x7455 + x7456 <= 58 e57908: 4.963836 b2656 - x7456 + x7457 <= 58 e57909: 4.963836 b2657 - x7457 + x7458 <= 58 e57910: 4.963836 b2658 - x7458 + x7459 <= 58 e57911: 4.963836 b2659 - x7459 + x7460 <= 58 e57912: 4.963836 b2660 - x7460 + x7461 <= 58 e57913: 4.963836 b2661 - x7461 + x7462 <= 58 e57914: 4.963836 b2662 - x7462 + x7463 <= 58 e57915: 4.963836 b2663 - x7463 + x7464 <= 58 e57916: 4.963836 b2664 - x7464 + x7465 <= 58 e57917: x7466 <= 265.696716 e57918: - 24.850688 b2666 - x7466 + x7467 <= 54 e57919: - 24.850688 b2667 - x7467 + x7468 <= 54 e57920: - 24.850688 b2668 - x7468 + x7469 <= 54 e57921: - 24.850688 b2669 - x7469 + x7470 <= 54 e57922: - 24.850688 b2670 - x7470 + x7471 <= 54 e57923: - 24.850688 b2671 - x7471 + x7472 <= 54 e57924: - 24.850688 b2672 - x7472 + x7473 <= 54 e57925: - 24.850688 b2673 - x7473 + x7474 <= 54 e57926: - 24.850688 b2674 - x7474 + x7475 <= 54 e57927: - 24.850688 b2675 - x7475 + x7476 <= 54 e57928: - 24.850688 b2676 - x7476 + x7477 <= 54 e57929: - 24.850688 b2677 - x7477 + x7478 <= 54 e57930: - 24.850688 b2678 - x7478 + x7479 <= 54 e57931: - 24.850688 b2679 - x7479 + x7480 <= 54 e57932: - 24.850688 b2680 - x7480 + x7481 <= 54 e57933: - 24.850688 b2681 - x7481 + x7482 <= 54 e57934: - 24.850688 b2682 - x7482 + x7483 <= 54 e57935: - 24.850688 b2683 - x7483 + x7484 <= 54 e57936: - 24.850688 b2684 - x7484 + x7485 <= 54 e57937: - 24.850688 b2685 - x7485 + x7486 <= 54 e57938: - 24.850688 b2686 - x7486 + x7487 <= 54 e57939: - 24.850688 b2687 - x7487 + x7488 <= 54 e57940: - 24.850688 b2688 - x7488 + x7489 <= 54 e57941: x7490 <= 149.714695 e57942: 21.603866 b2690 - x7490 + x7491 <= 67 e57943: 21.603866 b2691 - x7491 + x7492 <= 67 e57944: 21.603866 b2692 - x7492 + x7493 <= 67 e57945: 21.603866 b2693 - x7493 + x7494 <= 67 e57946: 21.603866 b2694 - x7494 + x7495 <= 67 e57947: 21.603866 b2695 - x7495 + x7496 <= 67 e57948: 21.603866 b2696 - x7496 + x7497 <= 67 e57949: 21.603866 b2697 - x7497 + x7498 <= 67 e57950: 21.603866 b2698 - x7498 + x7499 <= 67 e57951: 21.603866 b2699 - x7499 + x7500 <= 67 e57952: 21.603866 b2700 - x7500 + x7501 <= 67 e57953: 21.603866 b2701 - x7501 + x7502 <= 67 e57954: 21.603866 b2702 - x7502 + x7503 <= 67 e57955: 21.603866 b2703 - x7503 + x7504 <= 67 e57956: 21.603866 b2704 - x7504 + x7505 <= 67 e57957: 21.603866 b2705 - x7505 + x7506 <= 67 e57958: 21.603866 b2706 - x7506 + x7507 <= 67 e57959: 21.603866 b2707 - x7507 + x7508 <= 67 e57960: 21.603866 b2708 - x7508 + x7509 <= 67 e57961: 21.603866 b2709 - x7509 + x7510 <= 67 e57962: 21.603866 b2710 - x7510 + x7511 <= 67 e57963: 21.603866 b2711 - x7511 + x7512 <= 67 e57964: 21.603866 b2712 - x7512 + x7513 <= 67 e57965: x7514 <= 67 e57966: 5.107091 b2714 - x7514 + x7515 <= 67 e57967: 5.107091 b2715 - x7515 + x7516 <= 67 e57968: 5.107091 b2716 - x7516 + x7517 <= 67 e57969: 5.107091 b2717 - x7517 + x7518 <= 67 e57970: 5.107091 b2718 - x7518 + x7519 <= 67 e57971: 5.107091 b2719 - x7519 + x7520 <= 67 e57972: 5.107091 b2720 - x7520 + x7521 <= 67 e57973: 5.107091 b2721 - x7521 + x7522 <= 67 e57974: 5.107091 b2722 - x7522 + x7523 <= 67 e57975: 5.107091 b2723 - x7523 + x7524 <= 67 e57976: 5.107091 b2724 - x7524 + x7525 <= 67 e57977: 5.107091 b2725 - x7525 + x7526 <= 67 e57978: 5.107091 b2726 - x7526 + x7527 <= 67 e57979: 5.107091 b2727 - x7527 + x7528 <= 67 e57980: 5.107091 b2728 - x7528 + x7529 <= 67 e57981: 5.107091 b2729 - x7529 + x7530 <= 67 e57982: 5.107091 b2730 - x7530 + x7531 <= 67 e57983: 5.107091 b2731 - x7531 + x7532 <= 67 e57984: 5.107091 b2732 - x7532 + x7533 <= 67 e57985: 5.107091 b2733 - x7533 + x7534 <= 67 e57986: 5.107091 b2734 - x7534 + x7535 <= 67 e57987: 5.107091 b2735 - x7535 + x7536 <= 67 e57988: 5.107091 b2736 - x7536 + x7537 <= 67 e57989: x7538 <= 50 e57990: - 2.610082 b2738 - x7538 + x7539 <= 50 e57991: - 2.610082 b2739 - x7539 + x7540 <= 50 e57992: - 2.610082 b2740 - x7540 + x7541 <= 50 e57993: - 2.610082 b2741 - x7541 + x7542 <= 50 e57994: - 2.610082 b2742 - x7542 + x7543 <= 50 e57995: - 2.610082 b2743 - x7543 + x7544 <= 50 e57996: - 2.610082 b2744 - x7544 + x7545 <= 50 e57997: - 2.610082 b2745 - x7545 + x7546 <= 50 e57998: - 2.610082 b2746 - x7546 + x7547 <= 50 e57999: - 2.610082 b2747 - x7547 + x7548 <= 50 e58000: - 2.610082 b2748 - x7548 + x7549 <= 50 e58001: - 2.610082 b2749 - x7549 + x7550 <= 50 e58002: - 2.610082 b2750 - x7550 + x7551 <= 50 e58003: - 2.610082 b2751 - x7551 + x7552 <= 50 e58004: - 2.610082 b2752 - x7552 + x7553 <= 50 e58005: - 2.610082 b2753 - x7553 + x7554 <= 50 e58006: - 2.610082 b2754 - x7554 + x7555 <= 50 e58007: - 2.610082 b2755 - x7555 + x7556 <= 50 e58008: - 2.610082 b2756 - x7556 + x7557 <= 50 e58009: - 2.610082 b2757 - x7557 + x7558 <= 50 e58010: - 2.610082 b2758 - x7558 + x7559 <= 50 e58011: - 2.610082 b2759 - x7559 + x7560 <= 50 e58012: - 2.610082 b2760 - x7560 + x7561 <= 50 e58013: x7562 <= 162.977026 e58014: 9.791803 b2762 - x7562 + x7563 <= 53 e58015: 9.791803 b2763 - x7563 + x7564 <= 53 e58016: 9.791803 b2764 - x7564 + x7565 <= 53 e58017: 9.791803 b2765 - x7565 + x7566 <= 53 e58018: 9.791803 b2766 - x7566 + x7567 <= 53 e58019: 9.791803 b2767 - x7567 + x7568 <= 53 e58020: 9.791803 b2768 - x7568 + x7569 <= 53 e58021: 9.791803 b2769 - x7569 + x7570 <= 53 e58022: 9.791803 b2770 - x7570 + x7571 <= 53 e58023: 9.791803 b2771 - x7571 + x7572 <= 53 e58024: 9.791803 b2772 - x7572 + x7573 <= 53 e58025: 9.791803 b2773 - x7573 + x7574 <= 53 e58026: 9.791803 b2774 - x7574 + x7575 <= 53 e58027: 9.791803 b2775 - x7575 + x7576 <= 53 e58028: 9.791803 b2776 - x7576 + x7577 <= 53 e58029: 9.791803 b2777 - x7577 + x7578 <= 53 e58030: 9.791803 b2778 - x7578 + x7579 <= 53 e58031: 9.791803 b2779 - x7579 + x7580 <= 53 e58032: 9.791803 b2780 - x7580 + x7581 <= 53 e58033: 9.791803 b2781 - x7581 + x7582 <= 53 e58034: 9.791803 b2782 - x7582 + x7583 <= 53 e58035: 9.791803 b2783 - x7583 + x7584 <= 53 e58036: 9.791803 b2784 - x7584 + x7585 <= 53 e58037: x7586 <= 211.998841 e58038: 1.167488 b2786 - x7586 + x7587 <= 52 e58039: 1.167488 b2787 - x7587 + x7588 <= 52 e58040: 1.167488 b2788 - x7588 + x7589 <= 52 e58041: 1.167488 b2789 - x7589 + x7590 <= 52 e58042: 1.167488 b2790 - x7590 + x7591 <= 52 e58043: 1.167488 b2791 - x7591 + x7592 <= 52 e58044: 1.167488 b2792 - x7592 + x7593 <= 52 e58045: 1.167488 b2793 - x7593 + x7594 <= 52 e58046: 1.167488 b2794 - x7594 + x7595 <= 52 e58047: 1.167488 b2795 - x7595 + x7596 <= 52 e58048: 1.167488 b2796 - x7596 + x7597 <= 52 e58049: 1.167488 b2797 - x7597 + x7598 <= 52 e58050: 1.167488 b2798 - x7598 + x7599 <= 52 e58051: 1.167488 b2799 - x7599 + x7600 <= 52 e58052: 1.167488 b2800 - x7600 + x7601 <= 52 e58053: 1.167488 b2801 - x7601 + x7602 <= 52 e58054: 1.167488 b2802 - x7602 + x7603 <= 52 e58055: 1.167488 b2803 - x7603 + x7604 <= 52 e58056: 1.167488 b2804 - x7604 + x7605 <= 52 e58057: 1.167488 b2805 - x7605 + x7606 <= 52 e58058: 1.167488 b2806 - x7606 + x7607 <= 52 e58059: 1.167488 b2807 - x7607 + x7608 <= 52 e58060: 1.167488 b2808 - x7608 + x7609 <= 52 e58061: x7610 <= 152.484887 e58062: 14.313987 b2810 - x7610 + x7611 <= 68 e58063: 14.313987 b2811 - x7611 + x7612 <= 68 e58064: 14.313987 b2812 - x7612 + x7613 <= 68 e58065: 14.313987 b2813 - x7613 + x7614 <= 68 e58066: 14.313987 b2814 - x7614 + x7615 <= 68 e58067: 14.313987 b2815 - x7615 + x7616 <= 68 e58068: 14.313987 b2816 - x7616 + x7617 <= 68 e58069: 14.313987 b2817 - x7617 + x7618 <= 68 e58070: 14.313987 b2818 - x7618 + x7619 <= 68 e58071: 14.313987 b2819 - x7619 + x7620 <= 68 e58072: 14.313987 b2820 - x7620 + x7621 <= 68 e58073: 14.313987 b2821 - x7621 + x7622 <= 68 e58074: 14.313987 b2822 - x7622 + x7623 <= 68 e58075: 14.313987 b2823 - x7623 + x7624 <= 68 e58076: 14.313987 b2824 - x7624 + x7625 <= 68 e58077: 14.313987 b2825 - x7625 + x7626 <= 68 e58078: 14.313987 b2826 - x7626 + x7627 <= 68 e58079: 14.313987 b2827 - x7627 + x7628 <= 68 e58080: 14.313987 b2828 - x7628 + x7629 <= 68 e58081: 14.313987 b2829 - x7629 + x7630 <= 68 e58082: 14.313987 b2830 - x7630 + x7631 <= 68 e58083: 14.313987 b2831 - x7631 + x7632 <= 68 e58084: 14.313987 b2832 - x7632 + x7633 <= 68 e58085: x7634 <= 229.196491 e58086: - 4.785691 b2834 - x7634 + x7635 <= 54 e58087: - 4.785691 b2835 - x7635 + x7636 <= 54 e58088: - 4.785691 b2836 - x7636 + x7637 <= 54 e58089: - 4.785691 b2837 - x7637 + x7638 <= 54 e58090: - 4.785691 b2838 - x7638 + x7639 <= 54 e58091: - 4.785691 b2839 - x7639 + x7640 <= 54 e58092: - 4.785691 b2840 - x7640 + x7641 <= 54 e58093: - 4.785691 b2841 - x7641 + x7642 <= 54 e58094: - 4.785691 b2842 - x7642 + x7643 <= 54 e58095: - 4.785691 b2843 - x7643 + x7644 <= 54 e58096: - 4.785691 b2844 - x7644 + x7645 <= 54 e58097: - 4.785691 b2845 - x7645 + x7646 <= 54 e58098: - 4.785691 b2846 - x7646 + x7647 <= 54 e58099: - 4.785691 b2847 - x7647 + x7648 <= 54 e58100: - 4.785691 b2848 - x7648 + x7649 <= 54 e58101: - 4.785691 b2849 - x7649 + x7650 <= 54 e58102: - 4.785691 b2850 - x7650 + x7651 <= 54 e58103: - 4.785691 b2851 - x7651 + x7652 <= 54 e58104: - 4.785691 b2852 - x7652 + x7653 <= 54 e58105: - 4.785691 b2853 - x7653 + x7654 <= 54 e58106: - 4.785691 b2854 - x7654 + x7655 <= 54 e58107: - 4.785691 b2855 - x7655 + x7656 <= 54 e58108: - 4.785691 b2856 - x7656 + x7657 <= 54 e58109: x7658 <= 142.491561 e58110: 15.537127 b2858 - x7658 + x7659 <= 68 e58111: 15.537127 b2859 - x7659 + x7660 <= 68 e58112: 15.537127 b2860 - x7660 + x7661 <= 68 e58113: 15.537127 b2861 - x7661 + x7662 <= 68 e58114: 15.537127 b2862 - x7662 + x7663 <= 68 e58115: 15.537127 b2863 - x7663 + x7664 <= 68 e58116: 15.537127 b2864 - x7664 + x7665 <= 68 e58117: 15.537127 b2865 - x7665 + x7666 <= 68 e58118: 15.537127 b2866 - x7666 + x7667 <= 68 e58119: 15.537127 b2867 - x7667 + x7668 <= 68 e58120: 15.537127 b2868 - x7668 + x7669 <= 68 e58121: 15.537127 b2869 - x7669 + x7670 <= 68 e58122: 15.537127 b2870 - x7670 + x7671 <= 68 e58123: 15.537127 b2871 - x7671 + x7672 <= 68 e58124: 15.537127 b2872 - x7672 + x7673 <= 68 e58125: 15.537127 b2873 - x7673 + x7674 <= 68 e58126: 15.537127 b2874 - x7674 + x7675 <= 68 e58127: 15.537127 b2875 - x7675 + x7676 <= 68 e58128: 15.537127 b2876 - x7676 + x7677 <= 68 e58129: 15.537127 b2877 - x7677 + x7678 <= 68 e58130: 15.537127 b2878 - x7678 + x7679 <= 68 e58131: 15.537127 b2879 - x7679 + x7680 <= 68 e58132: 15.537127 b2880 - x7680 + x7681 <= 68 e58133: x7682 <= 62 e58134: 5.289856 b2882 - x7682 + x7683 <= 62 e58135: 5.289856 b2883 - x7683 + x7684 <= 62 e58136: 5.289856 b2884 - x7684 + x7685 <= 62 e58137: 5.289856 b2885 - x7685 + x7686 <= 62 e58138: 5.289856 b2886 - x7686 + x7687 <= 62 e58139: 5.289856 b2887 - x7687 + x7688 <= 62 e58140: 5.289856 b2888 - x7688 + x7689 <= 62 e58141: 5.289856 b2889 - x7689 + x7690 <= 62 e58142: 5.289856 b2890 - x7690 + x7691 <= 62 e58143: 5.289856 b2891 - x7691 + x7692 <= 62 e58144: 5.289856 b2892 - x7692 + x7693 <= 62 e58145: 5.289856 b2893 - x7693 + x7694 <= 62 e58146: 5.289856 b2894 - x7694 + x7695 <= 62 e58147: 5.289856 b2895 - x7695 + x7696 <= 62 e58148: 5.289856 b2896 - x7696 + x7697 <= 62 e58149: 5.289856 b2897 - x7697 + x7698 <= 62 e58150: 5.289856 b2898 - x7698 + x7699 <= 62 e58151: 5.289856 b2899 - x7699 + x7700 <= 62 e58152: 5.289856 b2900 - x7700 + x7701 <= 62 e58153: 5.289856 b2901 - x7701 + x7702 <= 62 e58154: 5.289856 b2902 - x7702 + x7703 <= 62 e58155: 5.289856 b2903 - x7703 + x7704 <= 62 e58156: 5.289856 b2904 - x7704 + x7705 <= 62 e58157: x7706 <= 68 e58158: 12.059706 b2906 - x7706 + x7707 <= 68 e58159: 12.059706 b2907 - x7707 + x7708 <= 68 e58160: 12.059706 b2908 - x7708 + x7709 <= 68 e58161: 12.059706 b2909 - x7709 + x7710 <= 68 e58162: 12.059706 b2910 - x7710 + x7711 <= 68 e58163: 12.059706 b2911 - x7711 + x7712 <= 68 e58164: 12.059706 b2912 - x7712 + x7713 <= 68 e58165: 12.059706 b2913 - x7713 + x7714 <= 68 e58166: 12.059706 b2914 - x7714 + x7715 <= 68 e58167: 12.059706 b2915 - x7715 + x7716 <= 68 e58168: 12.059706 b2916 - x7716 + x7717 <= 68 e58169: 12.059706 b2917 - x7717 + x7718 <= 68 e58170: 12.059706 b2918 - x7718 + x7719 <= 68 e58171: 12.059706 b2919 - x7719 + x7720 <= 68 e58172: 12.059706 b2920 - x7720 + x7721 <= 68 e58173: 12.059706 b2921 - x7721 + x7722 <= 68 e58174: 12.059706 b2922 - x7722 + x7723 <= 68 e58175: 12.059706 b2923 - x7723 + x7724 <= 68 e58176: 12.059706 b2924 - x7724 + x7725 <= 68 e58177: 12.059706 b2925 - x7725 + x7726 <= 68 e58178: 12.059706 b2926 - x7726 + x7727 <= 68 e58179: 12.059706 b2927 - x7727 + x7728 <= 68 e58180: 12.059706 b2928 - x7728 + x7729 <= 68 e58181: x7730 <= 60 e58182: 13.233148 b2930 - x7730 + x7731 <= 60 e58183: 13.233148 b2931 - x7731 + x7732 <= 60 e58184: 13.233148 b2932 - x7732 + x7733 <= 60 e58185: 13.233148 b2933 - x7733 + x7734 <= 60 e58186: 13.233148 b2934 - x7734 + x7735 <= 60 e58187: 13.233148 b2935 - x7735 + x7736 <= 60 e58188: 13.233148 b2936 - x7736 + x7737 <= 60 e58189: 13.233148 b2937 - x7737 + x7738 <= 60 e58190: 13.233148 b2938 - x7738 + x7739 <= 60 e58191: 13.233148 b2939 - x7739 + x7740 <= 60 e58192: 13.233148 b2940 - x7740 + x7741 <= 60 e58193: 13.233148 b2941 - x7741 + x7742 <= 60 e58194: 13.233148 b2942 - x7742 + x7743 <= 60 e58195: 13.233148 b2943 - x7743 + x7744 <= 60 e58196: 13.233148 b2944 - x7744 + x7745 <= 60 e58197: 13.233148 b2945 - x7745 + x7746 <= 60 e58198: 13.233148 b2946 - x7746 + x7747 <= 60 e58199: 13.233148 b2947 - x7747 + x7748 <= 60 e58200: 13.233148 b2948 - x7748 + x7749 <= 60 e58201: 13.233148 b2949 - x7749 + x7750 <= 60 e58202: 13.233148 b2950 - x7750 + x7751 <= 60 e58203: 13.233148 b2951 - x7751 + x7752 <= 60 e58204: 13.233148 b2952 - x7752 + x7753 <= 60 e58205: x7754 <= 53 e58206: - 6.504073 b2954 - x7754 + x7755 <= 53 e58207: - 6.504073 b2955 - x7755 + x7756 <= 53 e58208: - 6.504073 b2956 - x7756 + x7757 <= 53 e58209: - 6.504073 b2957 - x7757 + x7758 <= 53 e58210: - 6.504073 b2958 - x7758 + x7759 <= 53 e58211: - 6.504073 b2959 - x7759 + x7760 <= 53 e58212: - 6.504073 b2960 - x7760 + x7761 <= 53 e58213: - 6.504073 b2961 - x7761 + x7762 <= 53 e58214: - 6.504073 b2962 - x7762 + x7763 <= 53 e58215: - 6.504073 b2963 - x7763 + x7764 <= 53 e58216: - 6.504073 b2964 - x7764 + x7765 <= 53 e58217: - 6.504073 b2965 - x7765 + x7766 <= 53 e58218: - 6.504073 b2966 - x7766 + x7767 <= 53 e58219: - 6.504073 b2967 - x7767 + x7768 <= 53 e58220: - 6.504073 b2968 - x7768 + x7769 <= 53 e58221: - 6.504073 b2969 - x7769 + x7770 <= 53 e58222: - 6.504073 b2970 - x7770 + x7771 <= 53 e58223: - 6.504073 b2971 - x7771 + x7772 <= 53 e58224: - 6.504073 b2972 - x7772 + x7773 <= 53 e58225: - 6.504073 b2973 - x7773 + x7774 <= 53 e58226: - 6.504073 b2974 - x7774 + x7775 <= 53 e58227: - 6.504073 b2975 - x7775 + x7776 <= 53 e58228: - 6.504073 b2976 - x7776 + x7777 <= 53 e58229: x7778 <= 59 e58230: 3.779688 b2978 - x7778 + x7779 <= 59 e58231: 3.779688 b2979 - x7779 + x7780 <= 59 e58232: 3.779688 b2980 - x7780 + x7781 <= 59 e58233: 3.779688 b2981 - x7781 + x7782 <= 59 e58234: 3.779688 b2982 - x7782 + x7783 <= 59 e58235: 3.779688 b2983 - x7783 + x7784 <= 59 e58236: 3.779688 b2984 - x7784 + x7785 <= 59 e58237: 3.779688 b2985 - x7785 + x7786 <= 59 e58238: 3.779688 b2986 - x7786 + x7787 <= 59 e58239: 3.779688 b2987 - x7787 + x7788 <= 59 e58240: 3.779688 b2988 - x7788 + x7789 <= 59 e58241: 3.779688 b2989 - x7789 + x7790 <= 59 e58242: 3.779688 b2990 - x7790 + x7791 <= 59 e58243: 3.779688 b2991 - x7791 + x7792 <= 59 e58244: 3.779688 b2992 - x7792 + x7793 <= 59 e58245: 3.779688 b2993 - x7793 + x7794 <= 59 e58246: 3.779688 b2994 - x7794 + x7795 <= 59 e58247: 3.779688 b2995 - x7795 + x7796 <= 59 e58248: 3.779688 b2996 - x7796 + x7797 <= 59 e58249: 3.779688 b2997 - x7797 + x7798 <= 59 e58250: 3.779688 b2998 - x7798 + x7799 <= 59 e58251: 3.779688 b2999 - x7799 + x7800 <= 59 e58252: 3.779688 b3000 - x7800 + x7801 <= 59 e58253: x7802 <= 194.880792 e58254: 18.609366 b3002 - x7802 + x7803 <= 69 e58255: 18.609366 b3003 - x7803 + x7804 <= 69 e58256: 18.609366 b3004 - x7804 + x7805 <= 69 e58257: 18.609366 b3005 - x7805 + x7806 <= 69 e58258: 18.609366 b3006 - x7806 + x7807 <= 69 e58259: 18.609366 b3007 - x7807 + x7808 <= 69 e58260: 18.609366 b3008 - x7808 + x7809 <= 69 e58261: 18.609366 b3009 - x7809 + x7810 <= 69 e58262: 18.609366 b3010 - x7810 + x7811 <= 69 e58263: 18.609366 b3011 - x7811 + x7812 <= 69 e58264: 18.609366 b3012 - x7812 + x7813 <= 69 e58265: 18.609366 b3013 - x7813 + x7814 <= 69 e58266: 18.609366 b3014 - x7814 + x7815 <= 69 e58267: 18.609366 b3015 - x7815 + x7816 <= 69 e58268: 18.609366 b3016 - x7816 + x7817 <= 69 e58269: 18.609366 b3017 - x7817 + x7818 <= 69 e58270: 18.609366 b3018 - x7818 + x7819 <= 69 e58271: 18.609366 b3019 - x7819 + x7820 <= 69 e58272: 18.609366 b3020 - x7820 + x7821 <= 69 e58273: 18.609366 b3021 - x7821 + x7822 <= 69 e58274: 18.609366 b3022 - x7822 + x7823 <= 69 e58275: 18.609366 b3023 - x7823 + x7824 <= 69 e58276: 18.609366 b3024 - x7824 + x7825 <= 69 e58277: x7826 <= 52 e58278: 1.150226 b3026 - x7826 + x7827 <= 52 e58279: 1.150226 b3027 - x7827 + x7828 <= 52 e58280: 1.150226 b3028 - x7828 + x7829 <= 52 e58281: 1.150226 b3029 - x7829 + x7830 <= 52 e58282: 1.150226 b3030 - x7830 + x7831 <= 52 e58283: 1.150226 b3031 - x7831 + x7832 <= 52 e58284: 1.150226 b3032 - x7832 + x7833 <= 52 e58285: 1.150226 b3033 - x7833 + x7834 <= 52 e58286: 1.150226 b3034 - x7834 + x7835 <= 52 e58287: 1.150226 b3035 - x7835 + x7836 <= 52 e58288: 1.150226 b3036 - x7836 + x7837 <= 52 e58289: 1.150226 b3037 - x7837 + x7838 <= 52 e58290: 1.150226 b3038 - x7838 + x7839 <= 52 e58291: 1.150226 b3039 - x7839 + x7840 <= 52 e58292: 1.150226 b3040 - x7840 + x7841 <= 52 e58293: 1.150226 b3041 - x7841 + x7842 <= 52 e58294: 1.150226 b3042 - x7842 + x7843 <= 52 e58295: 1.150226 b3043 - x7843 + x7844 <= 52 e58296: 1.150226 b3044 - x7844 + x7845 <= 52 e58297: 1.150226 b3045 - x7845 + x7846 <= 52 e58298: 1.150226 b3046 - x7846 + x7847 <= 52 e58299: 1.150226 b3047 - x7847 + x7848 <= 52 e58300: 1.150226 b3048 - x7848 + x7849 <= 52 e58301: x7850 <= 197.761975 e58302: - 15.225481 b3050 - x7850 + x7851 <= 52 e58303: - 15.225481 b3051 - x7851 + x7852 <= 52 e58304: - 15.225481 b3052 - x7852 + x7853 <= 52 e58305: - 15.225481 b3053 - x7853 + x7854 <= 52 e58306: - 15.225481 b3054 - x7854 + x7855 <= 52 e58307: - 15.225481 b3055 - x7855 + x7856 <= 52 e58308: - 15.225481 b3056 - x7856 + x7857 <= 52 e58309: - 15.225481 b3057 - x7857 + x7858 <= 52 e58310: - 15.225481 b3058 - x7858 + x7859 <= 52 e58311: - 15.225481 b3059 - x7859 + x7860 <= 52 e58312: - 15.225481 b3060 - x7860 + x7861 <= 52 e58313: - 15.225481 b3061 - x7861 + x7862 <= 52 e58314: - 15.225481 b3062 - x7862 + x7863 <= 52 e58315: - 15.225481 b3063 - x7863 + x7864 <= 52 e58316: - 15.225481 b3064 - x7864 + x7865 <= 52 e58317: - 15.225481 b3065 - x7865 + x7866 <= 52 e58318: - 15.225481 b3066 - x7866 + x7867 <= 52 e58319: - 15.225481 b3067 - x7867 + x7868 <= 52 e58320: - 15.225481 b3068 - x7868 + x7869 <= 52 e58321: - 15.225481 b3069 - x7869 + x7870 <= 52 e58322: - 15.225481 b3070 - x7870 + x7871 <= 52 e58323: - 15.225481 b3071 - x7871 + x7872 <= 52 e58324: - 15.225481 b3072 - x7872 + x7873 <= 52 e58325: x7874 <= 149.531867 e58326: 15.309859 b3074 - x7874 + x7875 <= 64 e58327: 15.309859 b3075 - x7875 + x7876 <= 64 e58328: 15.309859 b3076 - x7876 + x7877 <= 64 e58329: 15.309859 b3077 - x7877 + x7878 <= 64 e58330: 15.309859 b3078 - x7878 + x7879 <= 64 e58331: 15.309859 b3079 - x7879 + x7880 <= 64 e58332: 15.309859 b3080 - x7880 + x7881 <= 64 e58333: 15.309859 b3081 - x7881 + x7882 <= 64 e58334: 15.309859 b3082 - x7882 + x7883 <= 64 e58335: 15.309859 b3083 - x7883 + x7884 <= 64 e58336: 15.309859 b3084 - x7884 + x7885 <= 64 e58337: 15.309859 b3085 - x7885 + x7886 <= 64 e58338: 15.309859 b3086 - x7886 + x7887 <= 64 e58339: 15.309859 b3087 - x7887 + x7888 <= 64 e58340: 15.309859 b3088 - x7888 + x7889 <= 64 e58341: 15.309859 b3089 - x7889 + x7890 <= 64 e58342: 15.309859 b3090 - x7890 + x7891 <= 64 e58343: 15.309859 b3091 - x7891 + x7892 <= 64 e58344: 15.309859 b3092 - x7892 + x7893 <= 64 e58345: 15.309859 b3093 - x7893 + x7894 <= 64 e58346: 15.309859 b3094 - x7894 + x7895 <= 64 e58347: 15.309859 b3095 - x7895 + x7896 <= 64 e58348: 15.309859 b3096 - x7896 + x7897 <= 64 e58349: x7898 <= 60 e58350: 4.29292 b3098 - x7898 + x7899 <= 60 e58351: 4.29292 b3099 - x7899 + x7900 <= 60 e58352: 4.29292 b3100 - x7900 + x7901 <= 60 e58353: 4.29292 b3101 - x7901 + x7902 <= 60 e58354: 4.29292 b3102 - x7902 + x7903 <= 60 e58355: 4.29292 b3103 - x7903 + x7904 <= 60 e58356: 4.29292 b3104 - x7904 + x7905 <= 60 e58357: 4.29292 b3105 - x7905 + x7906 <= 60 e58358: 4.29292 b3106 - x7906 + x7907 <= 60 e58359: 4.29292 b3107 - x7907 + x7908 <= 60 e58360: 4.29292 b3108 - x7908 + x7909 <= 60 e58361: 4.29292 b3109 - x7909 + x7910 <= 60 e58362: 4.29292 b3110 - x7910 + x7911 <= 60 e58363: 4.29292 b3111 - x7911 + x7912 <= 60 e58364: 4.29292 b3112 - x7912 + x7913 <= 60 e58365: 4.29292 b3113 - x7913 + x7914 <= 60 e58366: 4.29292 b3114 - x7914 + x7915 <= 60 e58367: 4.29292 b3115 - x7915 + x7916 <= 60 e58368: 4.29292 b3116 - x7916 + x7917 <= 60 e58369: 4.29292 b3117 - x7917 + x7918 <= 60 e58370: 4.29292 b3118 - x7918 + x7919 <= 60 e58371: 4.29292 b3119 - x7919 + x7920 <= 60 e58372: 4.29292 b3120 - x7920 + x7921 <= 60 e58373: x7922 <= 175.016615 e58374: 10.627388 b3122 - x7922 + x7923 <= 56 e58375: 10.627388 b3123 - x7923 + x7924 <= 56 e58376: 10.627388 b3124 - x7924 + x7925 <= 56 e58377: 10.627388 b3125 - x7925 + x7926 <= 56 e58378: 10.627388 b3126 - x7926 + x7927 <= 56 e58379: 10.627388 b3127 - x7927 + x7928 <= 56 e58380: 10.627388 b3128 - x7928 + x7929 <= 56 e58381: 10.627388 b3129 - x7929 + x7930 <= 56 e58382: 10.627388 b3130 - x7930 + x7931 <= 56 e58383: 10.627388 b3131 - x7931 + x7932 <= 56 e58384: 10.627388 b3132 - x7932 + x7933 <= 56 e58385: 10.627388 b3133 - x7933 + x7934 <= 56 e58386: 10.627388 b3134 - x7934 + x7935 <= 56 e58387: 10.627388 b3135 - x7935 + x7936 <= 56 e58388: 10.627388 b3136 - x7936 + x7937 <= 56 e58389: 10.627388 b3137 - x7937 + x7938 <= 56 e58390: 10.627388 b3138 - x7938 + x7939 <= 56 e58391: 10.627388 b3139 - x7939 + x7940 <= 56 e58392: 10.627388 b3140 - x7940 + x7941 <= 56 e58393: 10.627388 b3141 - x7941 + x7942 <= 56 e58394: 10.627388 b3142 - x7942 + x7943 <= 56 e58395: 10.627388 b3143 - x7943 + x7944 <= 56 e58396: 10.627388 b3144 - x7944 + x7945 <= 56 e58397: x7946 <= 67 e58398: 5.663539 b3146 - x7946 + x7947 <= 67 e58399: 5.663539 b3147 - x7947 + x7948 <= 67 e58400: 5.663539 b3148 - x7948 + x7949 <= 67 e58401: 5.663539 b3149 - x7949 + x7950 <= 67 e58402: 5.663539 b3150 - x7950 + x7951 <= 67 e58403: 5.663539 b3151 - x7951 + x7952 <= 67 e58404: 5.663539 b3152 - x7952 + x7953 <= 67 e58405: 5.663539 b3153 - x7953 + x7954 <= 67 e58406: 5.663539 b3154 - x7954 + x7955 <= 67 e58407: 5.663539 b3155 - x7955 + x7956 <= 67 e58408: 5.663539 b3156 - x7956 + x7957 <= 67 e58409: 5.663539 b3157 - x7957 + x7958 <= 67 e58410: 5.663539 b3158 - x7958 + x7959 <= 67 e58411: 5.663539 b3159 - x7959 + x7960 <= 67 e58412: 5.663539 b3160 - x7960 + x7961 <= 67 e58413: 5.663539 b3161 - x7961 + x7962 <= 67 e58414: 5.663539 b3162 - x7962 + x7963 <= 67 e58415: 5.663539 b3163 - x7963 + x7964 <= 67 e58416: 5.663539 b3164 - x7964 + x7965 <= 67 e58417: 5.663539 b3165 - x7965 + x7966 <= 67 e58418: 5.663539 b3166 - x7966 + x7967 <= 67 e58419: 5.663539 b3167 - x7967 + x7968 <= 67 e58420: 5.663539 b3168 - x7968 + x7969 <= 67 e58421: x7970 <= 60 e58422: - 8.988322 b3170 - x7970 + x7971 <= 60 e58423: - 8.988322 b3171 - x7971 + x7972 <= 60 e58424: - 8.988322 b3172 - x7972 + x7973 <= 60 e58425: - 8.988322 b3173 - x7973 + x7974 <= 60 e58426: - 8.988322 b3174 - x7974 + x7975 <= 60 e58427: - 8.988322 b3175 - x7975 + x7976 <= 60 e58428: - 8.988322 b3176 - x7976 + x7977 <= 60 e58429: - 8.988322 b3177 - x7977 + x7978 <= 60 e58430: - 8.988322 b3178 - x7978 + x7979 <= 60 e58431: - 8.988322 b3179 - x7979 + x7980 <= 60 e58432: - 8.988322 b3180 - x7980 + x7981 <= 60 e58433: - 8.988322 b3181 - x7981 + x7982 <= 60 e58434: - 8.988322 b3182 - x7982 + x7983 <= 60 e58435: - 8.988322 b3183 - x7983 + x7984 <= 60 e58436: - 8.988322 b3184 - x7984 + x7985 <= 60 e58437: - 8.988322 b3185 - x7985 + x7986 <= 60 e58438: - 8.988322 b3186 - x7986 + x7987 <= 60 e58439: - 8.988322 b3187 - x7987 + x7988 <= 60 e58440: - 8.988322 b3188 - x7988 + x7989 <= 60 e58441: - 8.988322 b3189 - x7989 + x7990 <= 60 e58442: - 8.988322 b3190 - x7990 + x7991 <= 60 e58443: - 8.988322 b3191 - x7991 + x7992 <= 60 e58444: - 8.988322 b3192 - x7992 + x7993 <= 60 e58445: x7994 <= 67 e58446: 29.400588 b3194 - x7994 + x7995 <= 67 e58447: 29.400588 b3195 - x7995 + x7996 <= 67 e58448: 29.400588 b3196 - x7996 + x7997 <= 67 e58449: 29.400588 b3197 - x7997 + x7998 <= 67 e58450: 29.400588 b3198 - x7998 + x7999 <= 67 e58451: 29.400588 b3199 - x7999 + x8000 <= 67 e58452: 29.400588 b3200 - x8000 + x8001 <= 67 e58453: 29.400588 b3201 - x8001 + x8002 <= 67 e58454: 29.400588 b3202 - x8002 + x8003 <= 67 e58455: 29.400588 b3203 - x8003 + x8004 <= 67 e58456: 29.400588 b3204 - x8004 + x8005 <= 67 e58457: 29.400588 b3205 - x8005 + x8006 <= 67 e58458: 29.400588 b3206 - x8006 + x8007 <= 67 e58459: 29.400588 b3207 - x8007 + x8008 <= 67 e58460: 29.400588 b3208 - x8008 + x8009 <= 67 e58461: 29.400588 b3209 - x8009 + x8010 <= 67 e58462: 29.400588 b3210 - x8010 + x8011 <= 67 e58463: 29.400588 b3211 - x8011 + x8012 <= 67 e58464: 29.400588 b3212 - x8012 + x8013 <= 67 e58465: 29.400588 b3213 - x8013 + x8014 <= 67 e58466: 29.400588 b3214 - x8014 + x8015 <= 67 e58467: 29.400588 b3215 - x8015 + x8016 <= 67 e58468: 29.400588 b3216 - x8016 + x8017 <= 67 e58469: x8018 <= 60 e58470: - 4.366274 b3218 - x8018 + x8019 <= 60 e58471: - 4.366274 b3219 - x8019 + x8020 <= 60 e58472: - 4.366274 b3220 - x8020 + x8021 <= 60 e58473: - 4.366274 b3221 - x8021 + x8022 <= 60 e58474: - 4.366274 b3222 - x8022 + x8023 <= 60 e58475: - 4.366274 b3223 - x8023 + x8024 <= 60 e58476: - 4.366274 b3224 - x8024 + x8025 <= 60 e58477: - 4.366274 b3225 - x8025 + x8026 <= 60 e58478: - 4.366274 b3226 - x8026 + x8027 <= 60 e58479: - 4.366274 b3227 - x8027 + x8028 <= 60 e58480: - 4.366274 b3228 - x8028 + x8029 <= 60 e58481: - 4.366274 b3229 - x8029 + x8030 <= 60 e58482: - 4.366274 b3230 - x8030 + x8031 <= 60 e58483: - 4.366274 b3231 - x8031 + x8032 <= 60 e58484: - 4.366274 b3232 - x8032 + x8033 <= 60 e58485: - 4.366274 b3233 - x8033 + x8034 <= 60 e58486: - 4.366274 b3234 - x8034 + x8035 <= 60 e58487: - 4.366274 b3235 - x8035 + x8036 <= 60 e58488: - 4.366274 b3236 - x8036 + x8037 <= 60 e58489: - 4.366274 b3237 - x8037 + x8038 <= 60 e58490: - 4.366274 b3238 - x8038 + x8039 <= 60 e58491: - 4.366274 b3239 - x8039 + x8040 <= 60 e58492: - 4.366274 b3240 - x8040 + x8041 <= 60 e58493: x8042 <= 66 e58494: 27.929717 b3242 - x8042 + x8043 <= 66 e58495: 27.929717 b3243 - x8043 + x8044 <= 66 e58496: 27.929717 b3244 - x8044 + x8045 <= 66 e58497: 27.929717 b3245 - x8045 + x8046 <= 66 e58498: 27.929717 b3246 - x8046 + x8047 <= 66 e58499: 27.929717 b3247 - x8047 + x8048 <= 66 e58500: 27.929717 b3248 - x8048 + x8049 <= 66 e58501: 27.929717 b3249 - x8049 + x8050 <= 66 e58502: 27.929717 b3250 - x8050 + x8051 <= 66 e58503: 27.929717 b3251 - x8051 + x8052 <= 66 e58504: 27.929717 b3252 - x8052 + x8053 <= 66 e58505: 27.929717 b3253 - x8053 + x8054 <= 66 e58506: 27.929717 b3254 - x8054 + x8055 <= 66 e58507: 27.929717 b3255 - x8055 + x8056 <= 66 e58508: 27.929717 b3256 - x8056 + x8057 <= 66 e58509: 27.929717 b3257 - x8057 + x8058 <= 66 e58510: 27.929717 b3258 - x8058 + x8059 <= 66 e58511: 27.929717 b3259 - x8059 + x8060 <= 66 e58512: 27.929717 b3260 - x8060 + x8061 <= 66 e58513: 27.929717 b3261 - x8061 + x8062 <= 66 e58514: 27.929717 b3262 - x8062 + x8063 <= 66 e58515: 27.929717 b3263 - x8063 + x8064 <= 66 e58516: 27.929717 b3264 - x8064 + x8065 <= 66 e58517: x8066 <= 191.794071 e58518: - 8.444499 b3266 - x8066 + x8067 <= 57 e58519: - 8.444499 b3267 - x8067 + x8068 <= 57 e58520: - 8.444499 b3268 - x8068 + x8069 <= 57 e58521: - 8.444499 b3269 - x8069 + x8070 <= 57 e58522: - 8.444499 b3270 - x8070 + x8071 <= 57 e58523: - 8.444499 b3271 - x8071 + x8072 <= 57 e58524: - 8.444499 b3272 - x8072 + x8073 <= 57 e58525: - 8.444499 b3273 - x8073 + x8074 <= 57 e58526: - 8.444499 b3274 - x8074 + x8075 <= 57 e58527: - 8.444499 b3275 - x8075 + x8076 <= 57 e58528: - 8.444499 b3276 - x8076 + x8077 <= 57 e58529: - 8.444499 b3277 - x8077 + x8078 <= 57 e58530: - 8.444499 b3278 - x8078 + x8079 <= 57 e58531: - 8.444499 b3279 - x8079 + x8080 <= 57 e58532: - 8.444499 b3280 - x8080 + x8081 <= 57 e58533: - 8.444499 b3281 - x8081 + x8082 <= 57 e58534: - 8.444499 b3282 - x8082 + x8083 <= 57 e58535: - 8.444499 b3283 - x8083 + x8084 <= 57 e58536: - 8.444499 b3284 - x8084 + x8085 <= 57 e58537: - 8.444499 b3285 - x8085 + x8086 <= 57 e58538: - 8.444499 b3286 - x8086 + x8087 <= 57 e58539: - 8.444499 b3287 - x8087 + x8088 <= 57 e58540: - 8.444499 b3288 - x8088 + x8089 <= 57 e58541: x8090 <= 148.726527 e58542: - 0.85659 b3290 - x8090 + x8091 <= 60 e58543: - 0.85659 b3291 - x8091 + x8092 <= 60 e58544: - 0.85659 b3292 - x8092 + x8093 <= 60 e58545: - 0.85659 b3293 - x8093 + x8094 <= 60 e58546: - 0.85659 b3294 - x8094 + x8095 <= 60 e58547: - 0.85659 b3295 - x8095 + x8096 <= 60 e58548: - 0.85659 b3296 - x8096 + x8097 <= 60 e58549: - 0.85659 b3297 - x8097 + x8098 <= 60 e58550: - 0.85659 b3298 - x8098 + x8099 <= 60 e58551: - 0.85659 b3299 - x8099 + x8100 <= 60 e58552: - 0.85659 b3300 - x8100 + x8101 <= 60 e58553: - 0.85659 b3301 - x8101 + x8102 <= 60 e58554: - 0.85659 b3302 - x8102 + x8103 <= 60 e58555: - 0.85659 b3303 - x8103 + x8104 <= 60 e58556: - 0.85659 b3304 - x8104 + x8105 <= 60 e58557: - 0.85659 b3305 - x8105 + x8106 <= 60 e58558: - 0.85659 b3306 - x8106 + x8107 <= 60 e58559: - 0.85659 b3307 - x8107 + x8108 <= 60 e58560: - 0.85659 b3308 - x8108 + x8109 <= 60 e58561: - 0.85659 b3309 - x8109 + x8110 <= 60 e58562: - 0.85659 b3310 - x8110 + x8111 <= 60 e58563: - 0.85659 b3311 - x8111 + x8112 <= 60 e58564: - 0.85659 b3312 - x8112 + x8113 <= 60 e58565: x8114 <= 208.358963 e58566: - 9.170123 b3314 - x8114 + x8115 <= 60 e58567: - 9.170123 b3315 - x8115 + x8116 <= 60 e58568: - 9.170123 b3316 - x8116 + x8117 <= 60 e58569: - 9.170123 b3317 - x8117 + x8118 <= 60 e58570: - 9.170123 b3318 - x8118 + x8119 <= 60 e58571: - 9.170123 b3319 - x8119 + x8120 <= 60 e58572: - 9.170123 b3320 - x8120 + x8121 <= 60 e58573: - 9.170123 b3321 - x8121 + x8122 <= 60 e58574: - 9.170123 b3322 - x8122 + x8123 <= 60 e58575: - 9.170123 b3323 - x8123 + x8124 <= 60 e58576: - 9.170123 b3324 - x8124 + x8125 <= 60 e58577: - 9.170123 b3325 - x8125 + x8126 <= 60 e58578: - 9.170123 b3326 - x8126 + x8127 <= 60 e58579: - 9.170123 b3327 - x8127 + x8128 <= 60 e58580: - 9.170123 b3328 - x8128 + x8129 <= 60 e58581: - 9.170123 b3329 - x8129 + x8130 <= 60 e58582: - 9.170123 b3330 - x8130 + x8131 <= 60 e58583: - 9.170123 b3331 - x8131 + x8132 <= 60 e58584: - 9.170123 b3332 - x8132 + x8133 <= 60 e58585: - 9.170123 b3333 - x8133 + x8134 <= 60 e58586: - 9.170123 b3334 - x8134 + x8135 <= 60 e58587: - 9.170123 b3335 - x8135 + x8136 <= 60 e58588: - 9.170123 b3336 - x8136 + x8137 <= 60 e58589: x8138 <= 146.085344 e58590: 12.829034 b3338 - x8138 + x8139 <= 70 e58591: 12.829034 b3339 - x8139 + x8140 <= 70 e58592: 12.829034 b3340 - x8140 + x8141 <= 70 e58593: 12.829034 b3341 - x8141 + x8142 <= 70 e58594: 12.829034 b3342 - x8142 + x8143 <= 70 e58595: 12.829034 b3343 - x8143 + x8144 <= 70 e58596: 12.829034 b3344 - x8144 + x8145 <= 70 e58597: 12.829034 b3345 - x8145 + x8146 <= 70 e58598: 12.829034 b3346 - x8146 + x8147 <= 70 e58599: 12.829034 b3347 - x8147 + x8148 <= 70 e58600: 12.829034 b3348 - x8148 + x8149 <= 70 e58601: 12.829034 b3349 - x8149 + x8150 <= 70 e58602: 12.829034 b3350 - x8150 + x8151 <= 70 e58603: 12.829034 b3351 - x8151 + x8152 <= 70 e58604: 12.829034 b3352 - x8152 + x8153 <= 70 e58605: 12.829034 b3353 - x8153 + x8154 <= 70 e58606: 12.829034 b3354 - x8154 + x8155 <= 70 e58607: 12.829034 b3355 - x8155 + x8156 <= 70 e58608: 12.829034 b3356 - x8156 + x8157 <= 70 e58609: 12.829034 b3357 - x8157 + x8158 <= 70 e58610: 12.829034 b3358 - x8158 + x8159 <= 70 e58611: 12.829034 b3359 - x8159 + x8160 <= 70 e58612: 12.829034 b3360 - x8160 + x8161 <= 70 e58613: x8162 <= 162.341091 e58614: 3.248209 b3362 - x8162 + x8163 <= 61 e58615: 3.248209 b3363 - x8163 + x8164 <= 61 e58616: 3.248209 b3364 - x8164 + x8165 <= 61 e58617: 3.248209 b3365 - x8165 + x8166 <= 61 e58618: 3.248209 b3366 - x8166 + x8167 <= 61 e58619: 3.248209 b3367 - x8167 + x8168 <= 61 e58620: 3.248209 b3368 - x8168 + x8169 <= 61 e58621: 3.248209 b3369 - x8169 + x8170 <= 61 e58622: 3.248209 b3370 - x8170 + x8171 <= 61 e58623: 3.248209 b3371 - x8171 + x8172 <= 61 e58624: 3.248209 b3372 - x8172 + x8173 <= 61 e58625: 3.248209 b3373 - x8173 + x8174 <= 61 e58626: 3.248209 b3374 - x8174 + x8175 <= 61 e58627: 3.248209 b3375 - x8175 + x8176 <= 61 e58628: 3.248209 b3376 - x8176 + x8177 <= 61 e58629: 3.248209 b3377 - x8177 + x8178 <= 61 e58630: 3.248209 b3378 - x8178 + x8179 <= 61 e58631: 3.248209 b3379 - x8179 + x8180 <= 61 e58632: 3.248209 b3380 - x8180 + x8181 <= 61 e58633: 3.248209 b3381 - x8181 + x8182 <= 61 e58634: 3.248209 b3382 - x8182 + x8183 <= 61 e58635: 3.248209 b3383 - x8183 + x8184 <= 61 e58636: 3.248209 b3384 - x8184 + x8185 <= 61 e58637: x8186 <= 61 e58638: 2.12154 b3386 - x8186 + x8187 <= 61 e58639: 2.12154 b3387 - x8187 + x8188 <= 61 e58640: 2.12154 b3388 - x8188 + x8189 <= 61 e58641: 2.12154 b3389 - x8189 + x8190 <= 61 e58642: 2.12154 b3390 - x8190 + x8191 <= 61 e58643: 2.12154 b3391 - x8191 + x8192 <= 61 e58644: 2.12154 b3392 - x8192 + x8193 <= 61 e58645: 2.12154 b3393 - x8193 + x8194 <= 61 e58646: 2.12154 b3394 - x8194 + x8195 <= 61 e58647: 2.12154 b3395 - x8195 + x8196 <= 61 e58648: 2.12154 b3396 - x8196 + x8197 <= 61 e58649: 2.12154 b3397 - x8197 + x8198 <= 61 e58650: 2.12154 b3398 - x8198 + x8199 <= 61 e58651: 2.12154 b3399 - x8199 + x8200 <= 61 e58652: 2.12154 b3400 - x8200 + x8201 <= 61 e58653: 2.12154 b3401 - x8201 + x8202 <= 61 e58654: 2.12154 b3402 - x8202 + x8203 <= 61 e58655: 2.12154 b3403 - x8203 + x8204 <= 61 e58656: 2.12154 b3404 - x8204 + x8205 <= 61 e58657: 2.12154 b3405 - x8205 + x8206 <= 61 e58658: 2.12154 b3406 - x8206 + x8207 <= 61 e58659: 2.12154 b3407 - x8207 + x8208 <= 61 e58660: 2.12154 b3408 - x8208 + x8209 <= 61 e58661: x8210 <= 129.443492 e58662: 4.89582 b3410 - x8210 + x8211 <= 50 e58663: 4.89582 b3411 - x8211 + x8212 <= 50 e58664: 4.89582 b3412 - x8212 + x8213 <= 50 e58665: 4.89582 b3413 - x8213 + x8214 <= 50 e58666: 4.89582 b3414 - x8214 + x8215 <= 50 e58667: 4.89582 b3415 - x8215 + x8216 <= 50 e58668: 4.89582 b3416 - x8216 + x8217 <= 50 e58669: 4.89582 b3417 - x8217 + x8218 <= 50 e58670: 4.89582 b3418 - x8218 + x8219 <= 50 e58671: 4.89582 b3419 - x8219 + x8220 <= 50 e58672: 4.89582 b3420 - x8220 + x8221 <= 50 e58673: 4.89582 b3421 - x8221 + x8222 <= 50 e58674: 4.89582 b3422 - x8222 + x8223 <= 50 e58675: 4.89582 b3423 - x8223 + x8224 <= 50 e58676: 4.89582 b3424 - x8224 + x8225 <= 50 e58677: 4.89582 b3425 - x8225 + x8226 <= 50 e58678: 4.89582 b3426 - x8226 + x8227 <= 50 e58679: 4.89582 b3427 - x8227 + x8228 <= 50 e58680: 4.89582 b3428 - x8228 + x8229 <= 50 e58681: 4.89582 b3429 - x8229 + x8230 <= 50 e58682: 4.89582 b3430 - x8230 + x8231 <= 50 e58683: 4.89582 b3431 - x8231 + x8232 <= 50 e58684: 4.89582 b3432 - x8232 + x8233 <= 50 e58685: x8234 <= 130.263559 e58686: 1.266414 b3434 - x8234 + x8235 <= 51 e58687: 1.266414 b3435 - x8235 + x8236 <= 51 e58688: 1.266414 b3436 - x8236 + x8237 <= 51 e58689: 1.266414 b3437 - x8237 + x8238 <= 51 e58690: 1.266414 b3438 - x8238 + x8239 <= 51 e58691: 1.266414 b3439 - x8239 + x8240 <= 51 e58692: 1.266414 b3440 - x8240 + x8241 <= 51 e58693: 1.266414 b3441 - x8241 + x8242 <= 51 e58694: 1.266414 b3442 - x8242 + x8243 <= 51 e58695: 1.266414 b3443 - x8243 + x8244 <= 51 e58696: 1.266414 b3444 - x8244 + x8245 <= 51 e58697: 1.266414 b3445 - x8245 + x8246 <= 51 e58698: 1.266414 b3446 - x8246 + x8247 <= 51 e58699: 1.266414 b3447 - x8247 + x8248 <= 51 e58700: 1.266414 b3448 - x8248 + x8249 <= 51 e58701: 1.266414 b3449 - x8249 + x8250 <= 51 e58702: 1.266414 b3450 - x8250 + x8251 <= 51 e58703: 1.266414 b3451 - x8251 + x8252 <= 51 e58704: 1.266414 b3452 - x8252 + x8253 <= 51 e58705: 1.266414 b3453 - x8253 + x8254 <= 51 e58706: 1.266414 b3454 - x8254 + x8255 <= 51 e58707: 1.266414 b3455 - x8255 + x8256 <= 51 e58708: 1.266414 b3456 - x8256 + x8257 <= 51 e58709: x8258 <= 56 e58710: 11.491311 b3458 - x8258 + x8259 <= 56 e58711: 11.491311 b3459 - x8259 + x8260 <= 56 e58712: 11.491311 b3460 - x8260 + x8261 <= 56 e58713: 11.491311 b3461 - x8261 + x8262 <= 56 e58714: 11.491311 b3462 - x8262 + x8263 <= 56 e58715: 11.491311 b3463 - x8263 + x8264 <= 56 e58716: 11.491311 b3464 - x8264 + x8265 <= 56 e58717: 11.491311 b3465 - x8265 + x8266 <= 56 e58718: 11.491311 b3466 - x8266 + x8267 <= 56 e58719: 11.491311 b3467 - x8267 + x8268 <= 56 e58720: 11.491311 b3468 - x8268 + x8269 <= 56 e58721: 11.491311 b3469 - x8269 + x8270 <= 56 e58722: 11.491311 b3470 - x8270 + x8271 <= 56 e58723: 11.491311 b3471 - x8271 + x8272 <= 56 e58724: 11.491311 b3472 - x8272 + x8273 <= 56 e58725: 11.491311 b3473 - x8273 + x8274 <= 56 e58726: 11.491311 b3474 - x8274 + x8275 <= 56 e58727: 11.491311 b3475 - x8275 + x8276 <= 56 e58728: 11.491311 b3476 - x8276 + x8277 <= 56 e58729: 11.491311 b3477 - x8277 + x8278 <= 56 e58730: 11.491311 b3478 - x8278 + x8279 <= 56 e58731: 11.491311 b3479 - x8279 + x8280 <= 56 e58732: 11.491311 b3480 - x8280 + x8281 <= 56 e58733: x8282 <= 58 e58734: - 4.337887 b3482 - x8282 + x8283 <= 58 e58735: - 4.337887 b3483 - x8283 + x8284 <= 58 e58736: - 4.337887 b3484 - x8284 + x8285 <= 58 e58737: - 4.337887 b3485 - x8285 + x8286 <= 58 e58738: - 4.337887 b3486 - x8286 + x8287 <= 58 e58739: - 4.337887 b3487 - x8287 + x8288 <= 58 e58740: - 4.337887 b3488 - x8288 + x8289 <= 58 e58741: - 4.337887 b3489 - x8289 + x8290 <= 58 e58742: - 4.337887 b3490 - x8290 + x8291 <= 58 e58743: - 4.337887 b3491 - x8291 + x8292 <= 58 e58744: - 4.337887 b3492 - x8292 + x8293 <= 58 e58745: - 4.337887 b3493 - x8293 + x8294 <= 58 e58746: - 4.337887 b3494 - x8294 + x8295 <= 58 e58747: - 4.337887 b3495 - x8295 + x8296 <= 58 e58748: - 4.337887 b3496 - x8296 + x8297 <= 58 e58749: - 4.337887 b3497 - x8297 + x8298 <= 58 e58750: - 4.337887 b3498 - x8298 + x8299 <= 58 e58751: - 4.337887 b3499 - x8299 + x8300 <= 58 e58752: - 4.337887 b3500 - x8300 + x8301 <= 58 e58753: - 4.337887 b3501 - x8301 + x8302 <= 58 e58754: - 4.337887 b3502 - x8302 + x8303 <= 58 e58755: - 4.337887 b3503 - x8303 + x8304 <= 58 e58756: - 4.337887 b3504 - x8304 + x8305 <= 58 e58757: x8306 <= 53 e58758: - 5.021552 b3506 - x8306 + x8307 <= 53 e58759: - 5.021552 b3507 - x8307 + x8308 <= 53 e58760: - 5.021552 b3508 - x8308 + x8309 <= 53 e58761: - 5.021552 b3509 - x8309 + x8310 <= 53 e58762: - 5.021552 b3510 - x8310 + x8311 <= 53 e58763: - 5.021552 b3511 - x8311 + x8312 <= 53 e58764: - 5.021552 b3512 - x8312 + x8313 <= 53 e58765: - 5.021552 b3513 - x8313 + x8314 <= 53 e58766: - 5.021552 b3514 - x8314 + x8315 <= 53 e58767: - 5.021552 b3515 - x8315 + x8316 <= 53 e58768: - 5.021552 b3516 - x8316 + x8317 <= 53 e58769: - 5.021552 b3517 - x8317 + x8318 <= 53 e58770: - 5.021552 b3518 - x8318 + x8319 <= 53 e58771: - 5.021552 b3519 - x8319 + x8320 <= 53 e58772: - 5.021552 b3520 - x8320 + x8321 <= 53 e58773: - 5.021552 b3521 - x8321 + x8322 <= 53 e58774: - 5.021552 b3522 - x8322 + x8323 <= 53 e58775: - 5.021552 b3523 - x8323 + x8324 <= 53 e58776: - 5.021552 b3524 - x8324 + x8325 <= 53 e58777: - 5.021552 b3525 - x8325 + x8326 <= 53 e58778: - 5.021552 b3526 - x8326 + x8327 <= 53 e58779: - 5.021552 b3527 - x8327 + x8328 <= 53 e58780: - 5.021552 b3528 - x8328 + x8329 <= 53 e58781: x8330 <= 63 e58782: 17.49585 b3530 - x8330 + x8331 <= 63 e58783: 17.49585 b3531 - x8331 + x8332 <= 63 e58784: 17.49585 b3532 - x8332 + x8333 <= 63 e58785: 17.49585 b3533 - x8333 + x8334 <= 63 e58786: 17.49585 b3534 - x8334 + x8335 <= 63 e58787: 17.49585 b3535 - x8335 + x8336 <= 63 e58788: 17.49585 b3536 - x8336 + x8337 <= 63 e58789: 17.49585 b3537 - x8337 + x8338 <= 63 e58790: 17.49585 b3538 - x8338 + x8339 <= 63 e58791: 17.49585 b3539 - x8339 + x8340 <= 63 e58792: 17.49585 b3540 - x8340 + x8341 <= 63 e58793: 17.49585 b3541 - x8341 + x8342 <= 63 e58794: 17.49585 b3542 - x8342 + x8343 <= 63 e58795: 17.49585 b3543 - x8343 + x8344 <= 63 e58796: 17.49585 b3544 - x8344 + x8345 <= 63 e58797: 17.49585 b3545 - x8345 + x8346 <= 63 e58798: 17.49585 b3546 - x8346 + x8347 <= 63 e58799: 17.49585 b3547 - x8347 + x8348 <= 63 e58800: 17.49585 b3548 - x8348 + x8349 <= 63 e58801: 17.49585 b3549 - x8349 + x8350 <= 63 e58802: 17.49585 b3550 - x8350 + x8351 <= 63 e58803: 17.49585 b3551 - x8351 + x8352 <= 63 e58804: 17.49585 b3552 - x8352 + x8353 <= 63 e58805: x8354 <= 65 e58806: 26.722334 b3554 - x8354 + x8355 <= 65 e58807: 26.722334 b3555 - x8355 + x8356 <= 65 e58808: 26.722334 b3556 - x8356 + x8357 <= 65 e58809: 26.722334 b3557 - x8357 + x8358 <= 65 e58810: 26.722334 b3558 - x8358 + x8359 <= 65 e58811: 26.722334 b3559 - x8359 + x8360 <= 65 e58812: 26.722334 b3560 - x8360 + x8361 <= 65 e58813: 26.722334 b3561 - x8361 + x8362 <= 65 e58814: 26.722334 b3562 - x8362 + x8363 <= 65 e58815: 26.722334 b3563 - x8363 + x8364 <= 65 e58816: 26.722334 b3564 - x8364 + x8365 <= 65 e58817: 26.722334 b3565 - x8365 + x8366 <= 65 e58818: 26.722334 b3566 - x8366 + x8367 <= 65 e58819: 26.722334 b3567 - x8367 + x8368 <= 65 e58820: 26.722334 b3568 - x8368 + x8369 <= 65 e58821: 26.722334 b3569 - x8369 + x8370 <= 65 e58822: 26.722334 b3570 - x8370 + x8371 <= 65 e58823: 26.722334 b3571 - x8371 + x8372 <= 65 e58824: 26.722334 b3572 - x8372 + x8373 <= 65 e58825: 26.722334 b3573 - x8373 + x8374 <= 65 e58826: 26.722334 b3574 - x8374 + x8375 <= 65 e58827: 26.722334 b3575 - x8375 + x8376 <= 65 e58828: 26.722334 b3576 - x8376 + x8377 <= 65 e58829: x8378 <= 245.291049 e58830: 2.28527 b3578 - x8378 + x8379 <= 57 e58831: 2.28527 b3579 - x8379 + x8380 <= 57 e58832: 2.28527 b3580 - x8380 + x8381 <= 57 e58833: 2.28527 b3581 - x8381 + x8382 <= 57 e58834: 2.28527 b3582 - x8382 + x8383 <= 57 e58835: 2.28527 b3583 - x8383 + x8384 <= 57 e58836: 2.28527 b3584 - x8384 + x8385 <= 57 e58837: 2.28527 b3585 - x8385 + x8386 <= 57 e58838: 2.28527 b3586 - x8386 + x8387 <= 57 e58839: 2.28527 b3587 - x8387 + x8388 <= 57 e58840: 2.28527 b3588 - x8388 + x8389 <= 57 e58841: 2.28527 b3589 - x8389 + x8390 <= 57 e58842: 2.28527 b3590 - x8390 + x8391 <= 57 e58843: 2.28527 b3591 - x8391 + x8392 <= 57 e58844: 2.28527 b3592 - x8392 + x8393 <= 57 e58845: 2.28527 b3593 - x8393 + x8394 <= 57 e58846: 2.28527 b3594 - x8394 + x8395 <= 57 e58847: 2.28527 b3595 - x8395 + x8396 <= 57 e58848: 2.28527 b3596 - x8396 + x8397 <= 57 e58849: 2.28527 b3597 - x8397 + x8398 <= 57 e58850: 2.28527 b3598 - x8398 + x8399 <= 57 e58851: 2.28527 b3599 - x8399 + x8400 <= 57 e58852: 2.28527 b3600 - x8400 + x8401 <= 57 e58853: x8402 <= 52 e58854: - 5.498161 b3602 - x8402 + x8403 <= 52 e58855: - 5.498161 b3603 - x8403 + x8404 <= 52 e58856: - 5.498161 b3604 - x8404 + x8405 <= 52 e58857: - 5.498161 b3605 - x8405 + x8406 <= 52 e58858: - 5.498161 b3606 - x8406 + x8407 <= 52 e58859: - 5.498161 b3607 - x8407 + x8408 <= 52 e58860: - 5.498161 b3608 - x8408 + x8409 <= 52 e58861: - 5.498161 b3609 - x8409 + x8410 <= 52 e58862: - 5.498161 b3610 - x8410 + x8411 <= 52 e58863: - 5.498161 b3611 - x8411 + x8412 <= 52 e58864: - 5.498161 b3612 - x8412 + x8413 <= 52 e58865: - 5.498161 b3613 - x8413 + x8414 <= 52 e58866: - 5.498161 b3614 - x8414 + x8415 <= 52 e58867: - 5.498161 b3615 - x8415 + x8416 <= 52 e58868: - 5.498161 b3616 - x8416 + x8417 <= 52 e58869: - 5.498161 b3617 - x8417 + x8418 <= 52 e58870: - 5.498161 b3618 - x8418 + x8419 <= 52 e58871: - 5.498161 b3619 - x8419 + x8420 <= 52 e58872: - 5.498161 b3620 - x8420 + x8421 <= 52 e58873: - 5.498161 b3621 - x8421 + x8422 <= 52 e58874: - 5.498161 b3622 - x8422 + x8423 <= 52 e58875: - 5.498161 b3623 - x8423 + x8424 <= 52 e58876: - 5.498161 b3624 - x8424 + x8425 <= 52 e58877: x8426 <= 220.142109 e58878: - 24.224174 b3626 - x8426 + x8427 <= 54 e58879: - 24.224174 b3627 - x8427 + x8428 <= 54 e58880: - 24.224174 b3628 - x8428 + x8429 <= 54 e58881: - 24.224174 b3629 - x8429 + x8430 <= 54 e58882: - 24.224174 b3630 - x8430 + x8431 <= 54 e58883: - 24.224174 b3631 - x8431 + x8432 <= 54 e58884: - 24.224174 b3632 - x8432 + x8433 <= 54 e58885: - 24.224174 b3633 - x8433 + x8434 <= 54 e58886: - 24.224174 b3634 - x8434 + x8435 <= 54 e58887: - 24.224174 b3635 - x8435 + x8436 <= 54 e58888: - 24.224174 b3636 - x8436 + x8437 <= 54 e58889: - 24.224174 b3637 - x8437 + x8438 <= 54 e58890: - 24.224174 b3638 - x8438 + x8439 <= 54 e58891: - 24.224174 b3639 - x8439 + x8440 <= 54 e58892: - 24.224174 b3640 - x8440 + x8441 <= 54 e58893: - 24.224174 b3641 - x8441 + x8442 <= 54 e58894: - 24.224174 b3642 - x8442 + x8443 <= 54 e58895: - 24.224174 b3643 - x8443 + x8444 <= 54 e58896: - 24.224174 b3644 - x8444 + x8445 <= 54 e58897: - 24.224174 b3645 - x8445 + x8446 <= 54 e58898: - 24.224174 b3646 - x8446 + x8447 <= 54 e58899: - 24.224174 b3647 - x8447 + x8448 <= 54 e58900: - 24.224174 b3648 - x8448 + x8449 <= 54 e58901: x8450 <= 170.695615 e58902: - 11.065555 b3650 - x8450 + x8451 <= 53 e58903: - 11.065555 b3651 - x8451 + x8452 <= 53 e58904: - 11.065555 b3652 - x8452 + x8453 <= 53 e58905: - 11.065555 b3653 - x8453 + x8454 <= 53 e58906: - 11.065555 b3654 - x8454 + x8455 <= 53 e58907: - 11.065555 b3655 - x8455 + x8456 <= 53 e58908: - 11.065555 b3656 - x8456 + x8457 <= 53 e58909: - 11.065555 b3657 - x8457 + x8458 <= 53 e58910: - 11.065555 b3658 - x8458 + x8459 <= 53 e58911: - 11.065555 b3659 - x8459 + x8460 <= 53 e58912: - 11.065555 b3660 - x8460 + x8461 <= 53 e58913: - 11.065555 b3661 - x8461 + x8462 <= 53 e58914: - 11.065555 b3662 - x8462 + x8463 <= 53 e58915: - 11.065555 b3663 - x8463 + x8464 <= 53 e58916: - 11.065555 b3664 - x8464 + x8465 <= 53 e58917: - 11.065555 b3665 - x8465 + x8466 <= 53 e58918: - 11.065555 b3666 - x8466 + x8467 <= 53 e58919: - 11.065555 b3667 - x8467 + x8468 <= 53 e58920: - 11.065555 b3668 - x8468 + x8469 <= 53 e58921: - 11.065555 b3669 - x8469 + x8470 <= 53 e58922: - 11.065555 b3670 - x8470 + x8471 <= 53 e58923: - 11.065555 b3671 - x8471 + x8472 <= 53 e58924: - 11.065555 b3672 - x8472 + x8473 <= 53 e58925: x8474 <= 161.672535 e58926: 1.201522 b3674 - x8474 + x8475 <= 59 e58927: 1.201522 b3675 - x8475 + x8476 <= 59 e58928: 1.201522 b3676 - x8476 + x8477 <= 59 e58929: 1.201522 b3677 - x8477 + x8478 <= 59 e58930: 1.201522 b3678 - x8478 + x8479 <= 59 e58931: 1.201522 b3679 - x8479 + x8480 <= 59 e58932: 1.201522 b3680 - x8480 + x8481 <= 59 e58933: 1.201522 b3681 - x8481 + x8482 <= 59 e58934: 1.201522 b3682 - x8482 + x8483 <= 59 e58935: 1.201522 b3683 - x8483 + x8484 <= 59 e58936: 1.201522 b3684 - x8484 + x8485 <= 59 e58937: 1.201522 b3685 - x8485 + x8486 <= 59 e58938: 1.201522 b3686 - x8486 + x8487 <= 59 e58939: 1.201522 b3687 - x8487 + x8488 <= 59 e58940: 1.201522 b3688 - x8488 + x8489 <= 59 e58941: 1.201522 b3689 - x8489 + x8490 <= 59 e58942: 1.201522 b3690 - x8490 + x8491 <= 59 e58943: 1.201522 b3691 - x8491 + x8492 <= 59 e58944: 1.201522 b3692 - x8492 + x8493 <= 59 e58945: 1.201522 b3693 - x8493 + x8494 <= 59 e58946: 1.201522 b3694 - x8494 + x8495 <= 59 e58947: 1.201522 b3695 - x8495 + x8496 <= 59 e58948: 1.201522 b3696 - x8496 + x8497 <= 59 e58949: x8498 <= 51 e58950: - 1.341537 b3698 - x8498 + x8499 <= 51 e58951: - 1.341537 b3699 - x8499 + x8500 <= 51 e58952: - 1.341537 b3700 - x8500 + x8501 <= 51 e58953: - 1.341537 b3701 - x8501 + x8502 <= 51 e58954: - 1.341537 b3702 - x8502 + x8503 <= 51 e58955: - 1.341537 b3703 - x8503 + x8504 <= 51 e58956: - 1.341537 b3704 - x8504 + x8505 <= 51 e58957: - 1.341537 b3705 - x8505 + x8506 <= 51 e58958: - 1.341537 b3706 - x8506 + x8507 <= 51 e58959: - 1.341537 b3707 - x8507 + x8508 <= 51 e58960: - 1.341537 b3708 - x8508 + x8509 <= 51 e58961: - 1.341537 b3709 - x8509 + x8510 <= 51 e58962: - 1.341537 b3710 - x8510 + x8511 <= 51 e58963: - 1.341537 b3711 - x8511 + x8512 <= 51 e58964: - 1.341537 b3712 - x8512 + x8513 <= 51 e58965: - 1.341537 b3713 - x8513 + x8514 <= 51 e58966: - 1.341537 b3714 - x8514 + x8515 <= 51 e58967: - 1.341537 b3715 - x8515 + x8516 <= 51 e58968: - 1.341537 b3716 - x8516 + x8517 <= 51 e58969: - 1.341537 b3717 - x8517 + x8518 <= 51 e58970: - 1.341537 b3718 - x8518 + x8519 <= 51 e58971: - 1.341537 b3719 - x8519 + x8520 <= 51 e58972: - 1.341537 b3720 - x8520 + x8521 <= 51 e58973: x8522 <= 62 e58974: 17.051754 b3722 - x8522 + x8523 <= 62 e58975: 17.051754 b3723 - x8523 + x8524 <= 62 e58976: 17.051754 b3724 - x8524 + x8525 <= 62 e58977: 17.051754 b3725 - x8525 + x8526 <= 62 e58978: 17.051754 b3726 - x8526 + x8527 <= 62 e58979: 17.051754 b3727 - x8527 + x8528 <= 62 e58980: 17.051754 b3728 - x8528 + x8529 <= 62 e58981: 17.051754 b3729 - x8529 + x8530 <= 62 e58982: 17.051754 b3730 - x8530 + x8531 <= 62 e58983: 17.051754 b3731 - x8531 + x8532 <= 62 e58984: 17.051754 b3732 - x8532 + x8533 <= 62 e58985: 17.051754 b3733 - x8533 + x8534 <= 62 e58986: 17.051754 b3734 - x8534 + x8535 <= 62 e58987: 17.051754 b3735 - x8535 + x8536 <= 62 e58988: 17.051754 b3736 - x8536 + x8537 <= 62 e58989: 17.051754 b3737 - x8537 + x8538 <= 62 e58990: 17.051754 b3738 - x8538 + x8539 <= 62 e58991: 17.051754 b3739 - x8539 + x8540 <= 62 e58992: 17.051754 b3740 - x8540 + x8541 <= 62 e58993: 17.051754 b3741 - x8541 + x8542 <= 62 e58994: 17.051754 b3742 - x8542 + x8543 <= 62 e58995: 17.051754 b3743 - x8543 + x8544 <= 62 e58996: 17.051754 b3744 - x8544 + x8545 <= 62 e58997: x8546 <= 154.757579 e58998: - 1.139838 b3746 - x8546 + x8547 <= 55 e58999: - 1.139838 b3747 - x8547 + x8548 <= 55 e59000: - 1.139838 b3748 - x8548 + x8549 <= 55 e59001: - 1.139838 b3749 - x8549 + x8550 <= 55 e59002: - 1.139838 b3750 - x8550 + x8551 <= 55 e59003: - 1.139838 b3751 - x8551 + x8552 <= 55 e59004: - 1.139838 b3752 - x8552 + x8553 <= 55 e59005: - 1.139838 b3753 - x8553 + x8554 <= 55 e59006: - 1.139838 b3754 - x8554 + x8555 <= 55 e59007: - 1.139838 b3755 - x8555 + x8556 <= 55 e59008: - 1.139838 b3756 - x8556 + x8557 <= 55 e59009: - 1.139838 b3757 - x8557 + x8558 <= 55 e59010: - 1.139838 b3758 - x8558 + x8559 <= 55 e59011: - 1.139838 b3759 - x8559 + x8560 <= 55 e59012: - 1.139838 b3760 - x8560 + x8561 <= 55 e59013: - 1.139838 b3761 - x8561 + x8562 <= 55 e59014: - 1.139838 b3762 - x8562 + x8563 <= 55 e59015: - 1.139838 b3763 - x8563 + x8564 <= 55 e59016: - 1.139838 b3764 - x8564 + x8565 <= 55 e59017: - 1.139838 b3765 - x8565 + x8566 <= 55 e59018: - 1.139838 b3766 - x8566 + x8567 <= 55 e59019: - 1.139838 b3767 - x8567 + x8568 <= 55 e59020: - 1.139838 b3768 - x8568 + x8569 <= 55 e59021: x8570 <= 51 e59022: 1.660609 b3770 - x8570 + x8571 <= 51 e59023: 1.660609 b3771 - x8571 + x8572 <= 51 e59024: 1.660609 b3772 - x8572 + x8573 <= 51 e59025: 1.660609 b3773 - x8573 + x8574 <= 51 e59026: 1.660609 b3774 - x8574 + x8575 <= 51 e59027: 1.660609 b3775 - x8575 + x8576 <= 51 e59028: 1.660609 b3776 - x8576 + x8577 <= 51 e59029: 1.660609 b3777 - x8577 + x8578 <= 51 e59030: 1.660609 b3778 - x8578 + x8579 <= 51 e59031: 1.660609 b3779 - x8579 + x8580 <= 51 e59032: 1.660609 b3780 - x8580 + x8581 <= 51 e59033: 1.660609 b3781 - x8581 + x8582 <= 51 e59034: 1.660609 b3782 - x8582 + x8583 <= 51 e59035: 1.660609 b3783 - x8583 + x8584 <= 51 e59036: 1.660609 b3784 - x8584 + x8585 <= 51 e59037: 1.660609 b3785 - x8585 + x8586 <= 51 e59038: 1.660609 b3786 - x8586 + x8587 <= 51 e59039: 1.660609 b3787 - x8587 + x8588 <= 51 e59040: 1.660609 b3788 - x8588 + x8589 <= 51 e59041: 1.660609 b3789 - x8589 + x8590 <= 51 e59042: 1.660609 b3790 - x8590 + x8591 <= 51 e59043: 1.660609 b3791 - x8591 + x8592 <= 51 e59044: 1.660609 b3792 - x8592 + x8593 <= 51 e59045: x8594 <= 53 e59046: - 0.323541 b3794 - x8594 + x8595 <= 53 e59047: - 0.323541 b3795 - x8595 + x8596 <= 53 e59048: - 0.323541 b3796 - x8596 + x8597 <= 53 e59049: - 0.323541 b3797 - x8597 + x8598 <= 53 e59050: - 0.323541 b3798 - x8598 + x8599 <= 53 e59051: - 0.323541 b3799 - x8599 + x8600 <= 53 e59052: - 0.323541 b3800 - x8600 + x8601 <= 53 e59053: - 0.323541 b3801 - x8601 + x8602 <= 53 e59054: - 0.323541 b3802 - x8602 + x8603 <= 53 e59055: - 0.323541 b3803 - x8603 + x8604 <= 53 e59056: - 0.323541 b3804 - x8604 + x8605 <= 53 e59057: - 0.323541 b3805 - x8605 + x8606 <= 53 e59058: - 0.323541 b3806 - x8606 + x8607 <= 53 e59059: - 0.323541 b3807 - x8607 + x8608 <= 53 e59060: - 0.323541 b3808 - x8608 + x8609 <= 53 e59061: - 0.323541 b3809 - x8609 + x8610 <= 53 e59062: - 0.323541 b3810 - x8610 + x8611 <= 53 e59063: - 0.323541 b3811 - x8611 + x8612 <= 53 e59064: - 0.323541 b3812 - x8612 + x8613 <= 53 e59065: - 0.323541 b3813 - x8613 + x8614 <= 53 e59066: - 0.323541 b3814 - x8614 + x8615 <= 53 e59067: - 0.323541 b3815 - x8615 + x8616 <= 53 e59068: - 0.323541 b3816 - x8616 + x8617 <= 53 e59069: x8618 <= 224.756486 e59070: - 5.456587 b3818 - x8618 + x8619 <= 53 e59071: - 5.456587 b3819 - x8619 + x8620 <= 53 e59072: - 5.456587 b3820 - x8620 + x8621 <= 53 e59073: - 5.456587 b3821 - x8621 + x8622 <= 53 e59074: - 5.456587 b3822 - x8622 + x8623 <= 53 e59075: - 5.456587 b3823 - x8623 + x8624 <= 53 e59076: - 5.456587 b3824 - x8624 + x8625 <= 53 e59077: - 5.456587 b3825 - x8625 + x8626 <= 53 e59078: - 5.456587 b3826 - x8626 + x8627 <= 53 e59079: - 5.456587 b3827 - x8627 + x8628 <= 53 e59080: - 5.456587 b3828 - x8628 + x8629 <= 53 e59081: - 5.456587 b3829 - x8629 + x8630 <= 53 e59082: - 5.456587 b3830 - x8630 + x8631 <= 53 e59083: - 5.456587 b3831 - x8631 + x8632 <= 53 e59084: - 5.456587 b3832 - x8632 + x8633 <= 53 e59085: - 5.456587 b3833 - x8633 + x8634 <= 53 e59086: - 5.456587 b3834 - x8634 + x8635 <= 53 e59087: - 5.456587 b3835 - x8635 + x8636 <= 53 e59088: - 5.456587 b3836 - x8636 + x8637 <= 53 e59089: - 5.456587 b3837 - x8637 + x8638 <= 53 e59090: - 5.456587 b3838 - x8638 + x8639 <= 53 e59091: - 5.456587 b3839 - x8639 + x8640 <= 53 e59092: - 5.456587 b3840 - x8640 + x8641 <= 53 e59093: x8642 <= 67 e59094: 19.953278 b3842 - x8642 + x8643 <= 67 e59095: 19.953278 b3843 - x8643 + x8644 <= 67 e59096: 19.953278 b3844 - x8644 + x8645 <= 67 e59097: 19.953278 b3845 - x8645 + x8646 <= 67 e59098: 19.953278 b3846 - x8646 + x8647 <= 67 e59099: 19.953278 b3847 - x8647 + x8648 <= 67 e59100: 19.953278 b3848 - x8648 + x8649 <= 67 e59101: 19.953278 b3849 - x8649 + x8650 <= 67 e59102: 19.953278 b3850 - x8650 + x8651 <= 67 e59103: 19.953278 b3851 - x8651 + x8652 <= 67 e59104: 19.953278 b3852 - x8652 + x8653 <= 67 e59105: 19.953278 b3853 - x8653 + x8654 <= 67 e59106: 19.953278 b3854 - x8654 + x8655 <= 67 e59107: 19.953278 b3855 - x8655 + x8656 <= 67 e59108: 19.953278 b3856 - x8656 + x8657 <= 67 e59109: 19.953278 b3857 - x8657 + x8658 <= 67 e59110: 19.953278 b3858 - x8658 + x8659 <= 67 e59111: 19.953278 b3859 - x8659 + x8660 <= 67 e59112: 19.953278 b3860 - x8660 + x8661 <= 67 e59113: 19.953278 b3861 - x8661 + x8662 <= 67 e59114: 19.953278 b3862 - x8662 + x8663 <= 67 e59115: 19.953278 b3863 - x8663 + x8664 <= 67 e59116: 19.953278 b3864 - x8664 + x8665 <= 67 e59117: x8666 <= 55 e59118: - 11.008668 b3866 - x8666 + x8667 <= 55 e59119: - 11.008668 b3867 - x8667 + x8668 <= 55 e59120: - 11.008668 b3868 - x8668 + x8669 <= 55 e59121: - 11.008668 b3869 - x8669 + x8670 <= 55 e59122: - 11.008668 b3870 - x8670 + x8671 <= 55 e59123: - 11.008668 b3871 - x8671 + x8672 <= 55 e59124: - 11.008668 b3872 - x8672 + x8673 <= 55 e59125: - 11.008668 b3873 - x8673 + x8674 <= 55 e59126: - 11.008668 b3874 - x8674 + x8675 <= 55 e59127: - 11.008668 b3875 - x8675 + x8676 <= 55 e59128: - 11.008668 b3876 - x8676 + x8677 <= 55 e59129: - 11.008668 b3877 - x8677 + x8678 <= 55 e59130: - 11.008668 b3878 - x8678 + x8679 <= 55 e59131: - 11.008668 b3879 - x8679 + x8680 <= 55 e59132: - 11.008668 b3880 - x8680 + x8681 <= 55 e59133: - 11.008668 b3881 - x8681 + x8682 <= 55 e59134: - 11.008668 b3882 - x8682 + x8683 <= 55 e59135: - 11.008668 b3883 - x8683 + x8684 <= 55 e59136: - 11.008668 b3884 - x8684 + x8685 <= 55 e59137: - 11.008668 b3885 - x8685 + x8686 <= 55 e59138: - 11.008668 b3886 - x8686 + x8687 <= 55 e59139: - 11.008668 b3887 - x8687 + x8688 <= 55 e59140: - 11.008668 b3888 - x8688 + x8689 <= 55 e59141: x8690 <= 65 e59142: 14.378358 b3890 - x8690 + x8691 <= 65 e59143: 14.378358 b3891 - x8691 + x8692 <= 65 e59144: 14.378358 b3892 - x8692 + x8693 <= 65 e59145: 14.378358 b3893 - x8693 + x8694 <= 65 e59146: 14.378358 b3894 - x8694 + x8695 <= 65 e59147: 14.378358 b3895 - x8695 + x8696 <= 65 e59148: 14.378358 b3896 - x8696 + x8697 <= 65 e59149: 14.378358 b3897 - x8697 + x8698 <= 65 e59150: 14.378358 b3898 - x8698 + x8699 <= 65 e59151: 14.378358 b3899 - x8699 + x8700 <= 65 e59152: 14.378358 b3900 - x8700 + x8701 <= 65 e59153: 14.378358 b3901 - x8701 + x8702 <= 65 e59154: 14.378358 b3902 - x8702 + x8703 <= 65 e59155: 14.378358 b3903 - x8703 + x8704 <= 65 e59156: 14.378358 b3904 - x8704 + x8705 <= 65 e59157: 14.378358 b3905 - x8705 + x8706 <= 65 e59158: 14.378358 b3906 - x8706 + x8707 <= 65 e59159: 14.378358 b3907 - x8707 + x8708 <= 65 e59160: 14.378358 b3908 - x8708 + x8709 <= 65 e59161: 14.378358 b3909 - x8709 + x8710 <= 65 e59162: 14.378358 b3910 - x8710 + x8711 <= 65 e59163: 14.378358 b3911 - x8711 + x8712 <= 65 e59164: 14.378358 b3912 - x8712 + x8713 <= 65 e59165: x8714 <= 143.648074 e59166: - 5.972769 b3914 - x8714 + x8715 <= 58 e59167: - 5.972769 b3915 - x8715 + x8716 <= 58 e59168: - 5.972769 b3916 - x8716 + x8717 <= 58 e59169: - 5.972769 b3917 - x8717 + x8718 <= 58 e59170: - 5.972769 b3918 - x8718 + x8719 <= 58 e59171: - 5.972769 b3919 - x8719 + x8720 <= 58 e59172: - 5.972769 b3920 - x8720 + x8721 <= 58 e59173: - 5.972769 b3921 - x8721 + x8722 <= 58 e59174: - 5.972769 b3922 - x8722 + x8723 <= 58 e59175: - 5.972769 b3923 - x8723 + x8724 <= 58 e59176: - 5.972769 b3924 - x8724 + x8725 <= 58 e59177: - 5.972769 b3925 - x8725 + x8726 <= 58 e59178: - 5.972769 b3926 - x8726 + x8727 <= 58 e59179: - 5.972769 b3927 - x8727 + x8728 <= 58 e59180: - 5.972769 b3928 - x8728 + x8729 <= 58 e59181: - 5.972769 b3929 - x8729 + x8730 <= 58 e59182: - 5.972769 b3930 - x8730 + x8731 <= 58 e59183: - 5.972769 b3931 - x8731 + x8732 <= 58 e59184: - 5.972769 b3932 - x8732 + x8733 <= 58 e59185: - 5.972769 b3933 - x8733 + x8734 <= 58 e59186: - 5.972769 b3934 - x8734 + x8735 <= 58 e59187: - 5.972769 b3935 - x8735 + x8736 <= 58 e59188: - 5.972769 b3936 - x8736 + x8737 <= 58 e59189: x8738 <= 62 e59190: - 0.16768 b3938 - x8738 + x8739 <= 62 e59191: - 0.16768 b3939 - x8739 + x8740 <= 62 e59192: - 0.16768 b3940 - x8740 + x8741 <= 62 e59193: - 0.16768 b3941 - x8741 + x8742 <= 62 e59194: - 0.16768 b3942 - x8742 + x8743 <= 62 e59195: - 0.16768 b3943 - x8743 + x8744 <= 62 e59196: - 0.16768 b3944 - x8744 + x8745 <= 62 e59197: - 0.16768 b3945 - x8745 + x8746 <= 62 e59198: - 0.16768 b3946 - x8746 + x8747 <= 62 e59199: - 0.16768 b3947 - x8747 + x8748 <= 62 e59200: - 0.16768 b3948 - x8748 + x8749 <= 62 e59201: - 0.16768 b3949 - x8749 + x8750 <= 62 e59202: - 0.16768 b3950 - x8750 + x8751 <= 62 e59203: - 0.16768 b3951 - x8751 + x8752 <= 62 e59204: - 0.16768 b3952 - x8752 + x8753 <= 62 e59205: - 0.16768 b3953 - x8753 + x8754 <= 62 e59206: - 0.16768 b3954 - x8754 + x8755 <= 62 e59207: - 0.16768 b3955 - x8755 + x8756 <= 62 e59208: - 0.16768 b3956 - x8756 + x8757 <= 62 e59209: - 0.16768 b3957 - x8757 + x8758 <= 62 e59210: - 0.16768 b3958 - x8758 + x8759 <= 62 e59211: - 0.16768 b3959 - x8759 + x8760 <= 62 e59212: - 0.16768 b3960 - x8760 + x8761 <= 62 e59213: x8762 <= 133.149833 e59214: 25.479085 b3962 - x8762 + x8763 <= 67 e59215: 25.479085 b3963 - x8763 + x8764 <= 67 e59216: 25.479085 b3964 - x8764 + x8765 <= 67 e59217: 25.479085 b3965 - x8765 + x8766 <= 67 e59218: 25.479085 b3966 - x8766 + x8767 <= 67 e59219: 25.479085 b3967 - x8767 + x8768 <= 67 e59220: 25.479085 b3968 - x8768 + x8769 <= 67 e59221: 25.479085 b3969 - x8769 + x8770 <= 67 e59222: 25.479085 b3970 - x8770 + x8771 <= 67 e59223: 25.479085 b3971 - x8771 + x8772 <= 67 e59224: 25.479085 b3972 - x8772 + x8773 <= 67 e59225: 25.479085 b3973 - x8773 + x8774 <= 67 e59226: 25.479085 b3974 - x8774 + x8775 <= 67 e59227: 25.479085 b3975 - x8775 + x8776 <= 67 e59228: 25.479085 b3976 - x8776 + x8777 <= 67 e59229: 25.479085 b3977 - x8777 + x8778 <= 67 e59230: 25.479085 b3978 - x8778 + x8779 <= 67 e59231: 25.479085 b3979 - x8779 + x8780 <= 67 e59232: 25.479085 b3980 - x8780 + x8781 <= 67 e59233: 25.479085 b3981 - x8781 + x8782 <= 67 e59234: 25.479085 b3982 - x8782 + x8783 <= 67 e59235: 25.479085 b3983 - x8783 + x8784 <= 67 e59236: 25.479085 b3984 - x8784 + x8785 <= 67 e59237: x8786 <= 51 e59238: - 4.502231 b3986 - x8786 + x8787 <= 51 e59239: - 4.502231 b3987 - x8787 + x8788 <= 51 e59240: - 4.502231 b3988 - x8788 + x8789 <= 51 e59241: - 4.502231 b3989 - x8789 + x8790 <= 51 e59242: - 4.502231 b3990 - x8790 + x8791 <= 51 e59243: - 4.502231 b3991 - x8791 + x8792 <= 51 e59244: - 4.502231 b3992 - x8792 + x8793 <= 51 e59245: - 4.502231 b3993 - x8793 + x8794 <= 51 e59246: - 4.502231 b3994 - x8794 + x8795 <= 51 e59247: - 4.502231 b3995 - x8795 + x8796 <= 51 e59248: - 4.502231 b3996 - x8796 + x8797 <= 51 e59249: - 4.502231 b3997 - x8797 + x8798 <= 51 e59250: - 4.502231 b3998 - x8798 + x8799 <= 51 e59251: - 4.502231 b3999 - x8799 + x8800 <= 51 e59252: - 4.502231 b4000 - x8800 + x8801 <= 51 e59253: - 4.502231 b4001 - x8801 + x8802 <= 51 e59254: - 4.502231 b4002 - x8802 + x8803 <= 51 e59255: - 4.502231 b4003 - x8803 + x8804 <= 51 e59256: - 4.502231 b4004 - x8804 + x8805 <= 51 e59257: - 4.502231 b4005 - x8805 + x8806 <= 51 e59258: - 4.502231 b4006 - x8806 + x8807 <= 51 e59259: - 4.502231 b4007 - x8807 + x8808 <= 51 e59260: - 4.502231 b4008 - x8808 + x8809 <= 51 e59261: x8810 <= 321.917275 e59262: - 7.243342 b4010 - x8810 + x8811 <= 89 e59263: - 7.243342 b4011 - x8811 + x8812 <= 89 e59264: - 7.243342 b4012 - x8812 + x8813 <= 89 e59265: - 7.243342 b4013 - x8813 + x8814 <= 89 e59266: - 7.243342 b4014 - x8814 + x8815 <= 89 e59267: - 7.243342 b4015 - x8815 + x8816 <= 89 e59268: - 7.243342 b4016 - x8816 + x8817 <= 89 e59269: - 7.243342 b4017 - x8817 + x8818 <= 89 e59270: - 7.243342 b4018 - x8818 + x8819 <= 89 e59271: - 7.243342 b4019 - x8819 + x8820 <= 89 e59272: - 7.243342 b4020 - x8820 + x8821 <= 89 e59273: - 7.243342 b4021 - x8821 + x8822 <= 89 e59274: - 7.243342 b4022 - x8822 + x8823 <= 89 e59275: - 7.243342 b4023 - x8823 + x8824 <= 89 e59276: - 7.243342 b4024 - x8824 + x8825 <= 89 e59277: - 7.243342 b4025 - x8825 + x8826 <= 89 e59278: - 7.243342 b4026 - x8826 + x8827 <= 89 e59279: - 7.243342 b4027 - x8827 + x8828 <= 89 e59280: - 7.243342 b4028 - x8828 + x8829 <= 89 e59281: - 7.243342 b4029 - x8829 + x8830 <= 89 e59282: - 7.243342 b4030 - x8830 + x8831 <= 89 e59283: - 7.243342 b4031 - x8831 + x8832 <= 89 e59284: - 7.243342 b4032 - x8832 + x8833 <= 89 e59285: x8834 <= 298.047686 e59286: - 37.703444 b4034 - x8834 + x8835 <= 79 e59287: - 37.703444 b4035 - x8835 + x8836 <= 79 e59288: - 37.703444 b4036 - x8836 + x8837 <= 79 e59289: - 37.703444 b4037 - x8837 + x8838 <= 79 e59290: - 37.703444 b4038 - x8838 + x8839 <= 79 e59291: - 37.703444 b4039 - x8839 + x8840 <= 79 e59292: - 37.703444 b4040 - x8840 + x8841 <= 79 e59293: - 37.703444 b4041 - x8841 + x8842 <= 79 e59294: - 37.703444 b4042 - x8842 + x8843 <= 79 e59295: - 37.703444 b4043 - x8843 + x8844 <= 79 e59296: - 37.703444 b4044 - x8844 + x8845 <= 79 e59297: - 37.703444 b4045 - x8845 + x8846 <= 79 e59298: - 37.703444 b4046 - x8846 + x8847 <= 79 e59299: - 37.703444 b4047 - x8847 + x8848 <= 79 e59300: - 37.703444 b4048 - x8848 + x8849 <= 79 e59301: - 37.703444 b4049 - x8849 + x8850 <= 79 e59302: - 37.703444 b4050 - x8850 + x8851 <= 79 e59303: - 37.703444 b4051 - x8851 + x8852 <= 79 e59304: - 37.703444 b4052 - x8852 + x8853 <= 79 e59305: - 37.703444 b4053 - x8853 + x8854 <= 79 e59306: - 37.703444 b4054 - x8854 + x8855 <= 79 e59307: - 37.703444 b4055 - x8855 + x8856 <= 79 e59308: - 37.703444 b4056 - x8856 + x8857 <= 79 e59309: x8858 <= 89 e59310: - 10.52773 b4058 - x8858 + x8859 <= 89 e59311: - 10.52773 b4059 - x8859 + x8860 <= 89 e59312: - 10.52773 b4060 - x8860 + x8861 <= 89 e59313: - 10.52773 b4061 - x8861 + x8862 <= 89 e59314: - 10.52773 b4062 - x8862 + x8863 <= 89 e59315: - 10.52773 b4063 - x8863 + x8864 <= 89 e59316: - 10.52773 b4064 - x8864 + x8865 <= 89 e59317: - 10.52773 b4065 - x8865 + x8866 <= 89 e59318: - 10.52773 b4066 - x8866 + x8867 <= 89 e59319: - 10.52773 b4067 - x8867 + x8868 <= 89 e59320: - 10.52773 b4068 - x8868 + x8869 <= 89 e59321: - 10.52773 b4069 - x8869 + x8870 <= 89 e59322: - 10.52773 b4070 - x8870 + x8871 <= 89 e59323: - 10.52773 b4071 - x8871 + x8872 <= 89 e59324: - 10.52773 b4072 - x8872 + x8873 <= 89 e59325: - 10.52773 b4073 - x8873 + x8874 <= 89 e59326: - 10.52773 b4074 - x8874 + x8875 <= 89 e59327: - 10.52773 b4075 - x8875 + x8876 <= 89 e59328: - 10.52773 b4076 - x8876 + x8877 <= 89 e59329: - 10.52773 b4077 - x8877 + x8878 <= 89 e59330: - 10.52773 b4078 - x8878 + x8879 <= 89 e59331: - 10.52773 b4079 - x8879 + x8880 <= 89 e59332: - 10.52773 b4080 - x8880 + x8881 <= 89 e59333: x8882 <= 308.03711 e59334: - 5.446034 b4082 - x8882 + x8883 <= 86 e59335: - 5.446034 b4083 - x8883 + x8884 <= 86 e59336: - 5.446034 b4084 - x8884 + x8885 <= 86 e59337: - 5.446034 b4085 - x8885 + x8886 <= 86 e59338: - 5.446034 b4086 - x8886 + x8887 <= 86 e59339: - 5.446034 b4087 - x8887 + x8888 <= 86 e59340: - 5.446034 b4088 - x8888 + x8889 <= 86 e59341: - 5.446034 b4089 - x8889 + x8890 <= 86 e59342: - 5.446034 b4090 - x8890 + x8891 <= 86 e59343: - 5.446034 b4091 - x8891 + x8892 <= 86 e59344: - 5.446034 b4092 - x8892 + x8893 <= 86 e59345: - 5.446034 b4093 - x8893 + x8894 <= 86 e59346: - 5.446034 b4094 - x8894 + x8895 <= 86 e59347: - 5.446034 b4095 - x8895 + x8896 <= 86 e59348: - 5.446034 b4096 - x8896 + x8897 <= 86 e59349: - 5.446034 b4097 - x8897 + x8898 <= 86 e59350: - 5.446034 b4098 - x8898 + x8899 <= 86 e59351: - 5.446034 b4099 - x8899 + x8900 <= 86 e59352: - 5.446034 b4100 - x8900 + x8901 <= 86 e59353: - 5.446034 b4101 - x8901 + x8902 <= 86 e59354: - 5.446034 b4102 - x8902 + x8903 <= 86 e59355: - 5.446034 b4103 - x8903 + x8904 <= 86 e59356: - 5.446034 b4104 - x8904 + x8905 <= 86 e59357: x8906 <= 363.172961 e59358: - 6.293505 b4106 - x8906 + x8907 <= 96 e59359: - 6.293505 b4107 - x8907 + x8908 <= 96 e59360: - 6.293505 b4108 - x8908 + x8909 <= 96 e59361: - 6.293505 b4109 - x8909 + x8910 <= 96 e59362: - 6.293505 b4110 - x8910 + x8911 <= 96 e59363: - 6.293505 b4111 - x8911 + x8912 <= 96 e59364: - 6.293505 b4112 - x8912 + x8913 <= 96 e59365: - 6.293505 b4113 - x8913 + x8914 <= 96 e59366: - 6.293505 b4114 - x8914 + x8915 <= 96 e59367: - 6.293505 b4115 - x8915 + x8916 <= 96 e59368: - 6.293505 b4116 - x8916 + x8917 <= 96 e59369: - 6.293505 b4117 - x8917 + x8918 <= 96 e59370: - 6.293505 b4118 - x8918 + x8919 <= 96 e59371: - 6.293505 b4119 - x8919 + x8920 <= 96 e59372: - 6.293505 b4120 - x8920 + x8921 <= 96 e59373: - 6.293505 b4121 - x8921 + x8922 <= 96 e59374: - 6.293505 b4122 - x8922 + x8923 <= 96 e59375: - 6.293505 b4123 - x8923 + x8924 <= 96 e59376: - 6.293505 b4124 - x8924 + x8925 <= 96 e59377: - 6.293505 b4125 - x8925 + x8926 <= 96 e59378: - 6.293505 b4126 - x8926 + x8927 <= 96 e59379: - 6.293505 b4127 - x8927 + x8928 <= 96 e59380: - 6.293505 b4128 - x8928 + x8929 <= 96 e59381: x8930 <= 342.780703 e59382: 6.862473 b4130 - x8930 + x8931 <= 94 e59383: 6.862473 b4131 - x8931 + x8932 <= 94 e59384: 6.862473 b4132 - x8932 + x8933 <= 94 e59385: 6.862473 b4133 - x8933 + x8934 <= 94 e59386: 6.862473 b4134 - x8934 + x8935 <= 94 e59387: 6.862473 b4135 - x8935 + x8936 <= 94 e59388: 6.862473 b4136 - x8936 + x8937 <= 94 e59389: 6.862473 b4137 - x8937 + x8938 <= 94 e59390: 6.862473 b4138 - x8938 + x8939 <= 94 e59391: 6.862473 b4139 - x8939 + x8940 <= 94 e59392: 6.862473 b4140 - x8940 + x8941 <= 94 e59393: 6.862473 b4141 - x8941 + x8942 <= 94 e59394: 6.862473 b4142 - x8942 + x8943 <= 94 e59395: 6.862473 b4143 - x8943 + x8944 <= 94 e59396: 6.862473 b4144 - x8944 + x8945 <= 94 e59397: 6.862473 b4145 - x8945 + x8946 <= 94 e59398: 6.862473 b4146 - x8946 + x8947 <= 94 e59399: 6.862473 b4147 - x8947 + x8948 <= 94 e59400: 6.862473 b4148 - x8948 + x8949 <= 94 e59401: 6.862473 b4149 - x8949 + x8950 <= 94 e59402: 6.862473 b4150 - x8950 + x8951 <= 94 e59403: 6.862473 b4151 - x8951 + x8952 <= 94 e59404: 6.862473 b4152 - x8952 + x8953 <= 94 e59405: x8954 <= 235.837889 e59406: 6.417735 b4154 - x8954 + x8955 <= 97 e59407: 6.417735 b4155 - x8955 + x8956 <= 97 e59408: 6.417735 b4156 - x8956 + x8957 <= 97 e59409: 6.417735 b4157 - x8957 + x8958 <= 97 e59410: 6.417735 b4158 - x8958 + x8959 <= 97 e59411: 6.417735 b4159 - x8959 + x8960 <= 97 e59412: 6.417735 b4160 - x8960 + x8961 <= 97 e59413: 6.417735 b4161 - x8961 + x8962 <= 97 e59414: 6.417735 b4162 - x8962 + x8963 <= 97 e59415: 6.417735 b4163 - x8963 + x8964 <= 97 e59416: 6.417735 b4164 - x8964 + x8965 <= 97 e59417: 6.417735 b4165 - x8965 + x8966 <= 97 e59418: 6.417735 b4166 - x8966 + x8967 <= 97 e59419: 6.417735 b4167 - x8967 + x8968 <= 97 e59420: 6.417735 b4168 - x8968 + x8969 <= 97 e59421: 6.417735 b4169 - x8969 + x8970 <= 97 e59422: 6.417735 b4170 - x8970 + x8971 <= 97 e59423: 6.417735 b4171 - x8971 + x8972 <= 97 e59424: 6.417735 b4172 - x8972 + x8973 <= 97 e59425: 6.417735 b4173 - x8973 + x8974 <= 97 e59426: 6.417735 b4174 - x8974 + x8975 <= 97 e59427: 6.417735 b4175 - x8975 + x8976 <= 97 e59428: 6.417735 b4176 - x8976 + x8977 <= 97 e59429: x8978 <= 76 e59430: 2.092451 b4178 - x8978 + x8979 <= 76 e59431: 2.092451 b4179 - x8979 + x8980 <= 76 e59432: 2.092451 b4180 - x8980 + x8981 <= 76 e59433: 2.092451 b4181 - x8981 + x8982 <= 76 e59434: 2.092451 b4182 - x8982 + x8983 <= 76 e59435: 2.092451 b4183 - x8983 + x8984 <= 76 e59436: 2.092451 b4184 - x8984 + x8985 <= 76 e59437: 2.092451 b4185 - x8985 + x8986 <= 76 e59438: 2.092451 b4186 - x8986 + x8987 <= 76 e59439: 2.092451 b4187 - x8987 + x8988 <= 76 e59440: 2.092451 b4188 - x8988 + x8989 <= 76 e59441: 2.092451 b4189 - x8989 + x8990 <= 76 e59442: 2.092451 b4190 - x8990 + x8991 <= 76 e59443: 2.092451 b4191 - x8991 + x8992 <= 76 e59444: 2.092451 b4192 - x8992 + x8993 <= 76 e59445: 2.092451 b4193 - x8993 + x8994 <= 76 e59446: 2.092451 b4194 - x8994 + x8995 <= 76 e59447: 2.092451 b4195 - x8995 + x8996 <= 76 e59448: 2.092451 b4196 - x8996 + x8997 <= 76 e59449: 2.092451 b4197 - x8997 + x8998 <= 76 e59450: 2.092451 b4198 - x8998 + x8999 <= 76 e59451: 2.092451 b4199 - x8999 + x9000 <= 76 e59452: 2.092451 b4200 - x9000 + x9001 <= 76 e59453: x9002 <= 76 e59454: - 28.498977 b4202 - x9002 + x9003 <= 76 e59455: - 28.498977 b4203 - x9003 + x9004 <= 76 e59456: - 28.498977 b4204 - x9004 + x9005 <= 76 e59457: - 28.498977 b4205 - x9005 + x9006 <= 76 e59458: - 28.498977 b4206 - x9006 + x9007 <= 76 e59459: - 28.498977 b4207 - x9007 + x9008 <= 76 e59460: - 28.498977 b4208 - x9008 + x9009 <= 76 e59461: - 28.498977 b4209 - x9009 + x9010 <= 76 e59462: - 28.498977 b4210 - x9010 + x9011 <= 76 e59463: - 28.498977 b4211 - x9011 + x9012 <= 76 e59464: - 28.498977 b4212 - x9012 + x9013 <= 76 e59465: - 28.498977 b4213 - x9013 + x9014 <= 76 e59466: - 28.498977 b4214 - x9014 + x9015 <= 76 e59467: - 28.498977 b4215 - x9015 + x9016 <= 76 e59468: - 28.498977 b4216 - x9016 + x9017 <= 76 e59469: - 28.498977 b4217 - x9017 + x9018 <= 76 e59470: - 28.498977 b4218 - x9018 + x9019 <= 76 e59471: - 28.498977 b4219 - x9019 + x9020 <= 76 e59472: - 28.498977 b4220 - x9020 + x9021 <= 76 e59473: - 28.498977 b4221 - x9021 + x9022 <= 76 e59474: - 28.498977 b4222 - x9022 + x9023 <= 76 e59475: - 28.498977 b4223 - x9023 + x9024 <= 76 e59476: - 28.498977 b4224 - x9024 + x9025 <= 76 e59477: x9026 <= 80 e59478: 3.493924 b4226 - x9026 + x9027 <= 80 e59479: 3.493924 b4227 - x9027 + x9028 <= 80 e59480: 3.493924 b4228 - x9028 + x9029 <= 80 e59481: 3.493924 b4229 - x9029 + x9030 <= 80 e59482: 3.493924 b4230 - x9030 + x9031 <= 80 e59483: 3.493924 b4231 - x9031 + x9032 <= 80 e59484: 3.493924 b4232 - x9032 + x9033 <= 80 e59485: 3.493924 b4233 - x9033 + x9034 <= 80 e59486: 3.493924 b4234 - x9034 + x9035 <= 80 e59487: 3.493924 b4235 - x9035 + x9036 <= 80 e59488: 3.493924 b4236 - x9036 + x9037 <= 80 e59489: 3.493924 b4237 - x9037 + x9038 <= 80 e59490: 3.493924 b4238 - x9038 + x9039 <= 80 e59491: 3.493924 b4239 - x9039 + x9040 <= 80 e59492: 3.493924 b4240 - x9040 + x9041 <= 80 e59493: 3.493924 b4241 - x9041 + x9042 <= 80 e59494: 3.493924 b4242 - x9042 + x9043 <= 80 e59495: 3.493924 b4243 - x9043 + x9044 <= 80 e59496: 3.493924 b4244 - x9044 + x9045 <= 80 e59497: 3.493924 b4245 - x9045 + x9046 <= 80 e59498: 3.493924 b4246 - x9046 + x9047 <= 80 e59499: 3.493924 b4247 - x9047 + x9048 <= 80 e59500: 3.493924 b4248 - x9048 + x9049 <= 80 e59501: x9050 <= 70 e59502: - 51.957908 b4250 - x9050 + x9051 <= 70 e59503: - 51.957908 b4251 - x9051 + x9052 <= 70 e59504: - 51.957908 b4252 - x9052 + x9053 <= 70 e59505: - 51.957908 b4253 - x9053 + x9054 <= 70 e59506: - 51.957908 b4254 - x9054 + x9055 <= 70 e59507: - 51.957908 b4255 - x9055 + x9056 <= 70 e59508: - 51.957908 b4256 - x9056 + x9057 <= 70 e59509: - 51.957908 b4257 - x9057 + x9058 <= 70 e59510: - 51.957908 b4258 - x9058 + x9059 <= 70 e59511: - 51.957908 b4259 - x9059 + x9060 <= 70 e59512: - 51.957908 b4260 - x9060 + x9061 <= 70 e59513: - 51.957908 b4261 - x9061 + x9062 <= 70 e59514: - 51.957908 b4262 - x9062 + x9063 <= 70 e59515: - 51.957908 b4263 - x9063 + x9064 <= 70 e59516: - 51.957908 b4264 - x9064 + x9065 <= 70 e59517: - 51.957908 b4265 - x9065 + x9066 <= 70 e59518: - 51.957908 b4266 - x9066 + x9067 <= 70 e59519: - 51.957908 b4267 - x9067 + x9068 <= 70 e59520: - 51.957908 b4268 - x9068 + x9069 <= 70 e59521: - 51.957908 b4269 - x9069 + x9070 <= 70 e59522: - 51.957908 b4270 - x9070 + x9071 <= 70 e59523: - 51.957908 b4271 - x9071 + x9072 <= 70 e59524: - 51.957908 b4272 - x9072 + x9073 <= 70 e59525: x9074 <= 369.73539 e59526: - 2.023885 b4274 - x9074 + x9075 <= 91 e59527: - 2.023885 b4275 - x9075 + x9076 <= 91 e59528: - 2.023885 b4276 - x9076 + x9077 <= 91 e59529: - 2.023885 b4277 - x9077 + x9078 <= 91 e59530: - 2.023885 b4278 - x9078 + x9079 <= 91 e59531: - 2.023885 b4279 - x9079 + x9080 <= 91 e59532: - 2.023885 b4280 - x9080 + x9081 <= 91 e59533: - 2.023885 b4281 - x9081 + x9082 <= 91 e59534: - 2.023885 b4282 - x9082 + x9083 <= 91 e59535: - 2.023885 b4283 - x9083 + x9084 <= 91 e59536: - 2.023885 b4284 - x9084 + x9085 <= 91 e59537: - 2.023885 b4285 - x9085 + x9086 <= 91 e59538: - 2.023885 b4286 - x9086 + x9087 <= 91 e59539: - 2.023885 b4287 - x9087 + x9088 <= 91 e59540: - 2.023885 b4288 - x9088 + x9089 <= 91 e59541: - 2.023885 b4289 - x9089 + x9090 <= 91 e59542: - 2.023885 b4290 - x9090 + x9091 <= 91 e59543: - 2.023885 b4291 - x9091 + x9092 <= 91 e59544: - 2.023885 b4292 - x9092 + x9093 <= 91 e59545: - 2.023885 b4293 - x9093 + x9094 <= 91 e59546: - 2.023885 b4294 - x9094 + x9095 <= 91 e59547: - 2.023885 b4295 - x9095 + x9096 <= 91 e59548: - 2.023885 b4296 - x9096 + x9097 <= 91 e59549: x9098 <= 96 e59550: - 11.577731 b4298 - x9098 + x9099 <= 96 e59551: - 11.577731 b4299 - x9099 + x9100 <= 96 e59552: - 11.577731 b4300 - x9100 + x9101 <= 96 e59553: - 11.577731 b4301 - x9101 + x9102 <= 96 e59554: - 11.577731 b4302 - x9102 + x9103 <= 96 e59555: - 11.577731 b4303 - x9103 + x9104 <= 96 e59556: - 11.577731 b4304 - x9104 + x9105 <= 96 e59557: - 11.577731 b4305 - x9105 + x9106 <= 96 e59558: - 11.577731 b4306 - x9106 + x9107 <= 96 e59559: - 11.577731 b4307 - x9107 + x9108 <= 96 e59560: - 11.577731 b4308 - x9108 + x9109 <= 96 e59561: - 11.577731 b4309 - x9109 + x9110 <= 96 e59562: - 11.577731 b4310 - x9110 + x9111 <= 96 e59563: - 11.577731 b4311 - x9111 + x9112 <= 96 e59564: - 11.577731 b4312 - x9112 + x9113 <= 96 e59565: - 11.577731 b4313 - x9113 + x9114 <= 96 e59566: - 11.577731 b4314 - x9114 + x9115 <= 96 e59567: - 11.577731 b4315 - x9115 + x9116 <= 96 e59568: - 11.577731 b4316 - x9116 + x9117 <= 96 e59569: - 11.577731 b4317 - x9117 + x9118 <= 96 e59570: - 11.577731 b4318 - x9118 + x9119 <= 96 e59571: - 11.577731 b4319 - x9119 + x9120 <= 96 e59572: - 11.577731 b4320 - x9120 + x9121 <= 96 e59573: x9122 <= 381.892364 e59574: - 29.266213 b4322 - x9122 + x9123 <= 74 e59575: - 29.266213 b4323 - x9123 + x9124 <= 74 e59576: - 29.266213 b4324 - x9124 + x9125 <= 74 e59577: - 29.266213 b4325 - x9125 + x9126 <= 74 e59578: - 29.266213 b4326 - x9126 + x9127 <= 74 e59579: - 29.266213 b4327 - x9127 + x9128 <= 74 e59580: - 29.266213 b4328 - x9128 + x9129 <= 74 e59581: - 29.266213 b4329 - x9129 + x9130 <= 74 e59582: - 29.266213 b4330 - x9130 + x9131 <= 74 e59583: - 29.266213 b4331 - x9131 + x9132 <= 74 e59584: - 29.266213 b4332 - x9132 + x9133 <= 74 e59585: - 29.266213 b4333 - x9133 + x9134 <= 74 e59586: - 29.266213 b4334 - x9134 + x9135 <= 74 e59587: - 29.266213 b4335 - x9135 + x9136 <= 74 e59588: - 29.266213 b4336 - x9136 + x9137 <= 74 e59589: - 29.266213 b4337 - x9137 + x9138 <= 74 e59590: - 29.266213 b4338 - x9138 + x9139 <= 74 e59591: - 29.266213 b4339 - x9139 + x9140 <= 74 e59592: - 29.266213 b4340 - x9140 + x9141 <= 74 e59593: - 29.266213 b4341 - x9141 + x9142 <= 74 e59594: - 29.266213 b4342 - x9142 + x9143 <= 74 e59595: - 29.266213 b4343 - x9143 + x9144 <= 74 e59596: - 29.266213 b4344 - x9144 + x9145 <= 74 e59597: x9146 <= 70 e59598: - 8.206327 b4346 - x9146 + x9147 <= 70 e59599: - 8.206327 b4347 - x9147 + x9148 <= 70 e59600: - 8.206327 b4348 - x9148 + x9149 <= 70 e59601: - 8.206327 b4349 - x9149 + x9150 <= 70 e59602: - 8.206327 b4350 - x9150 + x9151 <= 70 e59603: - 8.206327 b4351 - x9151 + x9152 <= 70 e59604: - 8.206327 b4352 - x9152 + x9153 <= 70 e59605: - 8.206327 b4353 - x9153 + x9154 <= 70 e59606: - 8.206327 b4354 - x9154 + x9155 <= 70 e59607: - 8.206327 b4355 - x9155 + x9156 <= 70 e59608: - 8.206327 b4356 - x9156 + x9157 <= 70 e59609: - 8.206327 b4357 - x9157 + x9158 <= 70 e59610: - 8.206327 b4358 - x9158 + x9159 <= 70 e59611: - 8.206327 b4359 - x9159 + x9160 <= 70 e59612: - 8.206327 b4360 - x9160 + x9161 <= 70 e59613: - 8.206327 b4361 - x9161 + x9162 <= 70 e59614: - 8.206327 b4362 - x9162 + x9163 <= 70 e59615: - 8.206327 b4363 - x9163 + x9164 <= 70 e59616: - 8.206327 b4364 - x9164 + x9165 <= 70 e59617: - 8.206327 b4365 - x9165 + x9166 <= 70 e59618: - 8.206327 b4366 - x9166 + x9167 <= 70 e59619: - 8.206327 b4367 - x9167 + x9168 <= 70 e59620: - 8.206327 b4368 - x9168 + x9169 <= 70 e59621: x9170 <= 88 e59622: 15.881614 b4370 - x9170 + x9171 <= 88 e59623: 15.881614 b4371 - x9171 + x9172 <= 88 e59624: 15.881614 b4372 - x9172 + x9173 <= 88 e59625: 15.881614 b4373 - x9173 + x9174 <= 88 e59626: 15.881614 b4374 - x9174 + x9175 <= 88 e59627: 15.881614 b4375 - x9175 + x9176 <= 88 e59628: 15.881614 b4376 - x9176 + x9177 <= 88 e59629: 15.881614 b4377 - x9177 + x9178 <= 88 e59630: 15.881614 b4378 - x9178 + x9179 <= 88 e59631: 15.881614 b4379 - x9179 + x9180 <= 88 e59632: 15.881614 b4380 - x9180 + x9181 <= 88 e59633: 15.881614 b4381 - x9181 + x9182 <= 88 e59634: 15.881614 b4382 - x9182 + x9183 <= 88 e59635: 15.881614 b4383 - x9183 + x9184 <= 88 e59636: 15.881614 b4384 - x9184 + x9185 <= 88 e59637: 15.881614 b4385 - x9185 + x9186 <= 88 e59638: 15.881614 b4386 - x9186 + x9187 <= 88 e59639: 15.881614 b4387 - x9187 + x9188 <= 88 e59640: 15.881614 b4388 - x9188 + x9189 <= 88 e59641: 15.881614 b4389 - x9189 + x9190 <= 88 e59642: 15.881614 b4390 - x9190 + x9191 <= 88 e59643: 15.881614 b4391 - x9191 + x9192 <= 88 e59644: 15.881614 b4392 - x9192 + x9193 <= 88 e59645: x9194 <= 309.978549 e59646: 19.420065 b4394 - x9194 + x9195 <= 96 e59647: 19.420065 b4395 - x9195 + x9196 <= 96 e59648: 19.420065 b4396 - x9196 + x9197 <= 96 e59649: 19.420065 b4397 - x9197 + x9198 <= 96 e59650: 19.420065 b4398 - x9198 + x9199 <= 96 e59651: 19.420065 b4399 - x9199 + x9200 <= 96 e59652: 19.420065 b4400 - x9200 + x9201 <= 96 e59653: 19.420065 b4401 - x9201 + x9202 <= 96 e59654: 19.420065 b4402 - x9202 + x9203 <= 96 e59655: 19.420065 b4403 - x9203 + x9204 <= 96 e59656: 19.420065 b4404 - x9204 + x9205 <= 96 e59657: 19.420065 b4405 - x9205 + x9206 <= 96 e59658: 19.420065 b4406 - x9206 + x9207 <= 96 e59659: 19.420065 b4407 - x9207 + x9208 <= 96 e59660: 19.420065 b4408 - x9208 + x9209 <= 96 e59661: 19.420065 b4409 - x9209 + x9210 <= 96 e59662: 19.420065 b4410 - x9210 + x9211 <= 96 e59663: 19.420065 b4411 - x9211 + x9212 <= 96 e59664: 19.420065 b4412 - x9212 + x9213 <= 96 e59665: 19.420065 b4413 - x9213 + x9214 <= 96 e59666: 19.420065 b4414 - x9214 + x9215 <= 96 e59667: 19.420065 b4415 - x9215 + x9216 <= 96 e59668: 19.420065 b4416 - x9216 + x9217 <= 96 e59669: x9218 <= 271.710838 e59670: - 21.53134 b4418 - x9218 + x9219 <= 76 e59671: - 21.53134 b4419 - x9219 + x9220 <= 76 e59672: - 21.53134 b4420 - x9220 + x9221 <= 76 e59673: - 21.53134 b4421 - x9221 + x9222 <= 76 e59674: - 21.53134 b4422 - x9222 + x9223 <= 76 e59675: - 21.53134 b4423 - x9223 + x9224 <= 76 e59676: - 21.53134 b4424 - x9224 + x9225 <= 76 e59677: - 21.53134 b4425 - x9225 + x9226 <= 76 e59678: - 21.53134 b4426 - x9226 + x9227 <= 76 e59679: - 21.53134 b4427 - x9227 + x9228 <= 76 e59680: - 21.53134 b4428 - x9228 + x9229 <= 76 e59681: - 21.53134 b4429 - x9229 + x9230 <= 76 e59682: - 21.53134 b4430 - x9230 + x9231 <= 76 e59683: - 21.53134 b4431 - x9231 + x9232 <= 76 e59684: - 21.53134 b4432 - x9232 + x9233 <= 76 e59685: - 21.53134 b4433 - x9233 + x9234 <= 76 e59686: - 21.53134 b4434 - x9234 + x9235 <= 76 e59687: - 21.53134 b4435 - x9235 + x9236 <= 76 e59688: - 21.53134 b4436 - x9236 + x9237 <= 76 e59689: - 21.53134 b4437 - x9237 + x9238 <= 76 e59690: - 21.53134 b4438 - x9238 + x9239 <= 76 e59691: - 21.53134 b4439 - x9239 + x9240 <= 76 e59692: - 21.53134 b4440 - x9240 + x9241 <= 76 e59693: x9242 <= 74 e59694: - 14.811929 b4442 - x9242 + x9243 <= 74 e59695: - 14.811929 b4443 - x9243 + x9244 <= 74 e59696: - 14.811929 b4444 - x9244 + x9245 <= 74 e59697: - 14.811929 b4445 - x9245 + x9246 <= 74 e59698: - 14.811929 b4446 - x9246 + x9247 <= 74 e59699: - 14.811929 b4447 - x9247 + x9248 <= 74 e59700: - 14.811929 b4448 - x9248 + x9249 <= 74 e59701: - 14.811929 b4449 - x9249 + x9250 <= 74 e59702: - 14.811929 b4450 - x9250 + x9251 <= 74 e59703: - 14.811929 b4451 - x9251 + x9252 <= 74 e59704: - 14.811929 b4452 - x9252 + x9253 <= 74 e59705: - 14.811929 b4453 - x9253 + x9254 <= 74 e59706: - 14.811929 b4454 - x9254 + x9255 <= 74 e59707: - 14.811929 b4455 - x9255 + x9256 <= 74 e59708: - 14.811929 b4456 - x9256 + x9257 <= 74 e59709: - 14.811929 b4457 - x9257 + x9258 <= 74 e59710: - 14.811929 b4458 - x9258 + x9259 <= 74 e59711: - 14.811929 b4459 - x9259 + x9260 <= 74 e59712: - 14.811929 b4460 - x9260 + x9261 <= 74 e59713: - 14.811929 b4461 - x9261 + x9262 <= 74 e59714: - 14.811929 b4462 - x9262 + x9263 <= 74 e59715: - 14.811929 b4463 - x9263 + x9264 <= 74 e59716: - 14.811929 b4464 - x9264 + x9265 <= 74 e59717: x9266 <= 73 e59718: - 16.289082 b4466 - x9266 + x9267 <= 73 e59719: - 16.289082 b4467 - x9267 + x9268 <= 73 e59720: - 16.289082 b4468 - x9268 + x9269 <= 73 e59721: - 16.289082 b4469 - x9269 + x9270 <= 73 e59722: - 16.289082 b4470 - x9270 + x9271 <= 73 e59723: - 16.289082 b4471 - x9271 + x9272 <= 73 e59724: - 16.289082 b4472 - x9272 + x9273 <= 73 e59725: - 16.289082 b4473 - x9273 + x9274 <= 73 e59726: - 16.289082 b4474 - x9274 + x9275 <= 73 e59727: - 16.289082 b4475 - x9275 + x9276 <= 73 e59728: - 16.289082 b4476 - x9276 + x9277 <= 73 e59729: - 16.289082 b4477 - x9277 + x9278 <= 73 e59730: - 16.289082 b4478 - x9278 + x9279 <= 73 e59731: - 16.289082 b4479 - x9279 + x9280 <= 73 e59732: - 16.289082 b4480 - x9280 + x9281 <= 73 e59733: - 16.289082 b4481 - x9281 + x9282 <= 73 e59734: - 16.289082 b4482 - x9282 + x9283 <= 73 e59735: - 16.289082 b4483 - x9283 + x9284 <= 73 e59736: - 16.289082 b4484 - x9284 + x9285 <= 73 e59737: - 16.289082 b4485 - x9285 + x9286 <= 73 e59738: - 16.289082 b4486 - x9286 + x9287 <= 73 e59739: - 16.289082 b4487 - x9287 + x9288 <= 73 e59740: - 16.289082 b4488 - x9288 + x9289 <= 73 e59741: x9290 <= 95 e59742: 21.041901 b4490 - x9290 + x9291 <= 95 e59743: 21.041901 b4491 - x9291 + x9292 <= 95 e59744: 21.041901 b4492 - x9292 + x9293 <= 95 e59745: 21.041901 b4493 - x9293 + x9294 <= 95 e59746: 21.041901 b4494 - x9294 + x9295 <= 95 e59747: 21.041901 b4495 - x9295 + x9296 <= 95 e59748: 21.041901 b4496 - x9296 + x9297 <= 95 e59749: 21.041901 b4497 - x9297 + x9298 <= 95 e59750: 21.041901 b4498 - x9298 + x9299 <= 95 e59751: 21.041901 b4499 - x9299 + x9300 <= 95 e59752: 21.041901 b4500 - x9300 + x9301 <= 95 e59753: 21.041901 b4501 - x9301 + x9302 <= 95 e59754: 21.041901 b4502 - x9302 + x9303 <= 95 e59755: 21.041901 b4503 - x9303 + x9304 <= 95 e59756: 21.041901 b4504 - x9304 + x9305 <= 95 e59757: 21.041901 b4505 - x9305 + x9306 <= 95 e59758: 21.041901 b4506 - x9306 + x9307 <= 95 e59759: 21.041901 b4507 - x9307 + x9308 <= 95 e59760: 21.041901 b4508 - x9308 + x9309 <= 95 e59761: 21.041901 b4509 - x9309 + x9310 <= 95 e59762: 21.041901 b4510 - x9310 + x9311 <= 95 e59763: 21.041901 b4511 - x9311 + x9312 <= 95 e59764: 21.041901 b4512 - x9312 + x9313 <= 95 e59765: x9314 <= 97 e59766: 8.949379 b4514 - x9314 + x9315 <= 97 e59767: 8.949379 b4515 - x9315 + x9316 <= 97 e59768: 8.949379 b4516 - x9316 + x9317 <= 97 e59769: 8.949379 b4517 - x9317 + x9318 <= 97 e59770: 8.949379 b4518 - x9318 + x9319 <= 97 e59771: 8.949379 b4519 - x9319 + x9320 <= 97 e59772: 8.949379 b4520 - x9320 + x9321 <= 97 e59773: 8.949379 b4521 - x9321 + x9322 <= 97 e59774: 8.949379 b4522 - x9322 + x9323 <= 97 e59775: 8.949379 b4523 - x9323 + x9324 <= 97 e59776: 8.949379 b4524 - x9324 + x9325 <= 97 e59777: 8.949379 b4525 - x9325 + x9326 <= 97 e59778: 8.949379 b4526 - x9326 + x9327 <= 97 e59779: 8.949379 b4527 - x9327 + x9328 <= 97 e59780: 8.949379 b4528 - x9328 + x9329 <= 97 e59781: 8.949379 b4529 - x9329 + x9330 <= 97 e59782: 8.949379 b4530 - x9330 + x9331 <= 97 e59783: 8.949379 b4531 - x9331 + x9332 <= 97 e59784: 8.949379 b4532 - x9332 + x9333 <= 97 e59785: 8.949379 b4533 - x9333 + x9334 <= 97 e59786: 8.949379 b4534 - x9334 + x9335 <= 97 e59787: 8.949379 b4535 - x9335 + x9336 <= 97 e59788: 8.949379 b4536 - x9336 + x9337 <= 97 e59789: x9338 <= 321.034987 e59790: - 8.180242 b4538 - x9338 + x9339 <= 74 e59791: - 8.180242 b4539 - x9339 + x9340 <= 74 e59792: - 8.180242 b4540 - x9340 + x9341 <= 74 e59793: - 8.180242 b4541 - x9341 + x9342 <= 74 e59794: - 8.180242 b4542 - x9342 + x9343 <= 74 e59795: - 8.180242 b4543 - x9343 + x9344 <= 74 e59796: - 8.180242 b4544 - x9344 + x9345 <= 74 e59797: - 8.180242 b4545 - x9345 + x9346 <= 74 e59798: - 8.180242 b4546 - x9346 + x9347 <= 74 e59799: - 8.180242 b4547 - x9347 + x9348 <= 74 e59800: - 8.180242 b4548 - x9348 + x9349 <= 74 e59801: - 8.180242 b4549 - x9349 + x9350 <= 74 e59802: - 8.180242 b4550 - x9350 + x9351 <= 74 e59803: - 8.180242 b4551 - x9351 + x9352 <= 74 e59804: - 8.180242 b4552 - x9352 + x9353 <= 74 e59805: - 8.180242 b4553 - x9353 + x9354 <= 74 e59806: - 8.180242 b4554 - x9354 + x9355 <= 74 e59807: - 8.180242 b4555 - x9355 + x9356 <= 74 e59808: - 8.180242 b4556 - x9356 + x9357 <= 74 e59809: - 8.180242 b4557 - x9357 + x9358 <= 74 e59810: - 8.180242 b4558 - x9358 + x9359 <= 74 e59811: - 8.180242 b4559 - x9359 + x9360 <= 74 e59812: - 8.180242 b4560 - x9360 + x9361 <= 74 e59813: x9362 <= 96 e59814: 4.98608 b4562 - x9362 + x9363 <= 96 e59815: 4.98608 b4563 - x9363 + x9364 <= 96 e59816: 4.98608 b4564 - x9364 + x9365 <= 96 e59817: 4.98608 b4565 - x9365 + x9366 <= 96 e59818: 4.98608 b4566 - x9366 + x9367 <= 96 e59819: 4.98608 b4567 - x9367 + x9368 <= 96 e59820: 4.98608 b4568 - x9368 + x9369 <= 96 e59821: 4.98608 b4569 - x9369 + x9370 <= 96 e59822: 4.98608 b4570 - x9370 + x9371 <= 96 e59823: 4.98608 b4571 - x9371 + x9372 <= 96 e59824: 4.98608 b4572 - x9372 + x9373 <= 96 e59825: 4.98608 b4573 - x9373 + x9374 <= 96 e59826: 4.98608 b4574 - x9374 + x9375 <= 96 e59827: 4.98608 b4575 - x9375 + x9376 <= 96 e59828: 4.98608 b4576 - x9376 + x9377 <= 96 e59829: 4.98608 b4577 - x9377 + x9378 <= 96 e59830: 4.98608 b4578 - x9378 + x9379 <= 96 e59831: 4.98608 b4579 - x9379 + x9380 <= 96 e59832: 4.98608 b4580 - x9380 + x9381 <= 96 e59833: 4.98608 b4581 - x9381 + x9382 <= 96 e59834: 4.98608 b4582 - x9382 + x9383 <= 96 e59835: 4.98608 b4583 - x9383 + x9384 <= 96 e59836: 4.98608 b4584 - x9384 + x9385 <= 96 e59837: x9386 <= 292.222671 e59838: - 28.772693 b4586 - x9386 + x9387 <= 78 e59839: - 28.772693 b4587 - x9387 + x9388 <= 78 e59840: - 28.772693 b4588 - x9388 + x9389 <= 78 e59841: - 28.772693 b4589 - x9389 + x9390 <= 78 e59842: - 28.772693 b4590 - x9390 + x9391 <= 78 e59843: - 28.772693 b4591 - x9391 + x9392 <= 78 e59844: - 28.772693 b4592 - x9392 + x9393 <= 78 e59845: - 28.772693 b4593 - x9393 + x9394 <= 78 e59846: - 28.772693 b4594 - x9394 + x9395 <= 78 e59847: - 28.772693 b4595 - x9395 + x9396 <= 78 e59848: - 28.772693 b4596 - x9396 + x9397 <= 78 e59849: - 28.772693 b4597 - x9397 + x9398 <= 78 e59850: - 28.772693 b4598 - x9398 + x9399 <= 78 e59851: - 28.772693 b4599 - x9399 + x9400 <= 78 e59852: - 28.772693 b4600 - x9400 + x9401 <= 78 e59853: - 28.772693 b4601 - x9401 + x9402 <= 78 e59854: - 28.772693 b4602 - x9402 + x9403 <= 78 e59855: - 28.772693 b4603 - x9403 + x9404 <= 78 e59856: - 28.772693 b4604 - x9404 + x9405 <= 78 e59857: - 28.772693 b4605 - x9405 + x9406 <= 78 e59858: - 28.772693 b4606 - x9406 + x9407 <= 78 e59859: - 28.772693 b4607 - x9407 + x9408 <= 78 e59860: - 28.772693 b4608 - x9408 + x9409 <= 78 e59861: x9410 <= 262.384426 e59862: 24.053609 b4610 - x9410 + x9411 <= 94 e59863: 24.053609 b4611 - x9411 + x9412 <= 94 e59864: 24.053609 b4612 - x9412 + x9413 <= 94 e59865: 24.053609 b4613 - x9413 + x9414 <= 94 e59866: 24.053609 b4614 - x9414 + x9415 <= 94 e59867: 24.053609 b4615 - x9415 + x9416 <= 94 e59868: 24.053609 b4616 - x9416 + x9417 <= 94 e59869: 24.053609 b4617 - x9417 + x9418 <= 94 e59870: 24.053609 b4618 - x9418 + x9419 <= 94 e59871: 24.053609 b4619 - x9419 + x9420 <= 94 e59872: 24.053609 b4620 - x9420 + x9421 <= 94 e59873: 24.053609 b4621 - x9421 + x9422 <= 94 e59874: 24.053609 b4622 - x9422 + x9423 <= 94 e59875: 24.053609 b4623 - x9423 + x9424 <= 94 e59876: 24.053609 b4624 - x9424 + x9425 <= 94 e59877: 24.053609 b4625 - x9425 + x9426 <= 94 e59878: 24.053609 b4626 - x9426 + x9427 <= 94 e59879: 24.053609 b4627 - x9427 + x9428 <= 94 e59880: 24.053609 b4628 - x9428 + x9429 <= 94 e59881: 24.053609 b4629 - x9429 + x9430 <= 94 e59882: 24.053609 b4630 - x9430 + x9431 <= 94 e59883: 24.053609 b4631 - x9431 + x9432 <= 94 e59884: 24.053609 b4632 - x9432 + x9433 <= 94 e59885: x9434 <= 85 e59886: - 21.180311 b4634 - x9434 + x9435 <= 85 e59887: - 21.180311 b4635 - x9435 + x9436 <= 85 e59888: - 21.180311 b4636 - x9436 + x9437 <= 85 e59889: - 21.180311 b4637 - x9437 + x9438 <= 85 e59890: - 21.180311 b4638 - x9438 + x9439 <= 85 e59891: - 21.180311 b4639 - x9439 + x9440 <= 85 e59892: - 21.180311 b4640 - x9440 + x9441 <= 85 e59893: - 21.180311 b4641 - x9441 + x9442 <= 85 e59894: - 21.180311 b4642 - x9442 + x9443 <= 85 e59895: - 21.180311 b4643 - x9443 + x9444 <= 85 e59896: - 21.180311 b4644 - x9444 + x9445 <= 85 e59897: - 21.180311 b4645 - x9445 + x9446 <= 85 e59898: - 21.180311 b4646 - x9446 + x9447 <= 85 e59899: - 21.180311 b4647 - x9447 + x9448 <= 85 e59900: - 21.180311 b4648 - x9448 + x9449 <= 85 e59901: - 21.180311 b4649 - x9449 + x9450 <= 85 e59902: - 21.180311 b4650 - x9450 + x9451 <= 85 e59903: - 21.180311 b4651 - x9451 + x9452 <= 85 e59904: - 21.180311 b4652 - x9452 + x9453 <= 85 e59905: - 21.180311 b4653 - x9453 + x9454 <= 85 e59906: - 21.180311 b4654 - x9454 + x9455 <= 85 e59907: - 21.180311 b4655 - x9455 + x9456 <= 85 e59908: - 21.180311 b4656 - x9456 + x9457 <= 85 e59909: x9458 <= 83 e59910: - 3.99273 b4658 - x9458 + x9459 <= 83 e59911: - 3.99273 b4659 - x9459 + x9460 <= 83 e59912: - 3.99273 b4660 - x9460 + x9461 <= 83 e59913: - 3.99273 b4661 - x9461 + x9462 <= 83 e59914: - 3.99273 b4662 - x9462 + x9463 <= 83 e59915: - 3.99273 b4663 - x9463 + x9464 <= 83 e59916: - 3.99273 b4664 - x9464 + x9465 <= 83 e59917: - 3.99273 b4665 - x9465 + x9466 <= 83 e59918: - 3.99273 b4666 - x9466 + x9467 <= 83 e59919: - 3.99273 b4667 - x9467 + x9468 <= 83 e59920: - 3.99273 b4668 - x9468 + x9469 <= 83 e59921: - 3.99273 b4669 - x9469 + x9470 <= 83 e59922: - 3.99273 b4670 - x9470 + x9471 <= 83 e59923: - 3.99273 b4671 - x9471 + x9472 <= 83 e59924: - 3.99273 b4672 - x9472 + x9473 <= 83 e59925: - 3.99273 b4673 - x9473 + x9474 <= 83 e59926: - 3.99273 b4674 - x9474 + x9475 <= 83 e59927: - 3.99273 b4675 - x9475 + x9476 <= 83 e59928: - 3.99273 b4676 - x9476 + x9477 <= 83 e59929: - 3.99273 b4677 - x9477 + x9478 <= 83 e59930: - 3.99273 b4678 - x9478 + x9479 <= 83 e59931: - 3.99273 b4679 - x9479 + x9480 <= 83 e59932: - 3.99273 b4680 - x9480 + x9481 <= 83 e59933: x9482 <= 75 e59934: - 10.297654 b4682 - x9482 + x9483 <= 75 e59935: - 10.297654 b4683 - x9483 + x9484 <= 75 e59936: - 10.297654 b4684 - x9484 + x9485 <= 75 e59937: - 10.297654 b4685 - x9485 + x9486 <= 75 e59938: - 10.297654 b4686 - x9486 + x9487 <= 75 e59939: - 10.297654 b4687 - x9487 + x9488 <= 75 e59940: - 10.297654 b4688 - x9488 + x9489 <= 75 e59941: - 10.297654 b4689 - x9489 + x9490 <= 75 e59942: - 10.297654 b4690 - x9490 + x9491 <= 75 e59943: - 10.297654 b4691 - x9491 + x9492 <= 75 e59944: - 10.297654 b4692 - x9492 + x9493 <= 75 e59945: - 10.297654 b4693 - x9493 + x9494 <= 75 e59946: - 10.297654 b4694 - x9494 + x9495 <= 75 e59947: - 10.297654 b4695 - x9495 + x9496 <= 75 e59948: - 10.297654 b4696 - x9496 + x9497 <= 75 e59949: - 10.297654 b4697 - x9497 + x9498 <= 75 e59950: - 10.297654 b4698 - x9498 + x9499 <= 75 e59951: - 10.297654 b4699 - x9499 + x9500 <= 75 e59952: - 10.297654 b4700 - x9500 + x9501 <= 75 e59953: - 10.297654 b4701 - x9501 + x9502 <= 75 e59954: - 10.297654 b4702 - x9502 + x9503 <= 75 e59955: - 10.297654 b4703 - x9503 + x9504 <= 75 e59956: - 10.297654 b4704 - x9504 + x9505 <= 75 e59957: x9506 <= 94 e59958: - 0.120929 b4706 - x9506 + x9507 <= 94 e59959: - 0.120929 b4707 - x9507 + x9508 <= 94 e59960: - 0.120929 b4708 - x9508 + x9509 <= 94 e59961: - 0.120929 b4709 - x9509 + x9510 <= 94 e59962: - 0.120929 b4710 - x9510 + x9511 <= 94 e59963: - 0.120929 b4711 - x9511 + x9512 <= 94 e59964: - 0.120929 b4712 - x9512 + x9513 <= 94 e59965: - 0.120929 b4713 - x9513 + x9514 <= 94 e59966: - 0.120929 b4714 - x9514 + x9515 <= 94 e59967: - 0.120929 b4715 - x9515 + x9516 <= 94 e59968: - 0.120929 b4716 - x9516 + x9517 <= 94 e59969: - 0.120929 b4717 - x9517 + x9518 <= 94 e59970: - 0.120929 b4718 - x9518 + x9519 <= 94 e59971: - 0.120929 b4719 - x9519 + x9520 <= 94 e59972: - 0.120929 b4720 - x9520 + x9521 <= 94 e59973: - 0.120929 b4721 - x9521 + x9522 <= 94 e59974: - 0.120929 b4722 - x9522 + x9523 <= 94 e59975: - 0.120929 b4723 - x9523 + x9524 <= 94 e59976: - 0.120929 b4724 - x9524 + x9525 <= 94 e59977: - 0.120929 b4725 - x9525 + x9526 <= 94 e59978: - 0.120929 b4726 - x9526 + x9527 <= 94 e59979: - 0.120929 b4727 - x9527 + x9528 <= 94 e59980: - 0.120929 b4728 - x9528 + x9529 <= 94 e59981: x9530 <= 177.551059 e59982: - 15.593363 b4730 - x9530 + x9531 <= 73 e59983: - 15.593363 b4731 - x9531 + x9532 <= 73 e59984: - 15.593363 b4732 - x9532 + x9533 <= 73 e59985: - 15.593363 b4733 - x9533 + x9534 <= 73 e59986: - 15.593363 b4734 - x9534 + x9535 <= 73 e59987: - 15.593363 b4735 - x9535 + x9536 <= 73 e59988: - 15.593363 b4736 - x9536 + x9537 <= 73 e59989: - 15.593363 b4737 - x9537 + x9538 <= 73 e59990: - 15.593363 b4738 - x9538 + x9539 <= 73 e59991: - 15.593363 b4739 - x9539 + x9540 <= 73 e59992: - 15.593363 b4740 - x9540 + x9541 <= 73 e59993: - 15.593363 b4741 - x9541 + x9542 <= 73 e59994: - 15.593363 b4742 - x9542 + x9543 <= 73 e59995: - 15.593363 b4743 - x9543 + x9544 <= 73 e59996: - 15.593363 b4744 - x9544 + x9545 <= 73 e59997: - 15.593363 b4745 - x9545 + x9546 <= 73 e59998: - 15.593363 b4746 - x9546 + x9547 <= 73 e59999: - 15.593363 b4747 - x9547 + x9548 <= 73 e60000: - 15.593363 b4748 - x9548 + x9549 <= 73 e60001: - 15.593363 b4749 - x9549 + x9550 <= 73 e60002: - 15.593363 b4750 - x9550 + x9551 <= 73 e60003: - 15.593363 b4751 - x9551 + x9552 <= 73 e60004: - 15.593363 b4752 - x9552 + x9553 <= 73 e60005: x9554 <= 223.590546 e60006: 9.270519 b4754 - x9554 + x9555 <= 96 e60007: 9.270519 b4755 - x9555 + x9556 <= 96 e60008: 9.270519 b4756 - x9556 + x9557 <= 96 e60009: 9.270519 b4757 - x9557 + x9558 <= 96 e60010: 9.270519 b4758 - x9558 + x9559 <= 96 e60011: 9.270519 b4759 - x9559 + x9560 <= 96 e60012: 9.270519 b4760 - x9560 + x9561 <= 96 e60013: 9.270519 b4761 - x9561 + x9562 <= 96 e60014: 9.270519 b4762 - x9562 + x9563 <= 96 e60015: 9.270519 b4763 - x9563 + x9564 <= 96 e60016: 9.270519 b4764 - x9564 + x9565 <= 96 e60017: 9.270519 b4765 - x9565 + x9566 <= 96 e60018: 9.270519 b4766 - x9566 + x9567 <= 96 e60019: 9.270519 b4767 - x9567 + x9568 <= 96 e60020: 9.270519 b4768 - x9568 + x9569 <= 96 e60021: 9.270519 b4769 - x9569 + x9570 <= 96 e60022: 9.270519 b4770 - x9570 + x9571 <= 96 e60023: 9.270519 b4771 - x9571 + x9572 <= 96 e60024: 9.270519 b4772 - x9572 + x9573 <= 96 e60025: 9.270519 b4773 - x9573 + x9574 <= 96 e60026: 9.270519 b4774 - x9574 + x9575 <= 96 e60027: 9.270519 b4775 - x9575 + x9576 <= 96 e60028: 9.270519 b4776 - x9576 + x9577 <= 96 e60029: x9578 <= 75 e60030: - 36.585575 b4778 - x9578 + x9579 <= 75 e60031: - 36.585575 b4779 - x9579 + x9580 <= 75 e60032: - 36.585575 b4780 - x9580 + x9581 <= 75 e60033: - 36.585575 b4781 - x9581 + x9582 <= 75 e60034: - 36.585575 b4782 - x9582 + x9583 <= 75 e60035: - 36.585575 b4783 - x9583 + x9584 <= 75 e60036: - 36.585575 b4784 - x9584 + x9585 <= 75 e60037: - 36.585575 b4785 - x9585 + x9586 <= 75 e60038: - 36.585575 b4786 - x9586 + x9587 <= 75 e60039: - 36.585575 b4787 - x9587 + x9588 <= 75 e60040: - 36.585575 b4788 - x9588 + x9589 <= 75 e60041: - 36.585575 b4789 - x9589 + x9590 <= 75 e60042: - 36.585575 b4790 - x9590 + x9591 <= 75 e60043: - 36.585575 b4791 - x9591 + x9592 <= 75 e60044: - 36.585575 b4792 - x9592 + x9593 <= 75 e60045: - 36.585575 b4793 - x9593 + x9594 <= 75 e60046: - 36.585575 b4794 - x9594 + x9595 <= 75 e60047: - 36.585575 b4795 - x9595 + x9596 <= 75 e60048: - 36.585575 b4796 - x9596 + x9597 <= 75 e60049: - 36.585575 b4797 - x9597 + x9598 <= 75 e60050: - 36.585575 b4798 - x9598 + x9599 <= 75 e60051: - 36.585575 b4799 - x9599 + x9600 <= 75 e60052: - 36.585575 b4800 - x9600 + x9601 <= 75 e60053: 3.479027 b2 - x4802 <= -12.120438 e60054: 3.479027 b3 + x4802 - x4803 <= 33 e60055: 3.479027 b4 + x4803 - x4804 <= 33 e60056: 3.479027 b5 + x4804 - x4805 <= 33 e60057: 3.479027 b6 + x4805 - x4806 <= 33 e60058: 3.479027 b7 + x4806 - x4807 <= 33 e60059: 3.479027 b8 + x4807 - x4808 <= 33 e60060: 3.479027 b9 + x4808 - x4809 <= 33 e60061: 3.479027 b10 + x4809 - x4810 <= 33 e60062: 3.479027 b11 + x4810 - x4811 <= 33 e60063: 3.479027 b12 + x4811 - x4812 <= 33 e60064: 3.479027 b13 + x4812 - x4813 <= 33 e60065: 3.479027 b14 + x4813 - x4814 <= 33 e60066: 3.479027 b15 + x4814 - x4815 <= 33 e60067: 3.479027 b16 + x4815 - x4816 <= 33 e60068: 3.479027 b17 + x4816 - x4817 <= 33 e60069: 3.479027 b18 + x4817 - x4818 <= 33 e60070: 3.479027 b19 + x4818 - x4819 <= 33 e60071: 3.479027 b20 + x4819 - x4820 <= 33 e60072: 3.479027 b21 + x4820 - x4821 <= 33 e60073: 3.479027 b22 + x4821 - x4822 <= 33 e60074: 3.479027 b23 + x4822 - x4823 <= 33 e60075: 3.479027 b24 + x4823 - x4824 <= 33 e60076: 3.479027 b25 + x4824 - x4825 <= 33 e60077: 11.215467 b26 - x4826 <= 38 e60078: 11.215467 b27 + x4826 - x4827 <= 38 e60079: 11.215467 b28 + x4827 - x4828 <= 38 e60080: 11.215467 b29 + x4828 - x4829 <= 38 e60081: 11.215467 b30 + x4829 - x4830 <= 38 e60082: 11.215467 b31 + x4830 - x4831 <= 38 e60083: 11.215467 b32 + x4831 - x4832 <= 38 e60084: 11.215467 b33 + x4832 - x4833 <= 38 e60085: 11.215467 b34 + x4833 - x4834 <= 38 e60086: 11.215467 b35 + x4834 - x4835 <= 38 e60087: 11.215467 b36 + x4835 - x4836 <= 38 e60088: 11.215467 b37 + x4836 - x4837 <= 38 e60089: 11.215467 b38 + x4837 - x4838 <= 38 e60090: 11.215467 b39 + x4838 - x4839 <= 38 e60091: 11.215467 b40 + x4839 - x4840 <= 38 e60092: 11.215467 b41 + x4840 - x4841 <= 38 e60093: 11.215467 b42 + x4841 - x4842 <= 38 e60094: 11.215467 b43 + x4842 - x4843 <= 38 e60095: 11.215467 b44 + x4843 - x4844 <= 38 e60096: 11.215467 b45 + x4844 - x4845 <= 38 e60097: 11.215467 b46 + x4845 - x4846 <= 38 e60098: 11.215467 b47 + x4846 - x4847 <= 38 e60099: 11.215467 b48 + x4847 - x4848 <= 38 e60100: 11.215467 b49 + x4848 - x4849 <= 38 e60101: 14.758844 b50 - x4850 <= 44 e60102: 14.758844 b51 + x4850 - x4851 <= 44 e60103: 14.758844 b52 + x4851 - x4852 <= 44 e60104: 14.758844 b53 + x4852 - x4853 <= 44 e60105: 14.758844 b54 + x4853 - x4854 <= 44 e60106: 14.758844 b55 + x4854 - x4855 <= 44 e60107: 14.758844 b56 + x4855 - x4856 <= 44 e60108: 14.758844 b57 + x4856 - x4857 <= 44 e60109: 14.758844 b58 + x4857 - x4858 <= 44 e60110: 14.758844 b59 + x4858 - x4859 <= 44 e60111: 14.758844 b60 + x4859 - x4860 <= 44 e60112: 14.758844 b61 + x4860 - x4861 <= 44 e60113: 14.758844 b62 + x4861 - x4862 <= 44 e60114: 14.758844 b63 + x4862 - x4863 <= 44 e60115: 14.758844 b64 + x4863 - x4864 <= 44 e60116: 14.758844 b65 + x4864 - x4865 <= 44 e60117: 14.758844 b66 + x4865 - x4866 <= 44 e60118: 14.758844 b67 + x4866 - x4867 <= 44 e60119: 14.758844 b68 + x4867 - x4868 <= 44 e60120: 14.758844 b69 + x4868 - x4869 <= 44 e60121: 14.758844 b70 + x4869 - x4870 <= 44 e60122: 14.758844 b71 + x4870 - x4871 <= 44 e60123: 14.758844 b72 + x4871 - x4872 <= 44 e60124: 14.758844 b73 + x4872 - x4873 <= 44 e60125: - 0.526064 b74 - x4874 <= 40 e60126: - 0.526064 b75 + x4874 - x4875 <= 40 e60127: - 0.526064 b76 + x4875 - x4876 <= 40 e60128: - 0.526064 b77 + x4876 - x4877 <= 40 e60129: - 0.526064 b78 + x4877 - x4878 <= 40 e60130: - 0.526064 b79 + x4878 - x4879 <= 40 e60131: - 0.526064 b80 + x4879 - x4880 <= 40 e60132: - 0.526064 b81 + x4880 - x4881 <= 40 e60133: - 0.526064 b82 + x4881 - x4882 <= 40 e60134: - 0.526064 b83 + x4882 - x4883 <= 40 e60135: - 0.526064 b84 + x4883 - x4884 <= 40 e60136: - 0.526064 b85 + x4884 - x4885 <= 40 e60137: - 0.526064 b86 + x4885 - x4886 <= 40 e60138: - 0.526064 b87 + x4886 - x4887 <= 40 e60139: - 0.526064 b88 + x4887 - x4888 <= 40 e60140: - 0.526064 b89 + x4888 - x4889 <= 40 e60141: - 0.526064 b90 + x4889 - x4890 <= 40 e60142: - 0.526064 b91 + x4890 - x4891 <= 40 e60143: - 0.526064 b92 + x4891 - x4892 <= 40 e60144: - 0.526064 b93 + x4892 - x4893 <= 40 e60145: - 0.526064 b94 + x4893 - x4894 <= 40 e60146: - 0.526064 b95 + x4894 - x4895 <= 40 e60147: - 0.526064 b96 + x4895 - x4896 <= 40 e60148: - 0.526064 b97 + x4896 - x4897 <= 40 e60149: 16.785691 b98 - x4898 <= -25.656514 e60150: 16.785691 b99 + x4898 - x4899 <= 42 e60151: 16.785691 b100 + x4899 - x4900 <= 42 e60152: 16.785691 b101 + x4900 - x4901 <= 42 e60153: 16.785691 b102 + x4901 - x4902 <= 42 e60154: 16.785691 b103 + x4902 - x4903 <= 42 e60155: 16.785691 b104 + x4903 - x4904 <= 42 e60156: 16.785691 b105 + x4904 - x4905 <= 42 e60157: 16.785691 b106 + x4905 - x4906 <= 42 e60158: 16.785691 b107 + x4906 - x4907 <= 42 e60159: 16.785691 b108 + x4907 - x4908 <= 42 e60160: 16.785691 b109 + x4908 - x4909 <= 42 e60161: 16.785691 b110 + x4909 - x4910 <= 42 e60162: 16.785691 b111 + x4910 - x4911 <= 42 e60163: 16.785691 b112 + x4911 - x4912 <= 42 e60164: 16.785691 b113 + x4912 - x4913 <= 42 e60165: 16.785691 b114 + x4913 - x4914 <= 42 e60166: 16.785691 b115 + x4914 - x4915 <= 42 e60167: 16.785691 b116 + x4915 - x4916 <= 42 e60168: 16.785691 b117 + x4916 - x4917 <= 42 e60169: 16.785691 b118 + x4917 - x4918 <= 42 e60170: 16.785691 b119 + x4918 - x4919 <= 42 e60171: 16.785691 b120 + x4919 - x4920 <= 42 e60172: 16.785691 b121 + x4920 - x4921 <= 42 e60173: 8.010366 b122 - x4922 <= -57.178798 e60174: 8.010366 b123 + x4922 - x4923 <= 43 e60175: 8.010366 b124 + x4923 - x4924 <= 43 e60176: 8.010366 b125 + x4924 - x4925 <= 43 e60177: 8.010366 b126 + x4925 - x4926 <= 43 e60178: 8.010366 b127 + x4926 - x4927 <= 43 e60179: 8.010366 b128 + x4927 - x4928 <= 43 e60180: 8.010366 b129 + x4928 - x4929 <= 43 e60181: 8.010366 b130 + x4929 - x4930 <= 43 e60182: 8.010366 b131 + x4930 - x4931 <= 43 e60183: 8.010366 b132 + x4931 - x4932 <= 43 e60184: 8.010366 b133 + x4932 - x4933 <= 43 e60185: 8.010366 b134 + x4933 - x4934 <= 43 e60186: 8.010366 b135 + x4934 - x4935 <= 43 e60187: 8.010366 b136 + x4935 - x4936 <= 43 e60188: 8.010366 b137 + x4936 - x4937 <= 43 e60189: 8.010366 b138 + x4937 - x4938 <= 43 e60190: 8.010366 b139 + x4938 - x4939 <= 43 e60191: 8.010366 b140 + x4939 - x4940 <= 43 e60192: 8.010366 b141 + x4940 - x4941 <= 43 e60193: 8.010366 b142 + x4941 - x4942 <= 43 e60194: 8.010366 b143 + x4942 - x4943 <= 43 e60195: 8.010366 b144 + x4943 - x4944 <= 43 e60196: 8.010366 b145 + x4944 - x4945 <= 43 e60197: - 1.177812 b146 - x4946 <= 39 e60198: - 1.177812 b147 + x4946 - x4947 <= 39 e60199: - 1.177812 b148 + x4947 - x4948 <= 39 e60200: - 1.177812 b149 + x4948 - x4949 <= 39 e60201: - 1.177812 b150 + x4949 - x4950 <= 39 e60202: - 1.177812 b151 + x4950 - x4951 <= 39 e60203: - 1.177812 b152 + x4951 - x4952 <= 39 e60204: - 1.177812 b153 + x4952 - x4953 <= 39 e60205: - 1.177812 b154 + x4953 - x4954 <= 39 e60206: - 1.177812 b155 + x4954 - x4955 <= 39 e60207: - 1.177812 b156 + x4955 - x4956 <= 39 e60208: - 1.177812 b157 + x4956 - x4957 <= 39 e60209: - 1.177812 b158 + x4957 - x4958 <= 39 e60210: - 1.177812 b159 + x4958 - x4959 <= 39 e60211: - 1.177812 b160 + x4959 - x4960 <= 39 e60212: - 1.177812 b161 + x4960 - x4961 <= 39 e60213: - 1.177812 b162 + x4961 - x4962 <= 39 e60214: - 1.177812 b163 + x4962 - x4963 <= 39 e60215: - 1.177812 b164 + x4963 - x4964 <= 39 e60216: - 1.177812 b165 + x4964 - x4965 <= 39 e60217: - 1.177812 b166 + x4965 - x4966 <= 39 e60218: - 1.177812 b167 + x4966 - x4967 <= 39 e60219: - 1.177812 b168 + x4967 - x4968 <= 39 e60220: - 1.177812 b169 + x4968 - x4969 <= 39 e60221: 7.906812 b170 - x4970 <= 37 e60222: 7.906812 b171 + x4970 - x4971 <= 37 e60223: 7.906812 b172 + x4971 - x4972 <= 37 e60224: 7.906812 b173 + x4972 - x4973 <= 37 e60225: 7.906812 b174 + x4973 - x4974 <= 37 e60226: 7.906812 b175 + x4974 - x4975 <= 37 e60227: 7.906812 b176 + x4975 - x4976 <= 37 e60228: 7.906812 b177 + x4976 - x4977 <= 37 e60229: 7.906812 b178 + x4977 - x4978 <= 37 e60230: 7.906812 b179 + x4978 - x4979 <= 37 e60231: 7.906812 b180 + x4979 - x4980 <= 37 e60232: 7.906812 b181 + x4980 - x4981 <= 37 e60233: 7.906812 b182 + x4981 - x4982 <= 37 e60234: 7.906812 b183 + x4982 - x4983 <= 37 e60235: 7.906812 b184 + x4983 - x4984 <= 37 e60236: 7.906812 b185 + x4984 - x4985 <= 37 e60237: 7.906812 b186 + x4985 - x4986 <= 37 e60238: 7.906812 b187 + x4986 - x4987 <= 37 e60239: 7.906812 b188 + x4987 - x4988 <= 37 e60240: 7.906812 b189 + x4988 - x4989 <= 37 e60241: 7.906812 b190 + x4989 - x4990 <= 37 e60242: 7.906812 b191 + x4990 - x4991 <= 37 e60243: 7.906812 b192 + x4991 - x4992 <= 37 e60244: 7.906812 b193 + x4992 - x4993 <= 37 e60245: 2.675067 b194 - x4994 <= 39 e60246: 2.675067 b195 + x4994 - x4995 <= 39 e60247: 2.675067 b196 + x4995 - x4996 <= 39 e60248: 2.675067 b197 + x4996 - x4997 <= 39 e60249: 2.675067 b198 + x4997 - x4998 <= 39 e60250: 2.675067 b199 + x4998 - x4999 <= 39 e60251: 2.675067 b200 + x4999 - x5000 <= 39 e60252: 2.675067 b201 + x5000 - x5001 <= 39 e60253: 2.675067 b202 + x5001 - x5002 <= 39 e60254: 2.675067 b203 + x5002 - x5003 <= 39 e60255: 2.675067 b204 + x5003 - x5004 <= 39 e60256: 2.675067 b205 + x5004 - x5005 <= 39 e60257: 2.675067 b206 + x5005 - x5006 <= 39 e60258: 2.675067 b207 + x5006 - x5007 <= 39 e60259: 2.675067 b208 + x5007 - x5008 <= 39 e60260: 2.675067 b209 + x5008 - x5009 <= 39 e60261: 2.675067 b210 + x5009 - x5010 <= 39 e60262: 2.675067 b211 + x5010 - x5011 <= 39 e60263: 2.675067 b212 + x5011 - x5012 <= 39 e60264: 2.675067 b213 + x5012 - x5013 <= 39 e60265: 2.675067 b214 + x5013 - x5014 <= 39 e60266: 2.675067 b215 + x5014 - x5015 <= 39 e60267: 2.675067 b216 + x5015 - x5016 <= 39 e60268: 2.675067 b217 + x5016 - x5017 <= 39 e60269: 16.237177 b218 - x5018 <= -17.794639 e60270: 16.237177 b219 + x5018 - x5019 <= 45 e60271: 16.237177 b220 + x5019 - x5020 <= 45 e60272: 16.237177 b221 + x5020 - x5021 <= 45 e60273: 16.237177 b222 + x5021 - x5022 <= 45 e60274: 16.237177 b223 + x5022 - x5023 <= 45 e60275: 16.237177 b224 + x5023 - x5024 <= 45 e60276: 16.237177 b225 + x5024 - x5025 <= 45 e60277: 16.237177 b226 + x5025 - x5026 <= 45 e60278: 16.237177 b227 + x5026 - x5027 <= 45 e60279: 16.237177 b228 + x5027 - x5028 <= 45 e60280: 16.237177 b229 + x5028 - x5029 <= 45 e60281: 16.237177 b230 + x5029 - x5030 <= 45 e60282: 16.237177 b231 + x5030 - x5031 <= 45 e60283: 16.237177 b232 + x5031 - x5032 <= 45 e60284: 16.237177 b233 + x5032 - x5033 <= 45 e60285: 16.237177 b234 + x5033 - x5034 <= 45 e60286: 16.237177 b235 + x5034 - x5035 <= 45 e60287: 16.237177 b236 + x5035 - x5036 <= 45 e60288: 16.237177 b237 + x5036 - x5037 <= 45 e60289: 16.237177 b238 + x5037 - x5038 <= 45 e60290: 16.237177 b239 + x5038 - x5039 <= 45 e60291: 16.237177 b240 + x5039 - x5040 <= 45 e60292: 16.237177 b241 + x5040 - x5041 <= 45 e60293: 12.461457 b242 - x5042 <= -51.279427 e60294: 12.461457 b243 + x5042 - x5043 <= 42 e60295: 12.461457 b244 + x5043 - x5044 <= 42 e60296: 12.461457 b245 + x5044 - x5045 <= 42 e60297: 12.461457 b246 + x5045 - x5046 <= 42 e60298: 12.461457 b247 + x5046 - x5047 <= 42 e60299: 12.461457 b248 + x5047 - x5048 <= 42 e60300: 12.461457 b249 + x5048 - x5049 <= 42 e60301: 12.461457 b250 + x5049 - x5050 <= 42 e60302: 12.461457 b251 + x5050 - x5051 <= 42 e60303: 12.461457 b252 + x5051 - x5052 <= 42 e60304: 12.461457 b253 + x5052 - x5053 <= 42 e60305: 12.461457 b254 + x5053 - x5054 <= 42 e60306: 12.461457 b255 + x5054 - x5055 <= 42 e60307: 12.461457 b256 + x5055 - x5056 <= 42 e60308: 12.461457 b257 + x5056 - x5057 <= 42 e60309: 12.461457 b258 + x5057 - x5058 <= 42 e60310: 12.461457 b259 + x5058 - x5059 <= 42 e60311: 12.461457 b260 + x5059 - x5060 <= 42 e60312: 12.461457 b261 + x5060 - x5061 <= 42 e60313: 12.461457 b262 + x5061 - x5062 <= 42 e60314: 12.461457 b263 + x5062 - x5063 <= 42 e60315: 12.461457 b264 + x5063 - x5064 <= 42 e60316: 12.461457 b265 + x5064 - x5065 <= 42 e60317: 16.068567 b266 - x5066 <= -66.166774 e60318: 16.068567 b267 + x5066 - x5067 <= 43 e60319: 16.068567 b268 + x5067 - x5068 <= 43 e60320: 16.068567 b269 + x5068 - x5069 <= 43 e60321: 16.068567 b270 + x5069 - x5070 <= 43 e60322: 16.068567 b271 + x5070 - x5071 <= 43 e60323: 16.068567 b272 + x5071 - x5072 <= 43 e60324: 16.068567 b273 + x5072 - x5073 <= 43 e60325: 16.068567 b274 + x5073 - x5074 <= 43 e60326: 16.068567 b275 + x5074 - x5075 <= 43 e60327: 16.068567 b276 + x5075 - x5076 <= 43 e60328: 16.068567 b277 + x5076 - x5077 <= 43 e60329: 16.068567 b278 + x5077 - x5078 <= 43 e60330: 16.068567 b279 + x5078 - x5079 <= 43 e60331: 16.068567 b280 + x5079 - x5080 <= 43 e60332: 16.068567 b281 + x5080 - x5081 <= 43 e60333: 16.068567 b282 + x5081 - x5082 <= 43 e60334: 16.068567 b283 + x5082 - x5083 <= 43 e60335: 16.068567 b284 + x5083 - x5084 <= 43 e60336: 16.068567 b285 + x5084 - x5085 <= 43 e60337: 16.068567 b286 + x5085 - x5086 <= 43 e60338: 16.068567 b287 + x5086 - x5087 <= 43 e60339: 16.068567 b288 + x5087 - x5088 <= 43 e60340: 16.068567 b289 + x5088 - x5089 <= 43 e60341: - 2.524898 b290 - x5090 <= -75.102365 e60342: - 2.524898 b291 + x5090 - x5091 <= 37 e60343: - 2.524898 b292 + x5091 - x5092 <= 37 e60344: - 2.524898 b293 + x5092 - x5093 <= 37 e60345: - 2.524898 b294 + x5093 - x5094 <= 37 e60346: - 2.524898 b295 + x5094 - x5095 <= 37 e60347: - 2.524898 b296 + x5095 - x5096 <= 37 e60348: - 2.524898 b297 + x5096 - x5097 <= 37 e60349: - 2.524898 b298 + x5097 - x5098 <= 37 e60350: - 2.524898 b299 + x5098 - x5099 <= 37 e60351: - 2.524898 b300 + x5099 - x5100 <= 37 e60352: - 2.524898 b301 + x5100 - x5101 <= 37 e60353: - 2.524898 b302 + x5101 - x5102 <= 37 e60354: - 2.524898 b303 + x5102 - x5103 <= 37 e60355: - 2.524898 b304 + x5103 - x5104 <= 37 e60356: - 2.524898 b305 + x5104 - x5105 <= 37 e60357: - 2.524898 b306 + x5105 - x5106 <= 37 e60358: - 2.524898 b307 + x5106 - x5107 <= 37 e60359: - 2.524898 b308 + x5107 - x5108 <= 37 e60360: - 2.524898 b309 + x5108 - x5109 <= 37 e60361: - 2.524898 b310 + x5109 - x5110 <= 37 e60362: - 2.524898 b311 + x5110 - x5111 <= 37 e60363: - 2.524898 b312 + x5111 - x5112 <= 37 e60364: - 2.524898 b313 + x5112 - x5113 <= 37 e60365: 10.687115 b314 - x5114 <= 40 e60366: 10.687115 b315 + x5114 - x5115 <= 40 e60367: 10.687115 b316 + x5115 - x5116 <= 40 e60368: 10.687115 b317 + x5116 - x5117 <= 40 e60369: 10.687115 b318 + x5117 - x5118 <= 40 e60370: 10.687115 b319 + x5118 - x5119 <= 40 e60371: 10.687115 b320 + x5119 - x5120 <= 40 e60372: 10.687115 b321 + x5120 - x5121 <= 40 e60373: 10.687115 b322 + x5121 - x5122 <= 40 e60374: 10.687115 b323 + x5122 - x5123 <= 40 e60375: 10.687115 b324 + x5123 - x5124 <= 40 e60376: 10.687115 b325 + x5124 - x5125 <= 40 e60377: 10.687115 b326 + x5125 - x5126 <= 40 e60378: 10.687115 b327 + x5126 - x5127 <= 40 e60379: 10.687115 b328 + x5127 - x5128 <= 40 e60380: 10.687115 b329 + x5128 - x5129 <= 40 e60381: 10.687115 b330 + x5129 - x5130 <= 40 e60382: 10.687115 b331 + x5130 - x5131 <= 40 e60383: 10.687115 b332 + x5131 - x5132 <= 40 e60384: 10.687115 b333 + x5132 - x5133 <= 40 e60385: 10.687115 b334 + x5133 - x5134 <= 40 e60386: 10.687115 b335 + x5134 - x5135 <= 40 e60387: 10.687115 b336 + x5135 - x5136 <= 40 e60388: 10.687115 b337 + x5136 - x5137 <= 40 e60389: 14.120201 b338 - x5138 <= -11.74028 e60390: 14.120201 b339 + x5138 - x5139 <= 43 e60391: 14.120201 b340 + x5139 - x5140 <= 43 e60392: 14.120201 b341 + x5140 - x5141 <= 43 e60393: 14.120201 b342 + x5141 - x5142 <= 43 e60394: 14.120201 b343 + x5142 - x5143 <= 43 e60395: 14.120201 b344 + x5143 - x5144 <= 43 e60396: 14.120201 b345 + x5144 - x5145 <= 43 e60397: 14.120201 b346 + x5145 - x5146 <= 43 e60398: 14.120201 b347 + x5146 - x5147 <= 43 e60399: 14.120201 b348 + x5147 - x5148 <= 43 e60400: 14.120201 b349 + x5148 - x5149 <= 43 e60401: 14.120201 b350 + x5149 - x5150 <= 43 e60402: 14.120201 b351 + x5150 - x5151 <= 43 e60403: 14.120201 b352 + x5151 - x5152 <= 43 e60404: 14.120201 b353 + x5152 - x5153 <= 43 e60405: 14.120201 b354 + x5153 - x5154 <= 43 e60406: 14.120201 b355 + x5154 - x5155 <= 43 e60407: 14.120201 b356 + x5155 - x5156 <= 43 e60408: 14.120201 b357 + x5156 - x5157 <= 43 e60409: 14.120201 b358 + x5157 - x5158 <= 43 e60410: 14.120201 b359 + x5158 - x5159 <= 43 e60411: 14.120201 b360 + x5159 - x5160 <= 43 e60412: 14.120201 b361 + x5160 - x5161 <= 43 e60413: 7.191038 b362 - x5162 <= -22.058211 e60414: 7.191038 b363 + x5162 - x5163 <= 42 e60415: 7.191038 b364 + x5163 - x5164 <= 42 e60416: 7.191038 b365 + x5164 - x5165 <= 42 e60417: 7.191038 b366 + x5165 - x5166 <= 42 e60418: 7.191038 b367 + x5166 - x5167 <= 42 e60419: 7.191038 b368 + x5167 - x5168 <= 42 e60420: 7.191038 b369 + x5168 - x5169 <= 42 e60421: 7.191038 b370 + x5169 - x5170 <= 42 e60422: 7.191038 b371 + x5170 - x5171 <= 42 e60423: 7.191038 b372 + x5171 - x5172 <= 42 e60424: 7.191038 b373 + x5172 - x5173 <= 42 e60425: 7.191038 b374 + x5173 - x5174 <= 42 e60426: 7.191038 b375 + x5174 - x5175 <= 42 e60427: 7.191038 b376 + x5175 - x5176 <= 42 e60428: 7.191038 b377 + x5176 - x5177 <= 42 e60429: 7.191038 b378 + x5177 - x5178 <= 42 e60430: 7.191038 b379 + x5178 - x5179 <= 42 e60431: 7.191038 b380 + x5179 - x5180 <= 42 e60432: 7.191038 b381 + x5180 - x5181 <= 42 e60433: 7.191038 b382 + x5181 - x5182 <= 42 e60434: 7.191038 b383 + x5182 - x5183 <= 42 e60435: 7.191038 b384 + x5183 - x5184 <= 42 e60436: 7.191038 b385 + x5184 - x5185 <= 42 e60437: 24.240014 b386 - x5186 <= -41.27339 e60438: 24.240014 b387 + x5186 - x5187 <= 49 e60439: 24.240014 b388 + x5187 - x5188 <= 49 e60440: 24.240014 b389 + x5188 - x5189 <= 49 e60441: 24.240014 b390 + x5189 - x5190 <= 49 e60442: 24.240014 b391 + x5190 - x5191 <= 49 e60443: 24.240014 b392 + x5191 - x5192 <= 49 e60444: 24.240014 b393 + x5192 - x5193 <= 49 e60445: 24.240014 b394 + x5193 - x5194 <= 49 e60446: 24.240014 b395 + x5194 - x5195 <= 49 e60447: 24.240014 b396 + x5195 - x5196 <= 49 e60448: 24.240014 b397 + x5196 - x5197 <= 49 e60449: 24.240014 b398 + x5197 - x5198 <= 49 e60450: 24.240014 b399 + x5198 - x5199 <= 49 e60451: 24.240014 b400 + x5199 - x5200 <= 49 e60452: 24.240014 b401 + x5200 - x5201 <= 49 e60453: 24.240014 b402 + x5201 - x5202 <= 49 e60454: 24.240014 b403 + x5202 - x5203 <= 49 e60455: 24.240014 b404 + x5203 - x5204 <= 49 e60456: 24.240014 b405 + x5204 - x5205 <= 49 e60457: 24.240014 b406 + x5205 - x5206 <= 49 e60458: 24.240014 b407 + x5206 - x5207 <= 49 e60459: 24.240014 b408 + x5207 - x5208 <= 49 e60460: 24.240014 b409 + x5208 - x5209 <= 49 e60461: 3.762582 b410 - x5210 <= -7.979832 e60462: 3.762582 b411 + x5210 - x5211 <= 33 e60463: 3.762582 b412 + x5211 - x5212 <= 33 e60464: 3.762582 b413 + x5212 - x5213 <= 33 e60465: 3.762582 b414 + x5213 - x5214 <= 33 e60466: 3.762582 b415 + x5214 - x5215 <= 33 e60467: 3.762582 b416 + x5215 - x5216 <= 33 e60468: 3.762582 b417 + x5216 - x5217 <= 33 e60469: 3.762582 b418 + x5217 - x5218 <= 33 e60470: 3.762582 b419 + x5218 - x5219 <= 33 e60471: 3.762582 b420 + x5219 - x5220 <= 33 e60472: 3.762582 b421 + x5220 - x5221 <= 33 e60473: 3.762582 b422 + x5221 - x5222 <= 33 e60474: 3.762582 b423 + x5222 - x5223 <= 33 e60475: 3.762582 b424 + x5223 - x5224 <= 33 e60476: 3.762582 b425 + x5224 - x5225 <= 33 e60477: 3.762582 b426 + x5225 - x5226 <= 33 e60478: 3.762582 b427 + x5226 - x5227 <= 33 e60479: 3.762582 b428 + x5227 - x5228 <= 33 e60480: 3.762582 b429 + x5228 - x5229 <= 33 e60481: 3.762582 b430 + x5229 - x5230 <= 33 e60482: 3.762582 b431 + x5230 - x5231 <= 33 e60483: 3.762582 b432 + x5231 - x5232 <= 33 e60484: 3.762582 b433 + x5232 - x5233 <= 33 e60485: 2.841514 b434 - x5234 <= -57.213645 e60486: 2.841514 b435 + x5234 - x5235 <= 34 e60487: 2.841514 b436 + x5235 - x5236 <= 34 e60488: 2.841514 b437 + x5236 - x5237 <= 34 e60489: 2.841514 b438 + x5237 - x5238 <= 34 e60490: 2.841514 b439 + x5238 - x5239 <= 34 e60491: 2.841514 b440 + x5239 - x5240 <= 34 e60492: 2.841514 b441 + x5240 - x5241 <= 34 e60493: 2.841514 b442 + x5241 - x5242 <= 34 e60494: 2.841514 b443 + x5242 - x5243 <= 34 e60495: 2.841514 b444 + x5243 - x5244 <= 34 e60496: 2.841514 b445 + x5244 - x5245 <= 34 e60497: 2.841514 b446 + x5245 - x5246 <= 34 e60498: 2.841514 b447 + x5246 - x5247 <= 34 e60499: 2.841514 b448 + x5247 - x5248 <= 34 e60500: 2.841514 b449 + x5248 - x5249 <= 34 e60501: 2.841514 b450 + x5249 - x5250 <= 34 e60502: 2.841514 b451 + x5250 - x5251 <= 34 e60503: 2.841514 b452 + x5251 - x5252 <= 34 e60504: 2.841514 b453 + x5252 - x5253 <= 34 e60505: 2.841514 b454 + x5253 - x5254 <= 34 e60506: 2.841514 b455 + x5254 - x5255 <= 34 e60507: 2.841514 b456 + x5255 - x5256 <= 34 e60508: 2.841514 b457 + x5256 - x5257 <= 34 e60509: 11.615424 b458 - x5258 <= 43 e60510: 11.615424 b459 + x5258 - x5259 <= 43 e60511: 11.615424 b460 + x5259 - x5260 <= 43 e60512: 11.615424 b461 + x5260 - x5261 <= 43 e60513: 11.615424 b462 + x5261 - x5262 <= 43 e60514: 11.615424 b463 + x5262 - x5263 <= 43 e60515: 11.615424 b464 + x5263 - x5264 <= 43 e60516: 11.615424 b465 + x5264 - x5265 <= 43 e60517: 11.615424 b466 + x5265 - x5266 <= 43 e60518: 11.615424 b467 + x5266 - x5267 <= 43 e60519: 11.615424 b468 + x5267 - x5268 <= 43 e60520: 11.615424 b469 + x5268 - x5269 <= 43 e60521: 11.615424 b470 + x5269 - x5270 <= 43 e60522: 11.615424 b471 + x5270 - x5271 <= 43 e60523: 11.615424 b472 + x5271 - x5272 <= 43 e60524: 11.615424 b473 + x5272 - x5273 <= 43 e60525: 11.615424 b474 + x5273 - x5274 <= 43 e60526: 11.615424 b475 + x5274 - x5275 <= 43 e60527: 11.615424 b476 + x5275 - x5276 <= 43 e60528: 11.615424 b477 + x5276 - x5277 <= 43 e60529: 11.615424 b478 + x5277 - x5278 <= 43 e60530: 11.615424 b479 + x5278 - x5279 <= 43 e60531: 11.615424 b480 + x5279 - x5280 <= 43 e60532: 11.615424 b481 + x5280 - x5281 <= 43 e60533: - 15.859882 b482 - x5282 <= -12.694642 e60534: - 15.859882 b483 + x5282 - x5283 <= 30 e60535: - 15.859882 b484 + x5283 - x5284 <= 30 e60536: - 15.859882 b485 + x5284 - x5285 <= 30 e60537: - 15.859882 b486 + x5285 - x5286 <= 30 e60538: - 15.859882 b487 + x5286 - x5287 <= 30 e60539: - 15.859882 b488 + x5287 - x5288 <= 30 e60540: - 15.859882 b489 + x5288 - x5289 <= 30 e60541: - 15.859882 b490 + x5289 - x5290 <= 30 e60542: - 15.859882 b491 + x5290 - x5291 <= 30 e60543: - 15.859882 b492 + x5291 - x5292 <= 30 e60544: - 15.859882 b493 + x5292 - x5293 <= 30 e60545: - 15.859882 b494 + x5293 - x5294 <= 30 e60546: - 15.859882 b495 + x5294 - x5295 <= 30 e60547: - 15.859882 b496 + x5295 - x5296 <= 30 e60548: - 15.859882 b497 + x5296 - x5297 <= 30 e60549: - 15.859882 b498 + x5297 - x5298 <= 30 e60550: - 15.859882 b499 + x5298 - x5299 <= 30 e60551: - 15.859882 b500 + x5299 - x5300 <= 30 e60552: - 15.859882 b501 + x5300 - x5301 <= 30 e60553: - 15.859882 b502 + x5301 - x5302 <= 30 e60554: - 15.859882 b503 + x5302 - x5303 <= 30 e60555: - 15.859882 b504 + x5303 - x5304 <= 30 e60556: - 15.859882 b505 + x5304 - x5305 <= 30 e60557: 14.502626 b506 - x5306 <= 41 e60558: 14.502626 b507 + x5306 - x5307 <= 41 e60559: 14.502626 b508 + x5307 - x5308 <= 41 e60560: 14.502626 b509 + x5308 - x5309 <= 41 e60561: 14.502626 b510 + x5309 - x5310 <= 41 e60562: 14.502626 b511 + x5310 - x5311 <= 41 e60563: 14.502626 b512 + x5311 - x5312 <= 41 e60564: 14.502626 b513 + x5312 - x5313 <= 41 e60565: 14.502626 b514 + x5313 - x5314 <= 41 e60566: 14.502626 b515 + x5314 - x5315 <= 41 e60567: 14.502626 b516 + x5315 - x5316 <= 41 e60568: 14.502626 b517 + x5316 - x5317 <= 41 e60569: 14.502626 b518 + x5317 - x5318 <= 41 e60570: 14.502626 b519 + x5318 - x5319 <= 41 e60571: 14.502626 b520 + x5319 - x5320 <= 41 e60572: 14.502626 b521 + x5320 - x5321 <= 41 e60573: 14.502626 b522 + x5321 - x5322 <= 41 e60574: 14.502626 b523 + x5322 - x5323 <= 41 e60575: 14.502626 b524 + x5323 - x5324 <= 41 e60576: 14.502626 b525 + x5324 - x5325 <= 41 e60577: 14.502626 b526 + x5325 - x5326 <= 41 e60578: 14.502626 b527 + x5326 - x5327 <= 41 e60579: 14.502626 b528 + x5327 - x5328 <= 41 e60580: 14.502626 b529 + x5328 - x5329 <= 41 e60581: 3.803727 b530 - x5330 <= -28.585165 e60582: 3.803727 b531 + x5330 - x5331 <= 43 e60583: 3.803727 b532 + x5331 - x5332 <= 43 e60584: 3.803727 b533 + x5332 - x5333 <= 43 e60585: 3.803727 b534 + x5333 - x5334 <= 43 e60586: 3.803727 b535 + x5334 - x5335 <= 43 e60587: 3.803727 b536 + x5335 - x5336 <= 43 e60588: 3.803727 b537 + x5336 - x5337 <= 43 e60589: 3.803727 b538 + x5337 - x5338 <= 43 e60590: 3.803727 b539 + x5338 - x5339 <= 43 e60591: 3.803727 b540 + x5339 - x5340 <= 43 e60592: 3.803727 b541 + x5340 - x5341 <= 43 e60593: 3.803727 b542 + x5341 - x5342 <= 43 e60594: 3.803727 b543 + x5342 - x5343 <= 43 e60595: 3.803727 b544 + x5343 - x5344 <= 43 e60596: 3.803727 b545 + x5344 - x5345 <= 43 e60597: 3.803727 b546 + x5345 - x5346 <= 43 e60598: 3.803727 b547 + x5346 - x5347 <= 43 e60599: 3.803727 b548 + x5347 - x5348 <= 43 e60600: 3.803727 b549 + x5348 - x5349 <= 43 e60601: 3.803727 b550 + x5349 - x5350 <= 43 e60602: 3.803727 b551 + x5350 - x5351 <= 43 e60603: 3.803727 b552 + x5351 - x5352 <= 43 e60604: 3.803727 b553 + x5352 - x5353 <= 43 e60605: - 1.33086 b554 - x5354 <= -22.621434 e60606: - 1.33086 b555 + x5354 - x5355 <= 41 e60607: - 1.33086 b556 + x5355 - x5356 <= 41 e60608: - 1.33086 b557 + x5356 - x5357 <= 41 e60609: - 1.33086 b558 + x5357 - x5358 <= 41 e60610: - 1.33086 b559 + x5358 - x5359 <= 41 e60611: - 1.33086 b560 + x5359 - x5360 <= 41 e60612: - 1.33086 b561 + x5360 - x5361 <= 41 e60613: - 1.33086 b562 + x5361 - x5362 <= 41 e60614: - 1.33086 b563 + x5362 - x5363 <= 41 e60615: - 1.33086 b564 + x5363 - x5364 <= 41 e60616: - 1.33086 b565 + x5364 - x5365 <= 41 e60617: - 1.33086 b566 + x5365 - x5366 <= 41 e60618: - 1.33086 b567 + x5366 - x5367 <= 41 e60619: - 1.33086 b568 + x5367 - x5368 <= 41 e60620: - 1.33086 b569 + x5368 - x5369 <= 41 e60621: - 1.33086 b570 + x5369 - x5370 <= 41 e60622: - 1.33086 b571 + x5370 - x5371 <= 41 e60623: - 1.33086 b572 + x5371 - x5372 <= 41 e60624: - 1.33086 b573 + x5372 - x5373 <= 41 e60625: - 1.33086 b574 + x5373 - x5374 <= 41 e60626: - 1.33086 b575 + x5374 - x5375 <= 41 e60627: - 1.33086 b576 + x5375 - x5376 <= 41 e60628: - 1.33086 b577 + x5376 - x5377 <= 41 e60629: 19.905339 b578 - x5378 <= 48 e60630: 19.905339 b579 + x5378 - x5379 <= 48 e60631: 19.905339 b580 + x5379 - x5380 <= 48 e60632: 19.905339 b581 + x5380 - x5381 <= 48 e60633: 19.905339 b582 + x5381 - x5382 <= 48 e60634: 19.905339 b583 + x5382 - x5383 <= 48 e60635: 19.905339 b584 + x5383 - x5384 <= 48 e60636: 19.905339 b585 + x5384 - x5385 <= 48 e60637: 19.905339 b586 + x5385 - x5386 <= 48 e60638: 19.905339 b587 + x5386 - x5387 <= 48 e60639: 19.905339 b588 + x5387 - x5388 <= 48 e60640: 19.905339 b589 + x5388 - x5389 <= 48 e60641: 19.905339 b590 + x5389 - x5390 <= 48 e60642: 19.905339 b591 + x5390 - x5391 <= 48 e60643: 19.905339 b592 + x5391 - x5392 <= 48 e60644: 19.905339 b593 + x5392 - x5393 <= 48 e60645: 19.905339 b594 + x5393 - x5394 <= 48 e60646: 19.905339 b595 + x5394 - x5395 <= 48 e60647: 19.905339 b596 + x5395 - x5396 <= 48 e60648: 19.905339 b597 + x5396 - x5397 <= 48 e60649: 19.905339 b598 + x5397 - x5398 <= 48 e60650: 19.905339 b599 + x5398 - x5399 <= 48 e60651: 19.905339 b600 + x5399 - x5400 <= 48 e60652: 19.905339 b601 + x5400 - x5401 <= 48 e60653: 4.740208 b602 - x5402 <= 35 e60654: 4.740208 b603 + x5402 - x5403 <= 35 e60655: 4.740208 b604 + x5403 - x5404 <= 35 e60656: 4.740208 b605 + x5404 - x5405 <= 35 e60657: 4.740208 b606 + x5405 - x5406 <= 35 e60658: 4.740208 b607 + x5406 - x5407 <= 35 e60659: 4.740208 b608 + x5407 - x5408 <= 35 e60660: 4.740208 b609 + x5408 - x5409 <= 35 e60661: 4.740208 b610 + x5409 - x5410 <= 35 e60662: 4.740208 b611 + x5410 - x5411 <= 35 e60663: 4.740208 b612 + x5411 - x5412 <= 35 e60664: 4.740208 b613 + x5412 - x5413 <= 35 e60665: 4.740208 b614 + x5413 - x5414 <= 35 e60666: 4.740208 b615 + x5414 - x5415 <= 35 e60667: 4.740208 b616 + x5415 - x5416 <= 35 e60668: 4.740208 b617 + x5416 - x5417 <= 35 e60669: 4.740208 b618 + x5417 - x5418 <= 35 e60670: 4.740208 b619 + x5418 - x5419 <= 35 e60671: 4.740208 b620 + x5419 - x5420 <= 35 e60672: 4.740208 b621 + x5420 - x5421 <= 35 e60673: 4.740208 b622 + x5421 - x5422 <= 35 e60674: 4.740208 b623 + x5422 - x5423 <= 35 e60675: 4.740208 b624 + x5423 - x5424 <= 35 e60676: 4.740208 b625 + x5424 - x5425 <= 35 e60677: 15.95137 b626 - x5426 <= 43 e60678: 15.95137 b627 + x5426 - x5427 <= 43 e60679: 15.95137 b628 + x5427 - x5428 <= 43 e60680: 15.95137 b629 + x5428 - x5429 <= 43 e60681: 15.95137 b630 + x5429 - x5430 <= 43 e60682: 15.95137 b631 + x5430 - x5431 <= 43 e60683: 15.95137 b632 + x5431 - x5432 <= 43 e60684: 15.95137 b633 + x5432 - x5433 <= 43 e60685: 15.95137 b634 + x5433 - x5434 <= 43 e60686: 15.95137 b635 + x5434 - x5435 <= 43 e60687: 15.95137 b636 + x5435 - x5436 <= 43 e60688: 15.95137 b637 + x5436 - x5437 <= 43 e60689: 15.95137 b638 + x5437 - x5438 <= 43 e60690: 15.95137 b639 + x5438 - x5439 <= 43 e60691: 15.95137 b640 + x5439 - x5440 <= 43 e60692: 15.95137 b641 + x5440 - x5441 <= 43 e60693: 15.95137 b642 + x5441 - x5442 <= 43 e60694: 15.95137 b643 + x5442 - x5443 <= 43 e60695: 15.95137 b644 + x5443 - x5444 <= 43 e60696: 15.95137 b645 + x5444 - x5445 <= 43 e60697: 15.95137 b646 + x5445 - x5446 <= 43 e60698: 15.95137 b647 + x5446 - x5447 <= 43 e60699: 15.95137 b648 + x5447 - x5448 <= 43 e60700: 15.95137 b649 + x5448 - x5449 <= 43 e60701: 13.437503 b650 - x5450 <= 43 e60702: 13.437503 b651 + x5450 - x5451 <= 43 e60703: 13.437503 b652 + x5451 - x5452 <= 43 e60704: 13.437503 b653 + x5452 - x5453 <= 43 e60705: 13.437503 b654 + x5453 - x5454 <= 43 e60706: 13.437503 b655 + x5454 - x5455 <= 43 e60707: 13.437503 b656 + x5455 - x5456 <= 43 e60708: 13.437503 b657 + x5456 - x5457 <= 43 e60709: 13.437503 b658 + x5457 - x5458 <= 43 e60710: 13.437503 b659 + x5458 - x5459 <= 43 e60711: 13.437503 b660 + x5459 - x5460 <= 43 e60712: 13.437503 b661 + x5460 - x5461 <= 43 e60713: 13.437503 b662 + x5461 - x5462 <= 43 e60714: 13.437503 b663 + x5462 - x5463 <= 43 e60715: 13.437503 b664 + x5463 - x5464 <= 43 e60716: 13.437503 b665 + x5464 - x5465 <= 43 e60717: 13.437503 b666 + x5465 - x5466 <= 43 e60718: 13.437503 b667 + x5466 - x5467 <= 43 e60719: 13.437503 b668 + x5467 - x5468 <= 43 e60720: 13.437503 b669 + x5468 - x5469 <= 43 e60721: 13.437503 b670 + x5469 - x5470 <= 43 e60722: 13.437503 b671 + x5470 - x5471 <= 43 e60723: 13.437503 b672 + x5471 - x5472 <= 43 e60724: 13.437503 b673 + x5472 - x5473 <= 43 e60725: 20.098244 b674 - x5474 <= 47 e60726: 20.098244 b675 + x5474 - x5475 <= 47 e60727: 20.098244 b676 + x5475 - x5476 <= 47 e60728: 20.098244 b677 + x5476 - x5477 <= 47 e60729: 20.098244 b678 + x5477 - x5478 <= 47 e60730: 20.098244 b679 + x5478 - x5479 <= 47 e60731: 20.098244 b680 + x5479 - x5480 <= 47 e60732: 20.098244 b681 + x5480 - x5481 <= 47 e60733: 20.098244 b682 + x5481 - x5482 <= 47 e60734: 20.098244 b683 + x5482 - x5483 <= 47 e60735: 20.098244 b684 + x5483 - x5484 <= 47 e60736: 20.098244 b685 + x5484 - x5485 <= 47 e60737: 20.098244 b686 + x5485 - x5486 <= 47 e60738: 20.098244 b687 + x5486 - x5487 <= 47 e60739: 20.098244 b688 + x5487 - x5488 <= 47 e60740: 20.098244 b689 + x5488 - x5489 <= 47 e60741: 20.098244 b690 + x5489 - x5490 <= 47 e60742: 20.098244 b691 + x5490 - x5491 <= 47 e60743: 20.098244 b692 + x5491 - x5492 <= 47 e60744: 20.098244 b693 + x5492 - x5493 <= 47 e60745: 20.098244 b694 + x5493 - x5494 <= 47 e60746: 20.098244 b695 + x5494 - x5495 <= 47 e60747: 20.098244 b696 + x5495 - x5496 <= 47 e60748: 20.098244 b697 + x5496 - x5497 <= 47 e60749: 21.546011 b698 - x5498 <= -16.986802 e60750: 21.546011 b699 + x5498 - x5499 <= 44 e60751: 21.546011 b700 + x5499 - x5500 <= 44 e60752: 21.546011 b701 + x5500 - x5501 <= 44 e60753: 21.546011 b702 + x5501 - x5502 <= 44 e60754: 21.546011 b703 + x5502 - x5503 <= 44 e60755: 21.546011 b704 + x5503 - x5504 <= 44 e60756: 21.546011 b705 + x5504 - x5505 <= 44 e60757: 21.546011 b706 + x5505 - x5506 <= 44 e60758: 21.546011 b707 + x5506 - x5507 <= 44 e60759: 21.546011 b708 + x5507 - x5508 <= 44 e60760: 21.546011 b709 + x5508 - x5509 <= 44 e60761: 21.546011 b710 + x5509 - x5510 <= 44 e60762: 21.546011 b711 + x5510 - x5511 <= 44 e60763: 21.546011 b712 + x5511 - x5512 <= 44 e60764: 21.546011 b713 + x5512 - x5513 <= 44 e60765: 21.546011 b714 + x5513 - x5514 <= 44 e60766: 21.546011 b715 + x5514 - x5515 <= 44 e60767: 21.546011 b716 + x5515 - x5516 <= 44 e60768: 21.546011 b717 + x5516 - x5517 <= 44 e60769: 21.546011 b718 + x5517 - x5518 <= 44 e60770: 21.546011 b719 + x5518 - x5519 <= 44 e60771: 21.546011 b720 + x5519 - x5520 <= 44 e60772: 21.546011 b721 + x5520 - x5521 <= 44 e60773: 23.270319 b722 - x5522 <= -35.844971 e60774: 23.270319 b723 + x5522 - x5523 <= 49 e60775: 23.270319 b724 + x5523 - x5524 <= 49 e60776: 23.270319 b725 + x5524 - x5525 <= 49 e60777: 23.270319 b726 + x5525 - x5526 <= 49 e60778: 23.270319 b727 + x5526 - x5527 <= 49 e60779: 23.270319 b728 + x5527 - x5528 <= 49 e60780: 23.270319 b729 + x5528 - x5529 <= 49 e60781: 23.270319 b730 + x5529 - x5530 <= 49 e60782: 23.270319 b731 + x5530 - x5531 <= 49 e60783: 23.270319 b732 + x5531 - x5532 <= 49 e60784: 23.270319 b733 + x5532 - x5533 <= 49 e60785: 23.270319 b734 + x5533 - x5534 <= 49 e60786: 23.270319 b735 + x5534 - x5535 <= 49 e60787: 23.270319 b736 + x5535 - x5536 <= 49 e60788: 23.270319 b737 + x5536 - x5537 <= 49 e60789: 23.270319 b738 + x5537 - x5538 <= 49 e60790: 23.270319 b739 + x5538 - x5539 <= 49 e60791: 23.270319 b740 + x5539 - x5540 <= 49 e60792: 23.270319 b741 + x5540 - x5541 <= 49 e60793: 23.270319 b742 + x5541 - x5542 <= 49 e60794: 23.270319 b743 + x5542 - x5543 <= 49 e60795: 23.270319 b744 + x5543 - x5544 <= 49 e60796: 23.270319 b745 + x5544 - x5545 <= 49 e60797: 18.802928 b746 - x5546 <= 48 e60798: 18.802928 b747 + x5546 - x5547 <= 48 e60799: 18.802928 b748 + x5547 - x5548 <= 48 e60800: 18.802928 b749 + x5548 - x5549 <= 48 e60801: 18.802928 b750 + x5549 - x5550 <= 48 e60802: 18.802928 b751 + x5550 - x5551 <= 48 e60803: 18.802928 b752 + x5551 - x5552 <= 48 e60804: 18.802928 b753 + x5552 - x5553 <= 48 e60805: 18.802928 b754 + x5553 - x5554 <= 48 e60806: 18.802928 b755 + x5554 - x5555 <= 48 e60807: 18.802928 b756 + x5555 - x5556 <= 48 e60808: 18.802928 b757 + x5556 - x5557 <= 48 e60809: 18.802928 b758 + x5557 - x5558 <= 48 e60810: 18.802928 b759 + x5558 - x5559 <= 48 e60811: 18.802928 b760 + x5559 - x5560 <= 48 e60812: 18.802928 b761 + x5560 - x5561 <= 48 e60813: 18.802928 b762 + x5561 - x5562 <= 48 e60814: 18.802928 b763 + x5562 - x5563 <= 48 e60815: 18.802928 b764 + x5563 - x5564 <= 48 e60816: 18.802928 b765 + x5564 - x5565 <= 48 e60817: 18.802928 b766 + x5565 - x5566 <= 48 e60818: 18.802928 b767 + x5566 - x5567 <= 48 e60819: 18.802928 b768 + x5567 - x5568 <= 48 e60820: 18.802928 b769 + x5568 - x5569 <= 48 e60821: 19.224373 b770 - x5570 <= 42 e60822: 19.224373 b771 + x5570 - x5571 <= 42 e60823: 19.224373 b772 + x5571 - x5572 <= 42 e60824: 19.224373 b773 + x5572 - x5573 <= 42 e60825: 19.224373 b774 + x5573 - x5574 <= 42 e60826: 19.224373 b775 + x5574 - x5575 <= 42 e60827: 19.224373 b776 + x5575 - x5576 <= 42 e60828: 19.224373 b777 + x5576 - x5577 <= 42 e60829: 19.224373 b778 + x5577 - x5578 <= 42 e60830: 19.224373 b779 + x5578 - x5579 <= 42 e60831: 19.224373 b780 + x5579 - x5580 <= 42 e60832: 19.224373 b781 + x5580 - x5581 <= 42 e60833: 19.224373 b782 + x5581 - x5582 <= 42 e60834: 19.224373 b783 + x5582 - x5583 <= 42 e60835: 19.224373 b784 + x5583 - x5584 <= 42 e60836: 19.224373 b785 + x5584 - x5585 <= 42 e60837: 19.224373 b786 + x5585 - x5586 <= 42 e60838: 19.224373 b787 + x5586 - x5587 <= 42 e60839: 19.224373 b788 + x5587 - x5588 <= 42 e60840: 19.224373 b789 + x5588 - x5589 <= 42 e60841: 19.224373 b790 + x5589 - x5590 <= 42 e60842: 19.224373 b791 + x5590 - x5591 <= 42 e60843: 19.224373 b792 + x5591 - x5592 <= 42 e60844: 19.224373 b793 + x5592 - x5593 <= 42 e60845: - 6.590265 b794 - x5594 <= -17.181866 e60846: - 6.590265 b795 + x5594 - x5595 <= 30 e60847: - 6.590265 b796 + x5595 - x5596 <= 30 e60848: - 6.590265 b797 + x5596 - x5597 <= 30 e60849: - 6.590265 b798 + x5597 - x5598 <= 30 e60850: - 6.590265 b799 + x5598 - x5599 <= 30 e60851: - 6.590265 b800 + x5599 - x5600 <= 30 e60852: - 6.590265 b801 + x5600 - x5601 <= 30 e60853: - 6.590265 b802 + x5601 - x5602 <= 30 e60854: - 6.590265 b803 + x5602 - x5603 <= 30 e60855: - 6.590265 b804 + x5603 - x5604 <= 30 e60856: - 6.590265 b805 + x5604 - x5605 <= 30 e60857: - 6.590265 b806 + x5605 - x5606 <= 30 e60858: - 6.590265 b807 + x5606 - x5607 <= 30 e60859: - 6.590265 b808 + x5607 - x5608 <= 30 e60860: - 6.590265 b809 + x5608 - x5609 <= 30 e60861: - 6.590265 b810 + x5609 - x5610 <= 30 e60862: - 6.590265 b811 + x5610 - x5611 <= 30 e60863: - 6.590265 b812 + x5611 - x5612 <= 30 e60864: - 6.590265 b813 + x5612 - x5613 <= 30 e60865: - 6.590265 b814 + x5613 - x5614 <= 30 e60866: - 6.590265 b815 + x5614 - x5615 <= 30 e60867: - 6.590265 b816 + x5615 - x5616 <= 30 e60868: - 6.590265 b817 + x5616 - x5617 <= 30 e60869: - 3.064264 b818 - x5618 <= 31 e60870: - 3.064264 b819 + x5618 - x5619 <= 31 e60871: - 3.064264 b820 + x5619 - x5620 <= 31 e60872: - 3.064264 b821 + x5620 - x5621 <= 31 e60873: - 3.064264 b822 + x5621 - x5622 <= 31 e60874: - 3.064264 b823 + x5622 - x5623 <= 31 e60875: - 3.064264 b824 + x5623 - x5624 <= 31 e60876: - 3.064264 b825 + x5624 - x5625 <= 31 e60877: - 3.064264 b826 + x5625 - x5626 <= 31 e60878: - 3.064264 b827 + x5626 - x5627 <= 31 e60879: - 3.064264 b828 + x5627 - x5628 <= 31 e60880: - 3.064264 b829 + x5628 - x5629 <= 31 e60881: - 3.064264 b830 + x5629 - x5630 <= 31 e60882: - 3.064264 b831 + x5630 - x5631 <= 31 e60883: - 3.064264 b832 + x5631 - x5632 <= 31 e60884: - 3.064264 b833 + x5632 - x5633 <= 31 e60885: - 3.064264 b834 + x5633 - x5634 <= 31 e60886: - 3.064264 b835 + x5634 - x5635 <= 31 e60887: - 3.064264 b836 + x5635 - x5636 <= 31 e60888: - 3.064264 b837 + x5636 - x5637 <= 31 e60889: - 3.064264 b838 + x5637 - x5638 <= 31 e60890: - 3.064264 b839 + x5638 - x5639 <= 31 e60891: - 3.064264 b840 + x5639 - x5640 <= 31 e60892: - 3.064264 b841 + x5640 - x5641 <= 31 e60893: 22.0377 b842 - x5642 <= 46 e60894: 22.0377 b843 + x5642 - x5643 <= 46 e60895: 22.0377 b844 + x5643 - x5644 <= 46 e60896: 22.0377 b845 + x5644 - x5645 <= 46 e60897: 22.0377 b846 + x5645 - x5646 <= 46 e60898: 22.0377 b847 + x5646 - x5647 <= 46 e60899: 22.0377 b848 + x5647 - x5648 <= 46 e60900: 22.0377 b849 + x5648 - x5649 <= 46 e60901: 22.0377 b850 + x5649 - x5650 <= 46 e60902: 22.0377 b851 + x5650 - x5651 <= 46 e60903: 22.0377 b852 + x5651 - x5652 <= 46 e60904: 22.0377 b853 + x5652 - x5653 <= 46 e60905: 22.0377 b854 + x5653 - x5654 <= 46 e60906: 22.0377 b855 + x5654 - x5655 <= 46 e60907: 22.0377 b856 + x5655 - x5656 <= 46 e60908: 22.0377 b857 + x5656 - x5657 <= 46 e60909: 22.0377 b858 + x5657 - x5658 <= 46 e60910: 22.0377 b859 + x5658 - x5659 <= 46 e60911: 22.0377 b860 + x5659 - x5660 <= 46 e60912: 22.0377 b861 + x5660 - x5661 <= 46 e60913: 22.0377 b862 + x5661 - x5662 <= 46 e60914: 22.0377 b863 + x5662 - x5663 <= 46 e60915: 22.0377 b864 + x5663 - x5664 <= 46 e60916: 22.0377 b865 + x5664 - x5665 <= 46 e60917: - 0.785065 b866 - x5666 <= -57.105231 e60918: - 0.785065 b867 + x5666 - x5667 <= 33 e60919: - 0.785065 b868 + x5667 - x5668 <= 33 e60920: - 0.785065 b869 + x5668 - x5669 <= 33 e60921: - 0.785065 b870 + x5669 - x5670 <= 33 e60922: - 0.785065 b871 + x5670 - x5671 <= 33 e60923: - 0.785065 b872 + x5671 - x5672 <= 33 e60924: - 0.785065 b873 + x5672 - x5673 <= 33 e60925: - 0.785065 b874 + x5673 - x5674 <= 33 e60926: - 0.785065 b875 + x5674 - x5675 <= 33 e60927: - 0.785065 b876 + x5675 - x5676 <= 33 e60928: - 0.785065 b877 + x5676 - x5677 <= 33 e60929: - 0.785065 b878 + x5677 - x5678 <= 33 e60930: - 0.785065 b879 + x5678 - x5679 <= 33 e60931: - 0.785065 b880 + x5679 - x5680 <= 33 e60932: - 0.785065 b881 + x5680 - x5681 <= 33 e60933: - 0.785065 b882 + x5681 - x5682 <= 33 e60934: - 0.785065 b883 + x5682 - x5683 <= 33 e60935: - 0.785065 b884 + x5683 - x5684 <= 33 e60936: - 0.785065 b885 + x5684 - x5685 <= 33 e60937: - 0.785065 b886 + x5685 - x5686 <= 33 e60938: - 0.785065 b887 + x5686 - x5687 <= 33 e60939: - 0.785065 b888 + x5687 - x5688 <= 33 e60940: - 0.785065 b889 + x5688 - x5689 <= 33 e60941: 14.344992 b890 - x5690 <= -43.725145 e60942: 14.344992 b891 + x5690 - x5691 <= 44 e60943: 14.344992 b892 + x5691 - x5692 <= 44 e60944: 14.344992 b893 + x5692 - x5693 <= 44 e60945: 14.344992 b894 + x5693 - x5694 <= 44 e60946: 14.344992 b895 + x5694 - x5695 <= 44 e60947: 14.344992 b896 + x5695 - x5696 <= 44 e60948: 14.344992 b897 + x5696 - x5697 <= 44 e60949: 14.344992 b898 + x5697 - x5698 <= 44 e60950: 14.344992 b899 + x5698 - x5699 <= 44 e60951: 14.344992 b900 + x5699 - x5700 <= 44 e60952: 14.344992 b901 + x5700 - x5701 <= 44 e60953: 14.344992 b902 + x5701 - x5702 <= 44 e60954: 14.344992 b903 + x5702 - x5703 <= 44 e60955: 14.344992 b904 + x5703 - x5704 <= 44 e60956: 14.344992 b905 + x5704 - x5705 <= 44 e60957: 14.344992 b906 + x5705 - x5706 <= 44 e60958: 14.344992 b907 + x5706 - x5707 <= 44 e60959: 14.344992 b908 + x5707 - x5708 <= 44 e60960: 14.344992 b909 + x5708 - x5709 <= 44 e60961: 14.344992 b910 + x5709 - x5710 <= 44 e60962: 14.344992 b911 + x5710 - x5711 <= 44 e60963: 14.344992 b912 + x5711 - x5712 <= 44 e60964: 14.344992 b913 + x5712 - x5713 <= 44 e60965: 12.473001 b914 - x5714 <= -61.231432 e60966: 12.473001 b915 + x5714 - x5715 <= 39 e60967: 12.473001 b916 + x5715 - x5716 <= 39 e60968: 12.473001 b917 + x5716 - x5717 <= 39 e60969: 12.473001 b918 + x5717 - x5718 <= 39 e60970: 12.473001 b919 + x5718 - x5719 <= 39 e60971: 12.473001 b920 + x5719 - x5720 <= 39 e60972: 12.473001 b921 + x5720 - x5721 <= 39 e60973: 12.473001 b922 + x5721 - x5722 <= 39 e60974: 12.473001 b923 + x5722 - x5723 <= 39 e60975: 12.473001 b924 + x5723 - x5724 <= 39 e60976: 12.473001 b925 + x5724 - x5725 <= 39 e60977: 12.473001 b926 + x5725 - x5726 <= 39 e60978: 12.473001 b927 + x5726 - x5727 <= 39 e60979: 12.473001 b928 + x5727 - x5728 <= 39 e60980: 12.473001 b929 + x5728 - x5729 <= 39 e60981: 12.473001 b930 + x5729 - x5730 <= 39 e60982: 12.473001 b931 + x5730 - x5731 <= 39 e60983: 12.473001 b932 + x5731 - x5732 <= 39 e60984: 12.473001 b933 + x5732 - x5733 <= 39 e60985: 12.473001 b934 + x5733 - x5734 <= 39 e60986: 12.473001 b935 + x5734 - x5735 <= 39 e60987: 12.473001 b936 + x5735 - x5736 <= 39 e60988: 12.473001 b937 + x5736 - x5737 <= 39 e60989: 18.195612 b938 - x5738 <= 42 e60990: 18.195612 b939 + x5738 - x5739 <= 42 e60991: 18.195612 b940 + x5739 - x5740 <= 42 e60992: 18.195612 b941 + x5740 - x5741 <= 42 e60993: 18.195612 b942 + x5741 - x5742 <= 42 e60994: 18.195612 b943 + x5742 - x5743 <= 42 e60995: 18.195612 b944 + x5743 - x5744 <= 42 e60996: 18.195612 b945 + x5744 - x5745 <= 42 e60997: 18.195612 b946 + x5745 - x5746 <= 42 e60998: 18.195612 b947 + x5746 - x5747 <= 42 e60999: 18.195612 b948 + x5747 - x5748 <= 42 e61000: 18.195612 b949 + x5748 - x5749 <= 42 e61001: 18.195612 b950 + x5749 - x5750 <= 42 e61002: 18.195612 b951 + x5750 - x5751 <= 42 e61003: 18.195612 b952 + x5751 - x5752 <= 42 e61004: 18.195612 b953 + x5752 - x5753 <= 42 e61005: 18.195612 b954 + x5753 - x5754 <= 42 e61006: 18.195612 b955 + x5754 - x5755 <= 42 e61007: 18.195612 b956 + x5755 - x5756 <= 42 e61008: 18.195612 b957 + x5756 - x5757 <= 42 e61009: 18.195612 b958 + x5757 - x5758 <= 42 e61010: 18.195612 b959 + x5758 - x5759 <= 42 e61011: 18.195612 b960 + x5759 - x5760 <= 42 e61012: 18.195612 b961 + x5760 - x5761 <= 42 e61013: 15.329445 b962 - x5762 <= 47 e61014: 15.329445 b963 + x5762 - x5763 <= 47 e61015: 15.329445 b964 + x5763 - x5764 <= 47 e61016: 15.329445 b965 + x5764 - x5765 <= 47 e61017: 15.329445 b966 + x5765 - x5766 <= 47 e61018: 15.329445 b967 + x5766 - x5767 <= 47 e61019: 15.329445 b968 + x5767 - x5768 <= 47 e61020: 15.329445 b969 + x5768 - x5769 <= 47 e61021: 15.329445 b970 + x5769 - x5770 <= 47 e61022: 15.329445 b971 + x5770 - x5771 <= 47 e61023: 15.329445 b972 + x5771 - x5772 <= 47 e61024: 15.329445 b973 + x5772 - x5773 <= 47 e61025: 15.329445 b974 + x5773 - x5774 <= 47 e61026: 15.329445 b975 + x5774 - x5775 <= 47 e61027: 15.329445 b976 + x5775 - x5776 <= 47 e61028: 15.329445 b977 + x5776 - x5777 <= 47 e61029: 15.329445 b978 + x5777 - x5778 <= 47 e61030: 15.329445 b979 + x5778 - x5779 <= 47 e61031: 15.329445 b980 + x5779 - x5780 <= 47 e61032: 15.329445 b981 + x5780 - x5781 <= 47 e61033: 15.329445 b982 + x5781 - x5782 <= 47 e61034: 15.329445 b983 + x5782 - x5783 <= 47 e61035: 15.329445 b984 + x5783 - x5784 <= 47 e61036: 15.329445 b985 + x5784 - x5785 <= 47 e61037: - 0.30934 b986 - x5786 <= 36 e61038: - 0.30934 b987 + x5786 - x5787 <= 36 e61039: - 0.30934 b988 + x5787 - x5788 <= 36 e61040: - 0.30934 b989 + x5788 - x5789 <= 36 e61041: - 0.30934 b990 + x5789 - x5790 <= 36 e61042: - 0.30934 b991 + x5790 - x5791 <= 36 e61043: - 0.30934 b992 + x5791 - x5792 <= 36 e61044: - 0.30934 b993 + x5792 - x5793 <= 36 e61045: - 0.30934 b994 + x5793 - x5794 <= 36 e61046: - 0.30934 b995 + x5794 - x5795 <= 36 e61047: - 0.30934 b996 + x5795 - x5796 <= 36 e61048: - 0.30934 b997 + x5796 - x5797 <= 36 e61049: - 0.30934 b998 + x5797 - x5798 <= 36 e61050: - 0.30934 b999 + x5798 - x5799 <= 36 e61051: - 0.30934 b1000 + x5799 - x5800 <= 36 e61052: - 0.30934 b1001 + x5800 - x5801 <= 36 e61053: - 0.30934 b1002 + x5801 - x5802 <= 36 e61054: - 0.30934 b1003 + x5802 - x5803 <= 36 e61055: - 0.30934 b1004 + x5803 - x5804 <= 36 e61056: - 0.30934 b1005 + x5804 - x5805 <= 36 e61057: - 0.30934 b1006 + x5805 - x5806 <= 36 e61058: - 0.30934 b1007 + x5806 - x5807 <= 36 e61059: - 0.30934 b1008 + x5807 - x5808 <= 36 e61060: - 0.30934 b1009 + x5808 - x5809 <= 36 e61061: 6.6798 b1010 - x5810 <= 37 e61062: 6.6798 b1011 + x5810 - x5811 <= 37 e61063: 6.6798 b1012 + x5811 - x5812 <= 37 e61064: 6.6798 b1013 + x5812 - x5813 <= 37 e61065: 6.6798 b1014 + x5813 - x5814 <= 37 e61066: 6.6798 b1015 + x5814 - x5815 <= 37 e61067: 6.6798 b1016 + x5815 - x5816 <= 37 e61068: 6.6798 b1017 + x5816 - x5817 <= 37 e61069: 6.6798 b1018 + x5817 - x5818 <= 37 e61070: 6.6798 b1019 + x5818 - x5819 <= 37 e61071: 6.6798 b1020 + x5819 - x5820 <= 37 e61072: 6.6798 b1021 + x5820 - x5821 <= 37 e61073: 6.6798 b1022 + x5821 - x5822 <= 37 e61074: 6.6798 b1023 + x5822 - x5823 <= 37 e61075: 6.6798 b1024 + x5823 - x5824 <= 37 e61076: 6.6798 b1025 + x5824 - x5825 <= 37 e61077: 6.6798 b1026 + x5825 - x5826 <= 37 e61078: 6.6798 b1027 + x5826 - x5827 <= 37 e61079: 6.6798 b1028 + x5827 - x5828 <= 37 e61080: 6.6798 b1029 + x5828 - x5829 <= 37 e61081: 6.6798 b1030 + x5829 - x5830 <= 37 e61082: 6.6798 b1031 + x5830 - x5831 <= 37 e61083: 6.6798 b1032 + x5831 - x5832 <= 37 e61084: 6.6798 b1033 + x5832 - x5833 <= 37 e61085: 16.796126 b1034 - x5834 <= 44 e61086: 16.796126 b1035 + x5834 - x5835 <= 44 e61087: 16.796126 b1036 + x5835 - x5836 <= 44 e61088: 16.796126 b1037 + x5836 - x5837 <= 44 e61089: 16.796126 b1038 + x5837 - x5838 <= 44 e61090: 16.796126 b1039 + x5838 - x5839 <= 44 e61091: 16.796126 b1040 + x5839 - x5840 <= 44 e61092: 16.796126 b1041 + x5840 - x5841 <= 44 e61093: 16.796126 b1042 + x5841 - x5842 <= 44 e61094: 16.796126 b1043 + x5842 - x5843 <= 44 e61095: 16.796126 b1044 + x5843 - x5844 <= 44 e61096: 16.796126 b1045 + x5844 - x5845 <= 44 e61097: 16.796126 b1046 + x5845 - x5846 <= 44 e61098: 16.796126 b1047 + x5846 - x5847 <= 44 e61099: 16.796126 b1048 + x5847 - x5848 <= 44 e61100: 16.796126 b1049 + x5848 - x5849 <= 44 e61101: 16.796126 b1050 + x5849 - x5850 <= 44 e61102: 16.796126 b1051 + x5850 - x5851 <= 44 e61103: 16.796126 b1052 + x5851 - x5852 <= 44 e61104: 16.796126 b1053 + x5852 - x5853 <= 44 e61105: 16.796126 b1054 + x5853 - x5854 <= 44 e61106: 16.796126 b1055 + x5854 - x5855 <= 44 e61107: 16.796126 b1056 + x5855 - x5856 <= 44 e61108: 16.796126 b1057 + x5856 - x5857 <= 44 e61109: 15.537339 b1058 - x5858 <= -22.963294 e61110: 15.537339 b1059 + x5858 - x5859 <= 40 e61111: 15.537339 b1060 + x5859 - x5860 <= 40 e61112: 15.537339 b1061 + x5860 - x5861 <= 40 e61113: 15.537339 b1062 + x5861 - x5862 <= 40 e61114: 15.537339 b1063 + x5862 - x5863 <= 40 e61115: 15.537339 b1064 + x5863 - x5864 <= 40 e61116: 15.537339 b1065 + x5864 - x5865 <= 40 e61117: 15.537339 b1066 + x5865 - x5866 <= 40 e61118: 15.537339 b1067 + x5866 - x5867 <= 40 e61119: 15.537339 b1068 + x5867 - x5868 <= 40 e61120: 15.537339 b1069 + x5868 - x5869 <= 40 e61121: 15.537339 b1070 + x5869 - x5870 <= 40 e61122: 15.537339 b1071 + x5870 - x5871 <= 40 e61123: 15.537339 b1072 + x5871 - x5872 <= 40 e61124: 15.537339 b1073 + x5872 - x5873 <= 40 e61125: 15.537339 b1074 + x5873 - x5874 <= 40 e61126: 15.537339 b1075 + x5874 - x5875 <= 40 e61127: 15.537339 b1076 + x5875 - x5876 <= 40 e61128: 15.537339 b1077 + x5876 - x5877 <= 40 e61129: 15.537339 b1078 + x5877 - x5878 <= 40 e61130: 15.537339 b1079 + x5878 - x5879 <= 40 e61131: 15.537339 b1080 + x5879 - x5880 <= 40 e61132: 15.537339 b1081 + x5880 - x5881 <= 40 e61133: 10.073876 b1082 - x5882 <= 46 e61134: 10.073876 b1083 + x5882 - x5883 <= 46 e61135: 10.073876 b1084 + x5883 - x5884 <= 46 e61136: 10.073876 b1085 + x5884 - x5885 <= 46 e61137: 10.073876 b1086 + x5885 - x5886 <= 46 e61138: 10.073876 b1087 + x5886 - x5887 <= 46 e61139: 10.073876 b1088 + x5887 - x5888 <= 46 e61140: 10.073876 b1089 + x5888 - x5889 <= 46 e61141: 10.073876 b1090 + x5889 - x5890 <= 46 e61142: 10.073876 b1091 + x5890 - x5891 <= 46 e61143: 10.073876 b1092 + x5891 - x5892 <= 46 e61144: 10.073876 b1093 + x5892 - x5893 <= 46 e61145: 10.073876 b1094 + x5893 - x5894 <= 46 e61146: 10.073876 b1095 + x5894 - x5895 <= 46 e61147: 10.073876 b1096 + x5895 - x5896 <= 46 e61148: 10.073876 b1097 + x5896 - x5897 <= 46 e61149: 10.073876 b1098 + x5897 - x5898 <= 46 e61150: 10.073876 b1099 + x5898 - x5899 <= 46 e61151: 10.073876 b1100 + x5899 - x5900 <= 46 e61152: 10.073876 b1101 + x5900 - x5901 <= 46 e61153: 10.073876 b1102 + x5901 - x5902 <= 46 e61154: 10.073876 b1103 + x5902 - x5903 <= 46 e61155: 10.073876 b1104 + x5903 - x5904 <= 46 e61156: 10.073876 b1105 + x5904 - x5905 <= 46 e61157: 22.934964 b1106 - x5906 <= -39.576206 e61158: 22.934964 b1107 + x5906 - x5907 <= 49 e61159: 22.934964 b1108 + x5907 - x5908 <= 49 e61160: 22.934964 b1109 + x5908 - x5909 <= 49 e61161: 22.934964 b1110 + x5909 - x5910 <= 49 e61162: 22.934964 b1111 + x5910 - x5911 <= 49 e61163: 22.934964 b1112 + x5911 - x5912 <= 49 e61164: 22.934964 b1113 + x5912 - x5913 <= 49 e61165: 22.934964 b1114 + x5913 - x5914 <= 49 e61166: 22.934964 b1115 + x5914 - x5915 <= 49 e61167: 22.934964 b1116 + x5915 - x5916 <= 49 e61168: 22.934964 b1117 + x5916 - x5917 <= 49 e61169: 22.934964 b1118 + x5917 - x5918 <= 49 e61170: 22.934964 b1119 + x5918 - x5919 <= 49 e61171: 22.934964 b1120 + x5919 - x5920 <= 49 e61172: 22.934964 b1121 + x5920 - x5921 <= 49 e61173: 22.934964 b1122 + x5921 - x5922 <= 49 e61174: 22.934964 b1123 + x5922 - x5923 <= 49 e61175: 22.934964 b1124 + x5923 - x5924 <= 49 e61176: 22.934964 b1125 + x5924 - x5925 <= 49 e61177: 22.934964 b1126 + x5925 - x5926 <= 49 e61178: 22.934964 b1127 + x5926 - x5927 <= 49 e61179: 22.934964 b1128 + x5927 - x5928 <= 49 e61180: 22.934964 b1129 + x5928 - x5929 <= 49 e61181: 0.2364 b1130 - x5930 <= -7.694027 e61182: 0.2364 b1131 + x5930 - x5931 <= 33 e61183: 0.2364 b1132 + x5931 - x5932 <= 33 e61184: 0.2364 b1133 + x5932 - x5933 <= 33 e61185: 0.2364 b1134 + x5933 - x5934 <= 33 e61186: 0.2364 b1135 + x5934 - x5935 <= 33 e61187: 0.2364 b1136 + x5935 - x5936 <= 33 e61188: 0.2364 b1137 + x5936 - x5937 <= 33 e61189: 0.2364 b1138 + x5937 - x5938 <= 33 e61190: 0.2364 b1139 + x5938 - x5939 <= 33 e61191: 0.2364 b1140 + x5939 - x5940 <= 33 e61192: 0.2364 b1141 + x5940 - x5941 <= 33 e61193: 0.2364 b1142 + x5941 - x5942 <= 33 e61194: 0.2364 b1143 + x5942 - x5943 <= 33 e61195: 0.2364 b1144 + x5943 - x5944 <= 33 e61196: 0.2364 b1145 + x5944 - x5945 <= 33 e61197: 0.2364 b1146 + x5945 - x5946 <= 33 e61198: 0.2364 b1147 + x5946 - x5947 <= 33 e61199: 0.2364 b1148 + x5947 - x5948 <= 33 e61200: 0.2364 b1149 + x5948 - x5949 <= 33 e61201: 0.2364 b1150 + x5949 - x5950 <= 33 e61202: 0.2364 b1151 + x5950 - x5951 <= 33 e61203: 0.2364 b1152 + x5951 - x5952 <= 33 e61204: 0.2364 b1153 + x5952 - x5953 <= 33 e61205: 11.763862 b1154 - x5954 <= -72.372559 e61206: 11.763862 b1155 + x5954 - x5955 <= 42 e61207: 11.763862 b1156 + x5955 - x5956 <= 42 e61208: 11.763862 b1157 + x5956 - x5957 <= 42 e61209: 11.763862 b1158 + x5957 - x5958 <= 42 e61210: 11.763862 b1159 + x5958 - x5959 <= 42 e61211: 11.763862 b1160 + x5959 - x5960 <= 42 e61212: 11.763862 b1161 + x5960 - x5961 <= 42 e61213: 11.763862 b1162 + x5961 - x5962 <= 42 e61214: 11.763862 b1163 + x5962 - x5963 <= 42 e61215: 11.763862 b1164 + x5963 - x5964 <= 42 e61216: 11.763862 b1165 + x5964 - x5965 <= 42 e61217: 11.763862 b1166 + x5965 - x5966 <= 42 e61218: 11.763862 b1167 + x5966 - x5967 <= 42 e61219: 11.763862 b1168 + x5967 - x5968 <= 42 e61220: 11.763862 b1169 + x5968 - x5969 <= 42 e61221: 11.763862 b1170 + x5969 - x5970 <= 42 e61222: 11.763862 b1171 + x5970 - x5971 <= 42 e61223: 11.763862 b1172 + x5971 - x5972 <= 42 e61224: 11.763862 b1173 + x5972 - x5973 <= 42 e61225: 11.763862 b1174 + x5973 - x5974 <= 42 e61226: 11.763862 b1175 + x5974 - x5975 <= 42 e61227: 11.763862 b1176 + x5975 - x5976 <= 42 e61228: 11.763862 b1177 + x5976 - x5977 <= 42 e61229: 13.645091 b1178 - x5978 <= 40 e61230: 13.645091 b1179 + x5978 - x5979 <= 40 e61231: 13.645091 b1180 + x5979 - x5980 <= 40 e61232: 13.645091 b1181 + x5980 - x5981 <= 40 e61233: 13.645091 b1182 + x5981 - x5982 <= 40 e61234: 13.645091 b1183 + x5982 - x5983 <= 40 e61235: 13.645091 b1184 + x5983 - x5984 <= 40 e61236: 13.645091 b1185 + x5984 - x5985 <= 40 e61237: 13.645091 b1186 + x5985 - x5986 <= 40 e61238: 13.645091 b1187 + x5986 - x5987 <= 40 e61239: 13.645091 b1188 + x5987 - x5988 <= 40 e61240: 13.645091 b1189 + x5988 - x5989 <= 40 e61241: 13.645091 b1190 + x5989 - x5990 <= 40 e61242: 13.645091 b1191 + x5990 - x5991 <= 40 e61243: 13.645091 b1192 + x5991 - x5992 <= 40 e61244: 13.645091 b1193 + x5992 - x5993 <= 40 e61245: 13.645091 b1194 + x5993 - x5994 <= 40 e61246: 13.645091 b1195 + x5994 - x5995 <= 40 e61247: 13.645091 b1196 + x5995 - x5996 <= 40 e61248: 13.645091 b1197 + x5996 - x5997 <= 40 e61249: 13.645091 b1198 + x5997 - x5998 <= 40 e61250: 13.645091 b1199 + x5998 - x5999 <= 40 e61251: 13.645091 b1200 + x5999 - x6000 <= 40 e61252: 13.645091 b1201 + x6000 - x6001 <= 40 e61253: 6.383239 b1202 - x6002 <= 40 e61254: 6.383239 b1203 + x6002 - x6003 <= 40 e61255: 6.383239 b1204 + x6003 - x6004 <= 40 e61256: 6.383239 b1205 + x6004 - x6005 <= 40 e61257: 6.383239 b1206 + x6005 - x6006 <= 40 e61258: 6.383239 b1207 + x6006 - x6007 <= 40 e61259: 6.383239 b1208 + x6007 - x6008 <= 40 e61260: 6.383239 b1209 + x6008 - x6009 <= 40 e61261: 6.383239 b1210 + x6009 - x6010 <= 40 e61262: 6.383239 b1211 + x6010 - x6011 <= 40 e61263: 6.383239 b1212 + x6011 - x6012 <= 40 e61264: 6.383239 b1213 + x6012 - x6013 <= 40 e61265: 6.383239 b1214 + x6013 - x6014 <= 40 e61266: 6.383239 b1215 + x6014 - x6015 <= 40 e61267: 6.383239 b1216 + x6015 - x6016 <= 40 e61268: 6.383239 b1217 + x6016 - x6017 <= 40 e61269: 6.383239 b1218 + x6017 - x6018 <= 40 e61270: 6.383239 b1219 + x6018 - x6019 <= 40 e61271: 6.383239 b1220 + x6019 - x6020 <= 40 e61272: 6.383239 b1221 + x6020 - x6021 <= 40 e61273: 6.383239 b1222 + x6021 - x6022 <= 40 e61274: 6.383239 b1223 + x6022 - x6023 <= 40 e61275: 6.383239 b1224 + x6023 - x6024 <= 40 e61276: 6.383239 b1225 + x6024 - x6025 <= 40 e61277: 16.603656 b1226 - x6026 <= 41 e61278: 16.603656 b1227 + x6026 - x6027 <= 41 e61279: 16.603656 b1228 + x6027 - x6028 <= 41 e61280: 16.603656 b1229 + x6028 - x6029 <= 41 e61281: 16.603656 b1230 + x6029 - x6030 <= 41 e61282: 16.603656 b1231 + x6030 - x6031 <= 41 e61283: 16.603656 b1232 + x6031 - x6032 <= 41 e61284: 16.603656 b1233 + x6032 - x6033 <= 41 e61285: 16.603656 b1234 + x6033 - x6034 <= 41 e61286: 16.603656 b1235 + x6034 - x6035 <= 41 e61287: 16.603656 b1236 + x6035 - x6036 <= 41 e61288: 16.603656 b1237 + x6036 - x6037 <= 41 e61289: 16.603656 b1238 + x6037 - x6038 <= 41 e61290: 16.603656 b1239 + x6038 - x6039 <= 41 e61291: 16.603656 b1240 + x6039 - x6040 <= 41 e61292: 16.603656 b1241 + x6040 - x6041 <= 41 e61293: 16.603656 b1242 + x6041 - x6042 <= 41 e61294: 16.603656 b1243 + x6042 - x6043 <= 41 e61295: 16.603656 b1244 + x6043 - x6044 <= 41 e61296: 16.603656 b1245 + x6044 - x6045 <= 41 e61297: 16.603656 b1246 + x6045 - x6046 <= 41 e61298: 16.603656 b1247 + x6046 - x6047 <= 41 e61299: 16.603656 b1248 + x6047 - x6048 <= 41 e61300: 16.603656 b1249 + x6048 - x6049 <= 41 e61301: - 2.594242 b1250 - x6050 <= 35 e61302: - 2.594242 b1251 + x6050 - x6051 <= 35 e61303: - 2.594242 b1252 + x6051 - x6052 <= 35 e61304: - 2.594242 b1253 + x6052 - x6053 <= 35 e61305: - 2.594242 b1254 + x6053 - x6054 <= 35 e61306: - 2.594242 b1255 + x6054 - x6055 <= 35 e61307: - 2.594242 b1256 + x6055 - x6056 <= 35 e61308: - 2.594242 b1257 + x6056 - x6057 <= 35 e61309: - 2.594242 b1258 + x6057 - x6058 <= 35 e61310: - 2.594242 b1259 + x6058 - x6059 <= 35 e61311: - 2.594242 b1260 + x6059 - x6060 <= 35 e61312: - 2.594242 b1261 + x6060 - x6061 <= 35 e61313: - 2.594242 b1262 + x6061 - x6062 <= 35 e61314: - 2.594242 b1263 + x6062 - x6063 <= 35 e61315: - 2.594242 b1264 + x6063 - x6064 <= 35 e61316: - 2.594242 b1265 + x6064 - x6065 <= 35 e61317: - 2.594242 b1266 + x6065 - x6066 <= 35 e61318: - 2.594242 b1267 + x6066 - x6067 <= 35 e61319: - 2.594242 b1268 + x6067 - x6068 <= 35 e61320: - 2.594242 b1269 + x6068 - x6069 <= 35 e61321: - 2.594242 b1270 + x6069 - x6070 <= 35 e61322: - 2.594242 b1271 + x6070 - x6071 <= 35 e61323: - 2.594242 b1272 + x6071 - x6072 <= 35 e61324: - 2.594242 b1273 + x6072 - x6073 <= 35 e61325: - 11.187178 b1274 - x6074 <= -50.433299 e61326: - 11.187178 b1275 + x6074 - x6075 <= 31 e61327: - 11.187178 b1276 + x6075 - x6076 <= 31 e61328: - 11.187178 b1277 + x6076 - x6077 <= 31 e61329: - 11.187178 b1278 + x6077 - x6078 <= 31 e61330: - 11.187178 b1279 + x6078 - x6079 <= 31 e61331: - 11.187178 b1280 + x6079 - x6080 <= 31 e61332: - 11.187178 b1281 + x6080 - x6081 <= 31 e61333: - 11.187178 b1282 + x6081 - x6082 <= 31 e61334: - 11.187178 b1283 + x6082 - x6083 <= 31 e61335: - 11.187178 b1284 + x6083 - x6084 <= 31 e61336: - 11.187178 b1285 + x6084 - x6085 <= 31 e61337: - 11.187178 b1286 + x6085 - x6086 <= 31 e61338: - 11.187178 b1287 + x6086 - x6087 <= 31 e61339: - 11.187178 b1288 + x6087 - x6088 <= 31 e61340: - 11.187178 b1289 + x6088 - x6089 <= 31 e61341: - 11.187178 b1290 + x6089 - x6090 <= 31 e61342: - 11.187178 b1291 + x6090 - x6091 <= 31 e61343: - 11.187178 b1292 + x6091 - x6092 <= 31 e61344: - 11.187178 b1293 + x6092 - x6093 <= 31 e61345: - 11.187178 b1294 + x6093 - x6094 <= 31 e61346: - 11.187178 b1295 + x6094 - x6095 <= 31 e61347: - 11.187178 b1296 + x6095 - x6096 <= 31 e61348: - 11.187178 b1297 + x6096 - x6097 <= 31 e61349: 23.119011 b1298 - x6098 <= -19.512497 e61350: 23.119011 b1299 + x6098 - x6099 <= 50 e61351: 23.119011 b1300 + x6099 - x6100 <= 50 e61352: 23.119011 b1301 + x6100 - x6101 <= 50 e61353: 23.119011 b1302 + x6101 - x6102 <= 50 e61354: 23.119011 b1303 + x6102 - x6103 <= 50 e61355: 23.119011 b1304 + x6103 - x6104 <= 50 e61356: 23.119011 b1305 + x6104 - x6105 <= 50 e61357: 23.119011 b1306 + x6105 - x6106 <= 50 e61358: 23.119011 b1307 + x6106 - x6107 <= 50 e61359: 23.119011 b1308 + x6107 - x6108 <= 50 e61360: 23.119011 b1309 + x6108 - x6109 <= 50 e61361: 23.119011 b1310 + x6109 - x6110 <= 50 e61362: 23.119011 b1311 + x6110 - x6111 <= 50 e61363: 23.119011 b1312 + x6111 - x6112 <= 50 e61364: 23.119011 b1313 + x6112 - x6113 <= 50 e61365: 23.119011 b1314 + x6113 - x6114 <= 50 e61366: 23.119011 b1315 + x6114 - x6115 <= 50 e61367: 23.119011 b1316 + x6115 - x6116 <= 50 e61368: 23.119011 b1317 + x6116 - x6117 <= 50 e61369: 23.119011 b1318 + x6117 - x6118 <= 50 e61370: 23.119011 b1319 + x6118 - x6119 <= 50 e61371: 23.119011 b1320 + x6119 - x6120 <= 50 e61372: 23.119011 b1321 + x6120 - x6121 <= 50 e61373: - 10.154822 b1322 - x6122 <= -15.373517 e61374: - 10.154822 b1323 + x6122 - x6123 <= 31 e61375: - 10.154822 b1324 + x6123 - x6124 <= 31 e61376: - 10.154822 b1325 + x6124 - x6125 <= 31 e61377: - 10.154822 b1326 + x6125 - x6126 <= 31 e61378: - 10.154822 b1327 + x6126 - x6127 <= 31 e61379: - 10.154822 b1328 + x6127 - x6128 <= 31 e61380: - 10.154822 b1329 + x6128 - x6129 <= 31 e61381: - 10.154822 b1330 + x6129 - x6130 <= 31 e61382: - 10.154822 b1331 + x6130 - x6131 <= 31 e61383: - 10.154822 b1332 + x6131 - x6132 <= 31 e61384: - 10.154822 b1333 + x6132 - x6133 <= 31 e61385: - 10.154822 b1334 + x6133 - x6134 <= 31 e61386: - 10.154822 b1335 + x6134 - x6135 <= 31 e61387: - 10.154822 b1336 + x6135 - x6136 <= 31 e61388: - 10.154822 b1337 + x6136 - x6137 <= 31 e61389: - 10.154822 b1338 + x6137 - x6138 <= 31 e61390: - 10.154822 b1339 + x6138 - x6139 <= 31 e61391: - 10.154822 b1340 + x6139 - x6140 <= 31 e61392: - 10.154822 b1341 + x6140 - x6141 <= 31 e61393: - 10.154822 b1342 + x6141 - x6142 <= 31 e61394: - 10.154822 b1343 + x6142 - x6143 <= 31 e61395: - 10.154822 b1344 + x6143 - x6144 <= 31 e61396: - 10.154822 b1345 + x6144 - x6145 <= 31 e61397: 11.126295 b1346 - x6146 <= 43 e61398: 11.126295 b1347 + x6146 - x6147 <= 43 e61399: 11.126295 b1348 + x6147 - x6148 <= 43 e61400: 11.126295 b1349 + x6148 - x6149 <= 43 e61401: 11.126295 b1350 + x6149 - x6150 <= 43 e61402: 11.126295 b1351 + x6150 - x6151 <= 43 e61403: 11.126295 b1352 + x6151 - x6152 <= 43 e61404: 11.126295 b1353 + x6152 - x6153 <= 43 e61405: 11.126295 b1354 + x6153 - x6154 <= 43 e61406: 11.126295 b1355 + x6154 - x6155 <= 43 e61407: 11.126295 b1356 + x6155 - x6156 <= 43 e61408: 11.126295 b1357 + x6156 - x6157 <= 43 e61409: 11.126295 b1358 + x6157 - x6158 <= 43 e61410: 11.126295 b1359 + x6158 - x6159 <= 43 e61411: 11.126295 b1360 + x6159 - x6160 <= 43 e61412: 11.126295 b1361 + x6160 - x6161 <= 43 e61413: 11.126295 b1362 + x6161 - x6162 <= 43 e61414: 11.126295 b1363 + x6162 - x6163 <= 43 e61415: 11.126295 b1364 + x6163 - x6164 <= 43 e61416: 11.126295 b1365 + x6164 - x6165 <= 43 e61417: 11.126295 b1366 + x6165 - x6166 <= 43 e61418: 11.126295 b1367 + x6166 - x6167 <= 43 e61419: 11.126295 b1368 + x6167 - x6168 <= 43 e61420: 11.126295 b1369 + x6168 - x6169 <= 43 e61421: 12.840227 b1370 - x6170 <= -30.353961 e61422: 12.840227 b1371 + x6170 - x6171 <= 45 e61423: 12.840227 b1372 + x6171 - x6172 <= 45 e61424: 12.840227 b1373 + x6172 - x6173 <= 45 e61425: 12.840227 b1374 + x6173 - x6174 <= 45 e61426: 12.840227 b1375 + x6174 - x6175 <= 45 e61427: 12.840227 b1376 + x6175 - x6176 <= 45 e61428: 12.840227 b1377 + x6176 - x6177 <= 45 e61429: 12.840227 b1378 + x6177 - x6178 <= 45 e61430: 12.840227 b1379 + x6178 - x6179 <= 45 e61431: 12.840227 b1380 + x6179 - x6180 <= 45 e61432: 12.840227 b1381 + x6180 - x6181 <= 45 e61433: 12.840227 b1382 + x6181 - x6182 <= 45 e61434: 12.840227 b1383 + x6182 - x6183 <= 45 e61435: 12.840227 b1384 + x6183 - x6184 <= 45 e61436: 12.840227 b1385 + x6184 - x6185 <= 45 e61437: 12.840227 b1386 + x6185 - x6186 <= 45 e61438: 12.840227 b1387 + x6186 - x6187 <= 45 e61439: 12.840227 b1388 + x6187 - x6188 <= 45 e61440: 12.840227 b1389 + x6188 - x6189 <= 45 e61441: 12.840227 b1390 + x6189 - x6190 <= 45 e61442: 12.840227 b1391 + x6190 - x6191 <= 45 e61443: 12.840227 b1392 + x6191 - x6192 <= 45 e61444: 12.840227 b1393 + x6192 - x6193 <= 45 e61445: 2.824754 b1394 - x6194 <= -16.381289 e61446: 2.824754 b1395 + x6194 - x6195 <= 33 e61447: 2.824754 b1396 + x6195 - x6196 <= 33 e61448: 2.824754 b1397 + x6196 - x6197 <= 33 e61449: 2.824754 b1398 + x6197 - x6198 <= 33 e61450: 2.824754 b1399 + x6198 - x6199 <= 33 e61451: 2.824754 b1400 + x6199 - x6200 <= 33 e61452: 2.824754 b1401 + x6200 - x6201 <= 33 e61453: 2.824754 b1402 + x6201 - x6202 <= 33 e61454: 2.824754 b1403 + x6202 - x6203 <= 33 e61455: 2.824754 b1404 + x6203 - x6204 <= 33 e61456: 2.824754 b1405 + x6204 - x6205 <= 33 e61457: 2.824754 b1406 + x6205 - x6206 <= 33 e61458: 2.824754 b1407 + x6206 - x6207 <= 33 e61459: 2.824754 b1408 + x6207 - x6208 <= 33 e61460: 2.824754 b1409 + x6208 - x6209 <= 33 e61461: 2.824754 b1410 + x6209 - x6210 <= 33 e61462: 2.824754 b1411 + x6210 - x6211 <= 33 e61463: 2.824754 b1412 + x6211 - x6212 <= 33 e61464: 2.824754 b1413 + x6212 - x6213 <= 33 e61465: 2.824754 b1414 + x6213 - x6214 <= 33 e61466: 2.824754 b1415 + x6214 - x6215 <= 33 e61467: 2.824754 b1416 + x6215 - x6216 <= 33 e61468: 2.824754 b1417 + x6216 - x6217 <= 33 e61469: 7.417261 b1418 - x6218 <= 38 e61470: 7.417261 b1419 + x6218 - x6219 <= 38 e61471: 7.417261 b1420 + x6219 - x6220 <= 38 e61472: 7.417261 b1421 + x6220 - x6221 <= 38 e61473: 7.417261 b1422 + x6221 - x6222 <= 38 e61474: 7.417261 b1423 + x6222 - x6223 <= 38 e61475: 7.417261 b1424 + x6223 - x6224 <= 38 e61476: 7.417261 b1425 + x6224 - x6225 <= 38 e61477: 7.417261 b1426 + x6225 - x6226 <= 38 e61478: 7.417261 b1427 + x6226 - x6227 <= 38 e61479: 7.417261 b1428 + x6227 - x6228 <= 38 e61480: 7.417261 b1429 + x6228 - x6229 <= 38 e61481: 7.417261 b1430 + x6229 - x6230 <= 38 e61482: 7.417261 b1431 + x6230 - x6231 <= 38 e61483: 7.417261 b1432 + x6231 - x6232 <= 38 e61484: 7.417261 b1433 + x6232 - x6233 <= 38 e61485: 7.417261 b1434 + x6233 - x6234 <= 38 e61486: 7.417261 b1435 + x6234 - x6235 <= 38 e61487: 7.417261 b1436 + x6235 - x6236 <= 38 e61488: 7.417261 b1437 + x6236 - x6237 <= 38 e61489: 7.417261 b1438 + x6237 - x6238 <= 38 e61490: 7.417261 b1439 + x6238 - x6239 <= 38 e61491: 7.417261 b1440 + x6239 - x6240 <= 38 e61492: 7.417261 b1441 + x6240 - x6241 <= 38 e61493: 15.713933 b1442 - x6242 <= 42 e61494: 15.713933 b1443 + x6242 - x6243 <= 42 e61495: 15.713933 b1444 + x6243 - x6244 <= 42 e61496: 15.713933 b1445 + x6244 - x6245 <= 42 e61497: 15.713933 b1446 + x6245 - x6246 <= 42 e61498: 15.713933 b1447 + x6246 - x6247 <= 42 e61499: 15.713933 b1448 + x6247 - x6248 <= 42 e61500: 15.713933 b1449 + x6248 - x6249 <= 42 e61501: 15.713933 b1450 + x6249 - x6250 <= 42 e61502: 15.713933 b1451 + x6250 - x6251 <= 42 e61503: 15.713933 b1452 + x6251 - x6252 <= 42 e61504: 15.713933 b1453 + x6252 - x6253 <= 42 e61505: 15.713933 b1454 + x6253 - x6254 <= 42 e61506: 15.713933 b1455 + x6254 - x6255 <= 42 e61507: 15.713933 b1456 + x6255 - x6256 <= 42 e61508: 15.713933 b1457 + x6256 - x6257 <= 42 e61509: 15.713933 b1458 + x6257 - x6258 <= 42 e61510: 15.713933 b1459 + x6258 - x6259 <= 42 e61511: 15.713933 b1460 + x6259 - x6260 <= 42 e61512: 15.713933 b1461 + x6260 - x6261 <= 42 e61513: 15.713933 b1462 + x6261 - x6262 <= 42 e61514: 15.713933 b1463 + x6262 - x6263 <= 42 e61515: 15.713933 b1464 + x6263 - x6264 <= 42 e61516: 15.713933 b1465 + x6264 - x6265 <= 42 e61517: 10.147382 b1466 - x6266 <= 40 e61518: 10.147382 b1467 + x6266 - x6267 <= 40 e61519: 10.147382 b1468 + x6267 - x6268 <= 40 e61520: 10.147382 b1469 + x6268 - x6269 <= 40 e61521: 10.147382 b1470 + x6269 - x6270 <= 40 e61522: 10.147382 b1471 + x6270 - x6271 <= 40 e61523: 10.147382 b1472 + x6271 - x6272 <= 40 e61524: 10.147382 b1473 + x6272 - x6273 <= 40 e61525: 10.147382 b1474 + x6273 - x6274 <= 40 e61526: 10.147382 b1475 + x6274 - x6275 <= 40 e61527: 10.147382 b1476 + x6275 - x6276 <= 40 e61528: 10.147382 b1477 + x6276 - x6277 <= 40 e61529: 10.147382 b1478 + x6277 - x6278 <= 40 e61530: 10.147382 b1479 + x6278 - x6279 <= 40 e61531: 10.147382 b1480 + x6279 - x6280 <= 40 e61532: 10.147382 b1481 + x6280 - x6281 <= 40 e61533: 10.147382 b1482 + x6281 - x6282 <= 40 e61534: 10.147382 b1483 + x6282 - x6283 <= 40 e61535: 10.147382 b1484 + x6283 - x6284 <= 40 e61536: 10.147382 b1485 + x6284 - x6285 <= 40 e61537: 10.147382 b1486 + x6285 - x6286 <= 40 e61538: 10.147382 b1487 + x6286 - x6287 <= 40 e61539: 10.147382 b1488 + x6287 - x6288 <= 40 e61540: 10.147382 b1489 + x6288 - x6289 <= 40 e61541: - 4.045956 b1490 - x6290 <= 33 e61542: - 4.045956 b1491 + x6290 - x6291 <= 33 e61543: - 4.045956 b1492 + x6291 - x6292 <= 33 e61544: - 4.045956 b1493 + x6292 - x6293 <= 33 e61545: - 4.045956 b1494 + x6293 - x6294 <= 33 e61546: - 4.045956 b1495 + x6294 - x6295 <= 33 e61547: - 4.045956 b1496 + x6295 - x6296 <= 33 e61548: - 4.045956 b1497 + x6296 - x6297 <= 33 e61549: - 4.045956 b1498 + x6297 - x6298 <= 33 e61550: - 4.045956 b1499 + x6298 - x6299 <= 33 e61551: - 4.045956 b1500 + x6299 - x6300 <= 33 e61552: - 4.045956 b1501 + x6300 - x6301 <= 33 e61553: - 4.045956 b1502 + x6301 - x6302 <= 33 e61554: - 4.045956 b1503 + x6302 - x6303 <= 33 e61555: - 4.045956 b1504 + x6303 - x6304 <= 33 e61556: - 4.045956 b1505 + x6304 - x6305 <= 33 e61557: - 4.045956 b1506 + x6305 - x6306 <= 33 e61558: - 4.045956 b1507 + x6306 - x6307 <= 33 e61559: - 4.045956 b1508 + x6307 - x6308 <= 33 e61560: - 4.045956 b1509 + x6308 - x6309 <= 33 e61561: - 4.045956 b1510 + x6309 - x6310 <= 33 e61562: - 4.045956 b1511 + x6310 - x6311 <= 33 e61563: - 4.045956 b1512 + x6311 - x6312 <= 33 e61564: - 4.045956 b1513 + x6312 - x6313 <= 33 e61565: 19.657927 b1514 - x6314 <= -34.106848 e61566: 19.657927 b1515 + x6314 - x6315 <= 48 e61567: 19.657927 b1516 + x6315 - x6316 <= 48 e61568: 19.657927 b1517 + x6316 - x6317 <= 48 e61569: 19.657927 b1518 + x6317 - x6318 <= 48 e61570: 19.657927 b1519 + x6318 - x6319 <= 48 e61571: 19.657927 b1520 + x6319 - x6320 <= 48 e61572: 19.657927 b1521 + x6320 - x6321 <= 48 e61573: 19.657927 b1522 + x6321 - x6322 <= 48 e61574: 19.657927 b1523 + x6322 - x6323 <= 48 e61575: 19.657927 b1524 + x6323 - x6324 <= 48 e61576: 19.657927 b1525 + x6324 - x6325 <= 48 e61577: 19.657927 b1526 + x6325 - x6326 <= 48 e61578: 19.657927 b1527 + x6326 - x6327 <= 48 e61579: 19.657927 b1528 + x6327 - x6328 <= 48 e61580: 19.657927 b1529 + x6328 - x6329 <= 48 e61581: 19.657927 b1530 + x6329 - x6330 <= 48 e61582: 19.657927 b1531 + x6330 - x6331 <= 48 e61583: 19.657927 b1532 + x6331 - x6332 <= 48 e61584: 19.657927 b1533 + x6332 - x6333 <= 48 e61585: 19.657927 b1534 + x6333 - x6334 <= 48 e61586: 19.657927 b1535 + x6334 - x6335 <= 48 e61587: 19.657927 b1536 + x6335 - x6336 <= 48 e61588: 19.657927 b1537 + x6336 - x6337 <= 48 e61589: 8.533123 b1538 - x6338 <= -53.716234 e61590: 8.533123 b1539 + x6338 - x6339 <= 40 e61591: 8.533123 b1540 + x6339 - x6340 <= 40 e61592: 8.533123 b1541 + x6340 - x6341 <= 40 e61593: 8.533123 b1542 + x6341 - x6342 <= 40 e61594: 8.533123 b1543 + x6342 - x6343 <= 40 e61595: 8.533123 b1544 + x6343 - x6344 <= 40 e61596: 8.533123 b1545 + x6344 - x6345 <= 40 e61597: 8.533123 b1546 + x6345 - x6346 <= 40 e61598: 8.533123 b1547 + x6346 - x6347 <= 40 e61599: 8.533123 b1548 + x6347 - x6348 <= 40 e61600: 8.533123 b1549 + x6348 - x6349 <= 40 e61601: 8.533123 b1550 + x6349 - x6350 <= 40 e61602: 8.533123 b1551 + x6350 - x6351 <= 40 e61603: 8.533123 b1552 + x6351 - x6352 <= 40 e61604: 8.533123 b1553 + x6352 - x6353 <= 40 e61605: 8.533123 b1554 + x6353 - x6354 <= 40 e61606: 8.533123 b1555 + x6354 - x6355 <= 40 e61607: 8.533123 b1556 + x6355 - x6356 <= 40 e61608: 8.533123 b1557 + x6356 - x6357 <= 40 e61609: 8.533123 b1558 + x6357 - x6358 <= 40 e61610: 8.533123 b1559 + x6358 - x6359 <= 40 e61611: 8.533123 b1560 + x6359 - x6360 <= 40 e61612: 8.533123 b1561 + x6360 - x6361 <= 40 e61613: 0.283395 b1562 - x6362 <= -70.236049 e61614: 0.283395 b1563 + x6362 - x6363 <= 33 e61615: 0.283395 b1564 + x6363 - x6364 <= 33 e61616: 0.283395 b1565 + x6364 - x6365 <= 33 e61617: 0.283395 b1566 + x6365 - x6366 <= 33 e61618: 0.283395 b1567 + x6366 - x6367 <= 33 e61619: 0.283395 b1568 + x6367 - x6368 <= 33 e61620: 0.283395 b1569 + x6368 - x6369 <= 33 e61621: 0.283395 b1570 + x6369 - x6370 <= 33 e61622: 0.283395 b1571 + x6370 - x6371 <= 33 e61623: 0.283395 b1572 + x6371 - x6372 <= 33 e61624: 0.283395 b1573 + x6372 - x6373 <= 33 e61625: 0.283395 b1574 + x6373 - x6374 <= 33 e61626: 0.283395 b1575 + x6374 - x6375 <= 33 e61627: 0.283395 b1576 + x6375 - x6376 <= 33 e61628: 0.283395 b1577 + x6376 - x6377 <= 33 e61629: 0.283395 b1578 + x6377 - x6378 <= 33 e61630: 0.283395 b1579 + x6378 - x6379 <= 33 e61631: 0.283395 b1580 + x6379 - x6380 <= 33 e61632: 0.283395 b1581 + x6380 - x6381 <= 33 e61633: 0.283395 b1582 + x6381 - x6382 <= 33 e61634: 0.283395 b1583 + x6382 - x6383 <= 33 e61635: 0.283395 b1584 + x6383 - x6384 <= 33 e61636: 0.283395 b1585 + x6384 - x6385 <= 33 e61637: 11.785284 b1586 - x6386 <= -24.954521 e61638: 11.785284 b1587 + x6386 - x6387 <= 42 e61639: 11.785284 b1588 + x6387 - x6388 <= 42 e61640: 11.785284 b1589 + x6388 - x6389 <= 42 e61641: 11.785284 b1590 + x6389 - x6390 <= 42 e61642: 11.785284 b1591 + x6390 - x6391 <= 42 e61643: 11.785284 b1592 + x6391 - x6392 <= 42 e61644: 11.785284 b1593 + x6392 - x6393 <= 42 e61645: 11.785284 b1594 + x6393 - x6394 <= 42 e61646: 11.785284 b1595 + x6394 - x6395 <= 42 e61647: 11.785284 b1596 + x6395 - x6396 <= 42 e61648: 11.785284 b1597 + x6396 - x6397 <= 42 e61649: 11.785284 b1598 + x6397 - x6398 <= 42 e61650: 11.785284 b1599 + x6398 - x6399 <= 42 e61651: 11.785284 b1600 + x6399 - x6400 <= 42 e61652: 11.785284 b1601 + x6400 - x6401 <= 42 e61653: 11.785284 b1602 + x6401 - x6402 <= 42 e61654: 11.785284 b1603 + x6402 - x6403 <= 42 e61655: 11.785284 b1604 + x6403 - x6404 <= 42 e61656: 11.785284 b1605 + x6404 - x6405 <= 42 e61657: 11.785284 b1606 + x6405 - x6406 <= 42 e61658: 11.785284 b1607 + x6406 - x6407 <= 42 e61659: 11.785284 b1608 + x6407 - x6408 <= 42 e61660: 11.785284 b1609 + x6408 - x6409 <= 42 e61661: - 5.064958 b1610 - x6410 <= -24.304304 e61662: - 5.064958 b1611 + x6410 - x6411 <= 32 e61663: - 5.064958 b1612 + x6411 - x6412 <= 32 e61664: - 5.064958 b1613 + x6412 - x6413 <= 32 e61665: - 5.064958 b1614 + x6413 - x6414 <= 32 e61666: - 5.064958 b1615 + x6414 - x6415 <= 32 e61667: - 5.064958 b1616 + x6415 - x6416 <= 32 e61668: - 5.064958 b1617 + x6416 - x6417 <= 32 e61669: - 5.064958 b1618 + x6417 - x6418 <= 32 e61670: - 5.064958 b1619 + x6418 - x6419 <= 32 e61671: - 5.064958 b1620 + x6419 - x6420 <= 32 e61672: - 5.064958 b1621 + x6420 - x6421 <= 32 e61673: - 5.064958 b1622 + x6421 - x6422 <= 32 e61674: - 5.064958 b1623 + x6422 - x6423 <= 32 e61675: - 5.064958 b1624 + x6423 - x6424 <= 32 e61676: - 5.064958 b1625 + x6424 - x6425 <= 32 e61677: - 5.064958 b1626 + x6425 - x6426 <= 32 e61678: - 5.064958 b1627 + x6426 - x6427 <= 32 e61679: - 5.064958 b1628 + x6427 - x6428 <= 32 e61680: - 5.064958 b1629 + x6428 - x6429 <= 32 e61681: - 5.064958 b1630 + x6429 - x6430 <= 32 e61682: - 5.064958 b1631 + x6430 - x6431 <= 32 e61683: - 5.064958 b1632 + x6431 - x6432 <= 32 e61684: - 5.064958 b1633 + x6432 - x6433 <= 32 e61685: 7.124605 b1634 - x6434 <= -47.976022 e61686: 7.124605 b1635 + x6434 - x6435 <= 37 e61687: 7.124605 b1636 + x6435 - x6436 <= 37 e61688: 7.124605 b1637 + x6436 - x6437 <= 37 e61689: 7.124605 b1638 + x6437 - x6438 <= 37 e61690: 7.124605 b1639 + x6438 - x6439 <= 37 e61691: 7.124605 b1640 + x6439 - x6440 <= 37 e61692: 7.124605 b1641 + x6440 - x6441 <= 37 e61693: 7.124605 b1642 + x6441 - x6442 <= 37 e61694: 7.124605 b1643 + x6442 - x6443 <= 37 e61695: 7.124605 b1644 + x6443 - x6444 <= 37 e61696: 7.124605 b1645 + x6444 - x6445 <= 37 e61697: 7.124605 b1646 + x6445 - x6446 <= 37 e61698: 7.124605 b1647 + x6446 - x6447 <= 37 e61699: 7.124605 b1648 + x6447 - x6448 <= 37 e61700: 7.124605 b1649 + x6448 - x6449 <= 37 e61701: 7.124605 b1650 + x6449 - x6450 <= 37 e61702: 7.124605 b1651 + x6450 - x6451 <= 37 e61703: 7.124605 b1652 + x6451 - x6452 <= 37 e61704: 7.124605 b1653 + x6452 - x6453 <= 37 e61705: 7.124605 b1654 + x6453 - x6454 <= 37 e61706: 7.124605 b1655 + x6454 - x6455 <= 37 e61707: 7.124605 b1656 + x6455 - x6456 <= 37 e61708: 7.124605 b1657 + x6456 - x6457 <= 37 e61709: 4.373813 b1658 - x6458 <= -24.716124 e61710: 4.373813 b1659 + x6458 - x6459 <= 38 e61711: 4.373813 b1660 + x6459 - x6460 <= 38 e61712: 4.373813 b1661 + x6460 - x6461 <= 38 e61713: 4.373813 b1662 + x6461 - x6462 <= 38 e61714: 4.373813 b1663 + x6462 - x6463 <= 38 e61715: 4.373813 b1664 + x6463 - x6464 <= 38 e61716: 4.373813 b1665 + x6464 - x6465 <= 38 e61717: 4.373813 b1666 + x6465 - x6466 <= 38 e61718: 4.373813 b1667 + x6466 - x6467 <= 38 e61719: 4.373813 b1668 + x6467 - x6468 <= 38 e61720: 4.373813 b1669 + x6468 - x6469 <= 38 e61721: 4.373813 b1670 + x6469 - x6470 <= 38 e61722: 4.373813 b1671 + x6470 - x6471 <= 38 e61723: 4.373813 b1672 + x6471 - x6472 <= 38 e61724: 4.373813 b1673 + x6472 - x6473 <= 38 e61725: 4.373813 b1674 + x6473 - x6474 <= 38 e61726: 4.373813 b1675 + x6474 - x6475 <= 38 e61727: 4.373813 b1676 + x6475 - x6476 <= 38 e61728: 4.373813 b1677 + x6476 - x6477 <= 38 e61729: 4.373813 b1678 + x6477 - x6478 <= 38 e61730: 4.373813 b1679 + x6478 - x6479 <= 38 e61731: 4.373813 b1680 + x6479 - x6480 <= 38 e61732: 4.373813 b1681 + x6480 - x6481 <= 38 e61733: 23.274676 b1682 - x6482 <= 49 e61734: 23.274676 b1683 + x6482 - x6483 <= 49 e61735: 23.274676 b1684 + x6483 - x6484 <= 49 e61736: 23.274676 b1685 + x6484 - x6485 <= 49 e61737: 23.274676 b1686 + x6485 - x6486 <= 49 e61738: 23.274676 b1687 + x6486 - x6487 <= 49 e61739: 23.274676 b1688 + x6487 - x6488 <= 49 e61740: 23.274676 b1689 + x6488 - x6489 <= 49 e61741: 23.274676 b1690 + x6489 - x6490 <= 49 e61742: 23.274676 b1691 + x6490 - x6491 <= 49 e61743: 23.274676 b1692 + x6491 - x6492 <= 49 e61744: 23.274676 b1693 + x6492 - x6493 <= 49 e61745: 23.274676 b1694 + x6493 - x6494 <= 49 e61746: 23.274676 b1695 + x6494 - x6495 <= 49 e61747: 23.274676 b1696 + x6495 - x6496 <= 49 e61748: 23.274676 b1697 + x6496 - x6497 <= 49 e61749: 23.274676 b1698 + x6497 - x6498 <= 49 e61750: 23.274676 b1699 + x6498 - x6499 <= 49 e61751: 23.274676 b1700 + x6499 - x6500 <= 49 e61752: 23.274676 b1701 + x6500 - x6501 <= 49 e61753: 23.274676 b1702 + x6501 - x6502 <= 49 e61754: 23.274676 b1703 + x6502 - x6503 <= 49 e61755: 23.274676 b1704 + x6503 - x6504 <= 49 e61756: 23.274676 b1705 + x6504 - x6505 <= 49 e61757: 14.572423 b1706 - x6506 <= -26.883301 e61758: 14.572423 b1707 + x6506 - x6507 <= 40 e61759: 14.572423 b1708 + x6507 - x6508 <= 40 e61760: 14.572423 b1709 + x6508 - x6509 <= 40 e61761: 14.572423 b1710 + x6509 - x6510 <= 40 e61762: 14.572423 b1711 + x6510 - x6511 <= 40 e61763: 14.572423 b1712 + x6511 - x6512 <= 40 e61764: 14.572423 b1713 + x6512 - x6513 <= 40 e61765: 14.572423 b1714 + x6513 - x6514 <= 40 e61766: 14.572423 b1715 + x6514 - x6515 <= 40 e61767: 14.572423 b1716 + x6515 - x6516 <= 40 e61768: 14.572423 b1717 + x6516 - x6517 <= 40 e61769: 14.572423 b1718 + x6517 - x6518 <= 40 e61770: 14.572423 b1719 + x6518 - x6519 <= 40 e61771: 14.572423 b1720 + x6519 - x6520 <= 40 e61772: 14.572423 b1721 + x6520 - x6521 <= 40 e61773: 14.572423 b1722 + x6521 - x6522 <= 40 e61774: 14.572423 b1723 + x6522 - x6523 <= 40 e61775: 14.572423 b1724 + x6523 - x6524 <= 40 e61776: 14.572423 b1725 + x6524 - x6525 <= 40 e61777: 14.572423 b1726 + x6525 - x6526 <= 40 e61778: 14.572423 b1727 + x6526 - x6527 <= 40 e61779: 14.572423 b1728 + x6527 - x6528 <= 40 e61780: 14.572423 b1729 + x6528 - x6529 <= 40 e61781: 7.526198 b1730 - x6530 <= 42 e61782: 7.526198 b1731 + x6530 - x6531 <= 42 e61783: 7.526198 b1732 + x6531 - x6532 <= 42 e61784: 7.526198 b1733 + x6532 - x6533 <= 42 e61785: 7.526198 b1734 + x6533 - x6534 <= 42 e61786: 7.526198 b1735 + x6534 - x6535 <= 42 e61787: 7.526198 b1736 + x6535 - x6536 <= 42 e61788: 7.526198 b1737 + x6536 - x6537 <= 42 e61789: 7.526198 b1738 + x6537 - x6538 <= 42 e61790: 7.526198 b1739 + x6538 - x6539 <= 42 e61791: 7.526198 b1740 + x6539 - x6540 <= 42 e61792: 7.526198 b1741 + x6540 - x6541 <= 42 e61793: 7.526198 b1742 + x6541 - x6542 <= 42 e61794: 7.526198 b1743 + x6542 - x6543 <= 42 e61795: 7.526198 b1744 + x6543 - x6544 <= 42 e61796: 7.526198 b1745 + x6544 - x6545 <= 42 e61797: 7.526198 b1746 + x6545 - x6546 <= 42 e61798: 7.526198 b1747 + x6546 - x6547 <= 42 e61799: 7.526198 b1748 + x6547 - x6548 <= 42 e61800: 7.526198 b1749 + x6548 - x6549 <= 42 e61801: 7.526198 b1750 + x6549 - x6550 <= 42 e61802: 7.526198 b1751 + x6550 - x6551 <= 42 e61803: 7.526198 b1752 + x6551 - x6552 <= 42 e61804: 7.526198 b1753 + x6552 - x6553 <= 42 e61805: 9.360244 b1754 - x6554 <= -27.626229 e61806: 9.360244 b1755 + x6554 - x6555 <= 38 e61807: 9.360244 b1756 + x6555 - x6556 <= 38 e61808: 9.360244 b1757 + x6556 - x6557 <= 38 e61809: 9.360244 b1758 + x6557 - x6558 <= 38 e61810: 9.360244 b1759 + x6558 - x6559 <= 38 e61811: 9.360244 b1760 + x6559 - x6560 <= 38 e61812: 9.360244 b1761 + x6560 - x6561 <= 38 e61813: 9.360244 b1762 + x6561 - x6562 <= 38 e61814: 9.360244 b1763 + x6562 - x6563 <= 38 e61815: 9.360244 b1764 + x6563 - x6564 <= 38 e61816: 9.360244 b1765 + x6564 - x6565 <= 38 e61817: 9.360244 b1766 + x6565 - x6566 <= 38 e61818: 9.360244 b1767 + x6566 - x6567 <= 38 e61819: 9.360244 b1768 + x6567 - x6568 <= 38 e61820: 9.360244 b1769 + x6568 - x6569 <= 38 e61821: 9.360244 b1770 + x6569 - x6570 <= 38 e61822: 9.360244 b1771 + x6570 - x6571 <= 38 e61823: 9.360244 b1772 + x6571 - x6572 <= 38 e61824: 9.360244 b1773 + x6572 - x6573 <= 38 e61825: 9.360244 b1774 + x6573 - x6574 <= 38 e61826: 9.360244 b1775 + x6574 - x6575 <= 38 e61827: 9.360244 b1776 + x6575 - x6576 <= 38 e61828: 9.360244 b1777 + x6576 - x6577 <= 38 e61829: 14.843434 b1778 - x6578 <= 44 e61830: 14.843434 b1779 + x6578 - x6579 <= 44 e61831: 14.843434 b1780 + x6579 - x6580 <= 44 e61832: 14.843434 b1781 + x6580 - x6581 <= 44 e61833: 14.843434 b1782 + x6581 - x6582 <= 44 e61834: 14.843434 b1783 + x6582 - x6583 <= 44 e61835: 14.843434 b1784 + x6583 - x6584 <= 44 e61836: 14.843434 b1785 + x6584 - x6585 <= 44 e61837: 14.843434 b1786 + x6585 - x6586 <= 44 e61838: 14.843434 b1787 + x6586 - x6587 <= 44 e61839: 14.843434 b1788 + x6587 - x6588 <= 44 e61840: 14.843434 b1789 + x6588 - x6589 <= 44 e61841: 14.843434 b1790 + x6589 - x6590 <= 44 e61842: 14.843434 b1791 + x6590 - x6591 <= 44 e61843: 14.843434 b1792 + x6591 - x6592 <= 44 e61844: 14.843434 b1793 + x6592 - x6593 <= 44 e61845: 14.843434 b1794 + x6593 - x6594 <= 44 e61846: 14.843434 b1795 + x6594 - x6595 <= 44 e61847: 14.843434 b1796 + x6595 - x6596 <= 44 e61848: 14.843434 b1797 + x6596 - x6597 <= 44 e61849: 14.843434 b1798 + x6597 - x6598 <= 44 e61850: 14.843434 b1799 + x6598 - x6599 <= 44 e61851: 14.843434 b1800 + x6599 - x6600 <= 44 e61852: 14.843434 b1801 + x6600 - x6601 <= 44 e61853: 11.799768 b1802 - x6602 <= 41 e61854: 11.799768 b1803 + x6602 - x6603 <= 41 e61855: 11.799768 b1804 + x6603 - x6604 <= 41 e61856: 11.799768 b1805 + x6604 - x6605 <= 41 e61857: 11.799768 b1806 + x6605 - x6606 <= 41 e61858: 11.799768 b1807 + x6606 - x6607 <= 41 e61859: 11.799768 b1808 + x6607 - x6608 <= 41 e61860: 11.799768 b1809 + x6608 - x6609 <= 41 e61861: 11.799768 b1810 + x6609 - x6610 <= 41 e61862: 11.799768 b1811 + x6610 - x6611 <= 41 e61863: 11.799768 b1812 + x6611 - x6612 <= 41 e61864: 11.799768 b1813 + x6612 - x6613 <= 41 e61865: 11.799768 b1814 + x6613 - x6614 <= 41 e61866: 11.799768 b1815 + x6614 - x6615 <= 41 e61867: 11.799768 b1816 + x6615 - x6616 <= 41 e61868: 11.799768 b1817 + x6616 - x6617 <= 41 e61869: 11.799768 b1818 + x6617 - x6618 <= 41 e61870: 11.799768 b1819 + x6618 - x6619 <= 41 e61871: 11.799768 b1820 + x6619 - x6620 <= 41 e61872: 11.799768 b1821 + x6620 - x6621 <= 41 e61873: 11.799768 b1822 + x6621 - x6622 <= 41 e61874: 11.799768 b1823 + x6622 - x6623 <= 41 e61875: 11.799768 b1824 + x6623 - x6624 <= 41 e61876: 11.799768 b1825 + x6624 - x6625 <= 41 e61877: 21.874859 b1826 - x6626 <= 44 e61878: 21.874859 b1827 + x6626 - x6627 <= 44 e61879: 21.874859 b1828 + x6627 - x6628 <= 44 e61880: 21.874859 b1829 + x6628 - x6629 <= 44 e61881: 21.874859 b1830 + x6629 - x6630 <= 44 e61882: 21.874859 b1831 + x6630 - x6631 <= 44 e61883: 21.874859 b1832 + x6631 - x6632 <= 44 e61884: 21.874859 b1833 + x6632 - x6633 <= 44 e61885: 21.874859 b1834 + x6633 - x6634 <= 44 e61886: 21.874859 b1835 + x6634 - x6635 <= 44 e61887: 21.874859 b1836 + x6635 - x6636 <= 44 e61888: 21.874859 b1837 + x6636 - x6637 <= 44 e61889: 21.874859 b1838 + x6637 - x6638 <= 44 e61890: 21.874859 b1839 + x6638 - x6639 <= 44 e61891: 21.874859 b1840 + x6639 - x6640 <= 44 e61892: 21.874859 b1841 + x6640 - x6641 <= 44 e61893: 21.874859 b1842 + x6641 - x6642 <= 44 e61894: 21.874859 b1843 + x6642 - x6643 <= 44 e61895: 21.874859 b1844 + x6643 - x6644 <= 44 e61896: 21.874859 b1845 + x6644 - x6645 <= 44 e61897: 21.874859 b1846 + x6645 - x6646 <= 44 e61898: 21.874859 b1847 + x6646 - x6647 <= 44 e61899: 21.874859 b1848 + x6647 - x6648 <= 44 e61900: 21.874859 b1849 + x6648 - x6649 <= 44 e61901: 0.281662 b1850 - x6650 <= 31 e61902: 0.281662 b1851 + x6650 - x6651 <= 31 e61903: 0.281662 b1852 + x6651 - x6652 <= 31 e61904: 0.281662 b1853 + x6652 - x6653 <= 31 e61905: 0.281662 b1854 + x6653 - x6654 <= 31 e61906: 0.281662 b1855 + x6654 - x6655 <= 31 e61907: 0.281662 b1856 + x6655 - x6656 <= 31 e61908: 0.281662 b1857 + x6656 - x6657 <= 31 e61909: 0.281662 b1858 + x6657 - x6658 <= 31 e61910: 0.281662 b1859 + x6658 - x6659 <= 31 e61911: 0.281662 b1860 + x6659 - x6660 <= 31 e61912: 0.281662 b1861 + x6660 - x6661 <= 31 e61913: 0.281662 b1862 + x6661 - x6662 <= 31 e61914: 0.281662 b1863 + x6662 - x6663 <= 31 e61915: 0.281662 b1864 + x6663 - x6664 <= 31 e61916: 0.281662 b1865 + x6664 - x6665 <= 31 e61917: 0.281662 b1866 + x6665 - x6666 <= 31 e61918: 0.281662 b1867 + x6666 - x6667 <= 31 e61919: 0.281662 b1868 + x6667 - x6668 <= 31 e61920: 0.281662 b1869 + x6668 - x6669 <= 31 e61921: 0.281662 b1870 + x6669 - x6670 <= 31 e61922: 0.281662 b1871 + x6670 - x6671 <= 31 e61923: 0.281662 b1872 + x6671 - x6672 <= 31 e61924: 0.281662 b1873 + x6672 - x6673 <= 31 e61925: 10.953994 b1874 - x6674 <= 49 e61926: 10.953994 b1875 + x6674 - x6675 <= 49 e61927: 10.953994 b1876 + x6675 - x6676 <= 49 e61928: 10.953994 b1877 + x6676 - x6677 <= 49 e61929: 10.953994 b1878 + x6677 - x6678 <= 49 e61930: 10.953994 b1879 + x6678 - x6679 <= 49 e61931: 10.953994 b1880 + x6679 - x6680 <= 49 e61932: 10.953994 b1881 + x6680 - x6681 <= 49 e61933: 10.953994 b1882 + x6681 - x6682 <= 49 e61934: 10.953994 b1883 + x6682 - x6683 <= 49 e61935: 10.953994 b1884 + x6683 - x6684 <= 49 e61936: 10.953994 b1885 + x6684 - x6685 <= 49 e61937: 10.953994 b1886 + x6685 - x6686 <= 49 e61938: 10.953994 b1887 + x6686 - x6687 <= 49 e61939: 10.953994 b1888 + x6687 - x6688 <= 49 e61940: 10.953994 b1889 + x6688 - x6689 <= 49 e61941: 10.953994 b1890 + x6689 - x6690 <= 49 e61942: 10.953994 b1891 + x6690 - x6691 <= 49 e61943: 10.953994 b1892 + x6691 - x6692 <= 49 e61944: 10.953994 b1893 + x6692 - x6693 <= 49 e61945: 10.953994 b1894 + x6693 - x6694 <= 49 e61946: 10.953994 b1895 + x6694 - x6695 <= 49 e61947: 10.953994 b1896 + x6695 - x6696 <= 49 e61948: 10.953994 b1897 + x6696 - x6697 <= 49 e61949: 6.326619 b1898 - x6698 <= -40.437673 e61950: 6.326619 b1899 + x6698 - x6699 <= 31 e61951: 6.326619 b1900 + x6699 - x6700 <= 31 e61952: 6.326619 b1901 + x6700 - x6701 <= 31 e61953: 6.326619 b1902 + x6701 - x6702 <= 31 e61954: 6.326619 b1903 + x6702 - x6703 <= 31 e61955: 6.326619 b1904 + x6703 - x6704 <= 31 e61956: 6.326619 b1905 + x6704 - x6705 <= 31 e61957: 6.326619 b1906 + x6705 - x6706 <= 31 e61958: 6.326619 b1907 + x6706 - x6707 <= 31 e61959: 6.326619 b1908 + x6707 - x6708 <= 31 e61960: 6.326619 b1909 + x6708 - x6709 <= 31 e61961: 6.326619 b1910 + x6709 - x6710 <= 31 e61962: 6.326619 b1911 + x6710 - x6711 <= 31 e61963: 6.326619 b1912 + x6711 - x6712 <= 31 e61964: 6.326619 b1913 + x6712 - x6713 <= 31 e61965: 6.326619 b1914 + x6713 - x6714 <= 31 e61966: 6.326619 b1915 + x6714 - x6715 <= 31 e61967: 6.326619 b1916 + x6715 - x6716 <= 31 e61968: 6.326619 b1917 + x6716 - x6717 <= 31 e61969: 6.326619 b1918 + x6717 - x6718 <= 31 e61970: 6.326619 b1919 + x6718 - x6719 <= 31 e61971: 6.326619 b1920 + x6719 - x6720 <= 31 e61972: 6.326619 b1921 + x6720 - x6721 <= 31 e61973: 1.547314 b1922 - x6722 <= -24.195526 e61974: 1.547314 b1923 + x6722 - x6723 <= 35 e61975: 1.547314 b1924 + x6723 - x6724 <= 35 e61976: 1.547314 b1925 + x6724 - x6725 <= 35 e61977: 1.547314 b1926 + x6725 - x6726 <= 35 e61978: 1.547314 b1927 + x6726 - x6727 <= 35 e61979: 1.547314 b1928 + x6727 - x6728 <= 35 e61980: 1.547314 b1929 + x6728 - x6729 <= 35 e61981: 1.547314 b1930 + x6729 - x6730 <= 35 e61982: 1.547314 b1931 + x6730 - x6731 <= 35 e61983: 1.547314 b1932 + x6731 - x6732 <= 35 e61984: 1.547314 b1933 + x6732 - x6733 <= 35 e61985: 1.547314 b1934 + x6733 - x6734 <= 35 e61986: 1.547314 b1935 + x6734 - x6735 <= 35 e61987: 1.547314 b1936 + x6735 - x6736 <= 35 e61988: 1.547314 b1937 + x6736 - x6737 <= 35 e61989: 1.547314 b1938 + x6737 - x6738 <= 35 e61990: 1.547314 b1939 + x6738 - x6739 <= 35 e61991: 1.547314 b1940 + x6739 - x6740 <= 35 e61992: 1.547314 b1941 + x6740 - x6741 <= 35 e61993: 1.547314 b1942 + x6741 - x6742 <= 35 e61994: 1.547314 b1943 + x6742 - x6743 <= 35 e61995: 1.547314 b1944 + x6743 - x6744 <= 35 e61996: 1.547314 b1945 + x6744 - x6745 <= 35 e61997: - 1.52774 b1946 - x6746 <= 32 e61998: - 1.52774 b1947 + x6746 - x6747 <= 32 e61999: - 1.52774 b1948 + x6747 - x6748 <= 32 e62000: - 1.52774 b1949 + x6748 - x6749 <= 32 e62001: - 1.52774 b1950 + x6749 - x6750 <= 32 e62002: - 1.52774 b1951 + x6750 - x6751 <= 32 e62003: - 1.52774 b1952 + x6751 - x6752 <= 32 e62004: - 1.52774 b1953 + x6752 - x6753 <= 32 e62005: - 1.52774 b1954 + x6753 - x6754 <= 32 e62006: - 1.52774 b1955 + x6754 - x6755 <= 32 e62007: - 1.52774 b1956 + x6755 - x6756 <= 32 e62008: - 1.52774 b1957 + x6756 - x6757 <= 32 e62009: - 1.52774 b1958 + x6757 - x6758 <= 32 e62010: - 1.52774 b1959 + x6758 - x6759 <= 32 e62011: - 1.52774 b1960 + x6759 - x6760 <= 32 e62012: - 1.52774 b1961 + x6760 - x6761 <= 32 e62013: - 1.52774 b1962 + x6761 - x6762 <= 32 e62014: - 1.52774 b1963 + x6762 - x6763 <= 32 e62015: - 1.52774 b1964 + x6763 - x6764 <= 32 e62016: - 1.52774 b1965 + x6764 - x6765 <= 32 e62017: - 1.52774 b1966 + x6765 - x6766 <= 32 e62018: - 1.52774 b1967 + x6766 - x6767 <= 32 e62019: - 1.52774 b1968 + x6767 - x6768 <= 32 e62020: - 1.52774 b1969 + x6768 - x6769 <= 32 e62021: 21.910389 b1970 - x6770 <= 48 e62022: 21.910389 b1971 + x6770 - x6771 <= 48 e62023: 21.910389 b1972 + x6771 - x6772 <= 48 e62024: 21.910389 b1973 + x6772 - x6773 <= 48 e62025: 21.910389 b1974 + x6773 - x6774 <= 48 e62026: 21.910389 b1975 + x6774 - x6775 <= 48 e62027: 21.910389 b1976 + x6775 - x6776 <= 48 e62028: 21.910389 b1977 + x6776 - x6777 <= 48 e62029: 21.910389 b1978 + x6777 - x6778 <= 48 e62030: 21.910389 b1979 + x6778 - x6779 <= 48 e62031: 21.910389 b1980 + x6779 - x6780 <= 48 e62032: 21.910389 b1981 + x6780 - x6781 <= 48 e62033: 21.910389 b1982 + x6781 - x6782 <= 48 e62034: 21.910389 b1983 + x6782 - x6783 <= 48 e62035: 21.910389 b1984 + x6783 - x6784 <= 48 e62036: 21.910389 b1985 + x6784 - x6785 <= 48 e62037: 21.910389 b1986 + x6785 - x6786 <= 48 e62038: 21.910389 b1987 + x6786 - x6787 <= 48 e62039: 21.910389 b1988 + x6787 - x6788 <= 48 e62040: 21.910389 b1989 + x6788 - x6789 <= 48 e62041: 21.910389 b1990 + x6789 - x6790 <= 48 e62042: 21.910389 b1991 + x6790 - x6791 <= 48 e62043: 21.910389 b1992 + x6791 - x6792 <= 48 e62044: 21.910389 b1993 + x6792 - x6793 <= 48 e62045: 19.259205 b1994 - x6794 <= 46 e62046: 19.259205 b1995 + x6794 - x6795 <= 46 e62047: 19.259205 b1996 + x6795 - x6796 <= 46 e62048: 19.259205 b1997 + x6796 - x6797 <= 46 e62049: 19.259205 b1998 + x6797 - x6798 <= 46 e62050: 19.259205 b1999 + x6798 - x6799 <= 46 e62051: 19.259205 b2000 + x6799 - x6800 <= 46 e62052: 19.259205 b2001 + x6800 - x6801 <= 46 e62053: 19.259205 b2002 + x6801 - x6802 <= 46 e62054: 19.259205 b2003 + x6802 - x6803 <= 46 e62055: 19.259205 b2004 + x6803 - x6804 <= 46 e62056: 19.259205 b2005 + x6804 - x6805 <= 46 e62057: 19.259205 b2006 + x6805 - x6806 <= 46 e62058: 19.259205 b2007 + x6806 - x6807 <= 46 e62059: 19.259205 b2008 + x6807 - x6808 <= 46 e62060: 19.259205 b2009 + x6808 - x6809 <= 46 e62061: 19.259205 b2010 + x6809 - x6810 <= 46 e62062: 19.259205 b2011 + x6810 - x6811 <= 46 e62063: 19.259205 b2012 + x6811 - x6812 <= 46 e62064: 19.259205 b2013 + x6812 - x6813 <= 46 e62065: 19.259205 b2014 + x6813 - x6814 <= 46 e62066: 19.259205 b2015 + x6814 - x6815 <= 46 e62067: 19.259205 b2016 + x6815 - x6816 <= 46 e62068: 19.259205 b2017 + x6816 - x6817 <= 46 e62069: 10.362164 b2018 - x6818 <= -8.659614 e62070: 10.362164 b2019 + x6818 - x6819 <= 41 e62071: 10.362164 b2020 + x6819 - x6820 <= 41 e62072: 10.362164 b2021 + x6820 - x6821 <= 41 e62073: 10.362164 b2022 + x6821 - x6822 <= 41 e62074: 10.362164 b2023 + x6822 - x6823 <= 41 e62075: 10.362164 b2024 + x6823 - x6824 <= 41 e62076: 10.362164 b2025 + x6824 - x6825 <= 41 e62077: 10.362164 b2026 + x6825 - x6826 <= 41 e62078: 10.362164 b2027 + x6826 - x6827 <= 41 e62079: 10.362164 b2028 + x6827 - x6828 <= 41 e62080: 10.362164 b2029 + x6828 - x6829 <= 41 e62081: 10.362164 b2030 + x6829 - x6830 <= 41 e62082: 10.362164 b2031 + x6830 - x6831 <= 41 e62083: 10.362164 b2032 + x6831 - x6832 <= 41 e62084: 10.362164 b2033 + x6832 - x6833 <= 41 e62085: 10.362164 b2034 + x6833 - x6834 <= 41 e62086: 10.362164 b2035 + x6834 - x6835 <= 41 e62087: 10.362164 b2036 + x6835 - x6836 <= 41 e62088: 10.362164 b2037 + x6836 - x6837 <= 41 e62089: 10.362164 b2038 + x6837 - x6838 <= 41 e62090: 10.362164 b2039 + x6838 - x6839 <= 41 e62091: 10.362164 b2040 + x6839 - x6840 <= 41 e62092: 10.362164 b2041 + x6840 - x6841 <= 41 e62093: - 5.891388 b2042 - x6842 <= 33 e62094: - 5.891388 b2043 + x6842 - x6843 <= 33 e62095: - 5.891388 b2044 + x6843 - x6844 <= 33 e62096: - 5.891388 b2045 + x6844 - x6845 <= 33 e62097: - 5.891388 b2046 + x6845 - x6846 <= 33 e62098: - 5.891388 b2047 + x6846 - x6847 <= 33 e62099: - 5.891388 b2048 + x6847 - x6848 <= 33 e62100: - 5.891388 b2049 + x6848 - x6849 <= 33 e62101: - 5.891388 b2050 + x6849 - x6850 <= 33 e62102: - 5.891388 b2051 + x6850 - x6851 <= 33 e62103: - 5.891388 b2052 + x6851 - x6852 <= 33 e62104: - 5.891388 b2053 + x6852 - x6853 <= 33 e62105: - 5.891388 b2054 + x6853 - x6854 <= 33 e62106: - 5.891388 b2055 + x6854 - x6855 <= 33 e62107: - 5.891388 b2056 + x6855 - x6856 <= 33 e62108: - 5.891388 b2057 + x6856 - x6857 <= 33 e62109: - 5.891388 b2058 + x6857 - x6858 <= 33 e62110: - 5.891388 b2059 + x6858 - x6859 <= 33 e62111: - 5.891388 b2060 + x6859 - x6860 <= 33 e62112: - 5.891388 b2061 + x6860 - x6861 <= 33 e62113: - 5.891388 b2062 + x6861 - x6862 <= 33 e62114: - 5.891388 b2063 + x6862 - x6863 <= 33 e62115: - 5.891388 b2064 + x6863 - x6864 <= 33 e62116: - 5.891388 b2065 + x6864 - x6865 <= 33 e62117: - 6.311274 b2066 - x6866 <= 31 e62118: - 6.311274 b2067 + x6866 - x6867 <= 31 e62119: - 6.311274 b2068 + x6867 - x6868 <= 31 e62120: - 6.311274 b2069 + x6868 - x6869 <= 31 e62121: - 6.311274 b2070 + x6869 - x6870 <= 31 e62122: - 6.311274 b2071 + x6870 - x6871 <= 31 e62123: - 6.311274 b2072 + x6871 - x6872 <= 31 e62124: - 6.311274 b2073 + x6872 - x6873 <= 31 e62125: - 6.311274 b2074 + x6873 - x6874 <= 31 e62126: - 6.311274 b2075 + x6874 - x6875 <= 31 e62127: - 6.311274 b2076 + x6875 - x6876 <= 31 e62128: - 6.311274 b2077 + x6876 - x6877 <= 31 e62129: - 6.311274 b2078 + x6877 - x6878 <= 31 e62130: - 6.311274 b2079 + x6878 - x6879 <= 31 e62131: - 6.311274 b2080 + x6879 - x6880 <= 31 e62132: - 6.311274 b2081 + x6880 - x6881 <= 31 e62133: - 6.311274 b2082 + x6881 - x6882 <= 31 e62134: - 6.311274 b2083 + x6882 - x6883 <= 31 e62135: - 6.311274 b2084 + x6883 - x6884 <= 31 e62136: - 6.311274 b2085 + x6884 - x6885 <= 31 e62137: - 6.311274 b2086 + x6885 - x6886 <= 31 e62138: - 6.311274 b2087 + x6886 - x6887 <= 31 e62139: - 6.311274 b2088 + x6887 - x6888 <= 31 e62140: - 6.311274 b2089 + x6888 - x6889 <= 31 e62141: 12.559627 b2090 - x6890 <= 43 e62142: 12.559627 b2091 + x6890 - x6891 <= 43 e62143: 12.559627 b2092 + x6891 - x6892 <= 43 e62144: 12.559627 b2093 + x6892 - x6893 <= 43 e62145: 12.559627 b2094 + x6893 - x6894 <= 43 e62146: 12.559627 b2095 + x6894 - x6895 <= 43 e62147: 12.559627 b2096 + x6895 - x6896 <= 43 e62148: 12.559627 b2097 + x6896 - x6897 <= 43 e62149: 12.559627 b2098 + x6897 - x6898 <= 43 e62150: 12.559627 b2099 + x6898 - x6899 <= 43 e62151: 12.559627 b2100 + x6899 - x6900 <= 43 e62152: 12.559627 b2101 + x6900 - x6901 <= 43 e62153: 12.559627 b2102 + x6901 - x6902 <= 43 e62154: 12.559627 b2103 + x6902 - x6903 <= 43 e62155: 12.559627 b2104 + x6903 - x6904 <= 43 e62156: 12.559627 b2105 + x6904 - x6905 <= 43 e62157: 12.559627 b2106 + x6905 - x6906 <= 43 e62158: 12.559627 b2107 + x6906 - x6907 <= 43 e62159: 12.559627 b2108 + x6907 - x6908 <= 43 e62160: 12.559627 b2109 + x6908 - x6909 <= 43 e62161: 12.559627 b2110 + x6909 - x6910 <= 43 e62162: 12.559627 b2111 + x6910 - x6911 <= 43 e62163: 12.559627 b2112 + x6911 - x6912 <= 43 e62164: 12.559627 b2113 + x6912 - x6913 <= 43 e62165: - 1.058208 b2114 - x6914 <= 38 e62166: - 1.058208 b2115 + x6914 - x6915 <= 38 e62167: - 1.058208 b2116 + x6915 - x6916 <= 38 e62168: - 1.058208 b2117 + x6916 - x6917 <= 38 e62169: - 1.058208 b2118 + x6917 - x6918 <= 38 e62170: - 1.058208 b2119 + x6918 - x6919 <= 38 e62171: - 1.058208 b2120 + x6919 - x6920 <= 38 e62172: - 1.058208 b2121 + x6920 - x6921 <= 38 e62173: - 1.058208 b2122 + x6921 - x6922 <= 38 e62174: - 1.058208 b2123 + x6922 - x6923 <= 38 e62175: - 1.058208 b2124 + x6923 - x6924 <= 38 e62176: - 1.058208 b2125 + x6924 - x6925 <= 38 e62177: - 1.058208 b2126 + x6925 - x6926 <= 38 e62178: - 1.058208 b2127 + x6926 - x6927 <= 38 e62179: - 1.058208 b2128 + x6927 - x6928 <= 38 e62180: - 1.058208 b2129 + x6928 - x6929 <= 38 e62181: - 1.058208 b2130 + x6929 - x6930 <= 38 e62182: - 1.058208 b2131 + x6930 - x6931 <= 38 e62183: - 1.058208 b2132 + x6931 - x6932 <= 38 e62184: - 1.058208 b2133 + x6932 - x6933 <= 38 e62185: - 1.058208 b2134 + x6933 - x6934 <= 38 e62186: - 1.058208 b2135 + x6934 - x6935 <= 38 e62187: - 1.058208 b2136 + x6935 - x6936 <= 38 e62188: - 1.058208 b2137 + x6936 - x6937 <= 38 e62189: 23.217492 b2138 - x6938 <= 44 e62190: 23.217492 b2139 + x6938 - x6939 <= 44 e62191: 23.217492 b2140 + x6939 - x6940 <= 44 e62192: 23.217492 b2141 + x6940 - x6941 <= 44 e62193: 23.217492 b2142 + x6941 - x6942 <= 44 e62194: 23.217492 b2143 + x6942 - x6943 <= 44 e62195: 23.217492 b2144 + x6943 - x6944 <= 44 e62196: 23.217492 b2145 + x6944 - x6945 <= 44 e62197: 23.217492 b2146 + x6945 - x6946 <= 44 e62198: 23.217492 b2147 + x6946 - x6947 <= 44 e62199: 23.217492 b2148 + x6947 - x6948 <= 44 e62200: 23.217492 b2149 + x6948 - x6949 <= 44 e62201: 23.217492 b2150 + x6949 - x6950 <= 44 e62202: 23.217492 b2151 + x6950 - x6951 <= 44 e62203: 23.217492 b2152 + x6951 - x6952 <= 44 e62204: 23.217492 b2153 + x6952 - x6953 <= 44 e62205: 23.217492 b2154 + x6953 - x6954 <= 44 e62206: 23.217492 b2155 + x6954 - x6955 <= 44 e62207: 23.217492 b2156 + x6955 - x6956 <= 44 e62208: 23.217492 b2157 + x6956 - x6957 <= 44 e62209: 23.217492 b2158 + x6957 - x6958 <= 44 e62210: 23.217492 b2159 + x6958 - x6959 <= 44 e62211: 23.217492 b2160 + x6959 - x6960 <= 44 e62212: 23.217492 b2161 + x6960 - x6961 <= 44 e62213: - 5.085361 b2162 - x6962 <= 37 e62214: - 5.085361 b2163 + x6962 - x6963 <= 37 e62215: - 5.085361 b2164 + x6963 - x6964 <= 37 e62216: - 5.085361 b2165 + x6964 - x6965 <= 37 e62217: - 5.085361 b2166 + x6965 - x6966 <= 37 e62218: - 5.085361 b2167 + x6966 - x6967 <= 37 e62219: - 5.085361 b2168 + x6967 - x6968 <= 37 e62220: - 5.085361 b2169 + x6968 - x6969 <= 37 e62221: - 5.085361 b2170 + x6969 - x6970 <= 37 e62222: - 5.085361 b2171 + x6970 - x6971 <= 37 e62223: - 5.085361 b2172 + x6971 - x6972 <= 37 e62224: - 5.085361 b2173 + x6972 - x6973 <= 37 e62225: - 5.085361 b2174 + x6973 - x6974 <= 37 e62226: - 5.085361 b2175 + x6974 - x6975 <= 37 e62227: - 5.085361 b2176 + x6975 - x6976 <= 37 e62228: - 5.085361 b2177 + x6976 - x6977 <= 37 e62229: - 5.085361 b2178 + x6977 - x6978 <= 37 e62230: - 5.085361 b2179 + x6978 - x6979 <= 37 e62231: - 5.085361 b2180 + x6979 - x6980 <= 37 e62232: - 5.085361 b2181 + x6980 - x6981 <= 37 e62233: - 5.085361 b2182 + x6981 - x6982 <= 37 e62234: - 5.085361 b2183 + x6982 - x6983 <= 37 e62235: - 5.085361 b2184 + x6983 - x6984 <= 37 e62236: - 5.085361 b2185 + x6984 - x6985 <= 37 e62237: 19.224791 b2186 - x6986 <= -47.890089 e62238: 19.224791 b2187 + x6986 - x6987 <= 44 e62239: 19.224791 b2188 + x6987 - x6988 <= 44 e62240: 19.224791 b2189 + x6988 - x6989 <= 44 e62241: 19.224791 b2190 + x6989 - x6990 <= 44 e62242: 19.224791 b2191 + x6990 - x6991 <= 44 e62243: 19.224791 b2192 + x6991 - x6992 <= 44 e62244: 19.224791 b2193 + x6992 - x6993 <= 44 e62245: 19.224791 b2194 + x6993 - x6994 <= 44 e62246: 19.224791 b2195 + x6994 - x6995 <= 44 e62247: 19.224791 b2196 + x6995 - x6996 <= 44 e62248: 19.224791 b2197 + x6996 - x6997 <= 44 e62249: 19.224791 b2198 + x6997 - x6998 <= 44 e62250: 19.224791 b2199 + x6998 - x6999 <= 44 e62251: 19.224791 b2200 + x6999 - x7000 <= 44 e62252: 19.224791 b2201 + x7000 - x7001 <= 44 e62253: 19.224791 b2202 + x7001 - x7002 <= 44 e62254: 19.224791 b2203 + x7002 - x7003 <= 44 e62255: 19.224791 b2204 + x7003 - x7004 <= 44 e62256: 19.224791 b2205 + x7004 - x7005 <= 44 e62257: 19.224791 b2206 + x7005 - x7006 <= 44 e62258: 19.224791 b2207 + x7006 - x7007 <= 44 e62259: 19.224791 b2208 + x7007 - x7008 <= 44 e62260: 19.224791 b2209 + x7008 - x7009 <= 44 e62261: 14.003397 b2210 - x7010 <= -50.714547 e62262: 14.003397 b2211 + x7010 - x7011 <= 41 e62263: 14.003397 b2212 + x7011 - x7012 <= 41 e62264: 14.003397 b2213 + x7012 - x7013 <= 41 e62265: 14.003397 b2214 + x7013 - x7014 <= 41 e62266: 14.003397 b2215 + x7014 - x7015 <= 41 e62267: 14.003397 b2216 + x7015 - x7016 <= 41 e62268: 14.003397 b2217 + x7016 - x7017 <= 41 e62269: 14.003397 b2218 + x7017 - x7018 <= 41 e62270: 14.003397 b2219 + x7018 - x7019 <= 41 e62271: 14.003397 b2220 + x7019 - x7020 <= 41 e62272: 14.003397 b2221 + x7020 - x7021 <= 41 e62273: 14.003397 b2222 + x7021 - x7022 <= 41 e62274: 14.003397 b2223 + x7022 - x7023 <= 41 e62275: 14.003397 b2224 + x7023 - x7024 <= 41 e62276: 14.003397 b2225 + x7024 - x7025 <= 41 e62277: 14.003397 b2226 + x7025 - x7026 <= 41 e62278: 14.003397 b2227 + x7026 - x7027 <= 41 e62279: 14.003397 b2228 + x7027 - x7028 <= 41 e62280: 14.003397 b2229 + x7028 - x7029 <= 41 e62281: 14.003397 b2230 + x7029 - x7030 <= 41 e62282: 14.003397 b2231 + x7030 - x7031 <= 41 e62283: 14.003397 b2232 + x7031 - x7032 <= 41 e62284: 14.003397 b2233 + x7032 - x7033 <= 41 e62285: 17.286908 b2234 - x7034 <= -30.114147 e62286: 17.286908 b2235 + x7034 - x7035 <= 46 e62287: 17.286908 b2236 + x7035 - x7036 <= 46 e62288: 17.286908 b2237 + x7036 - x7037 <= 46 e62289: 17.286908 b2238 + x7037 - x7038 <= 46 e62290: 17.286908 b2239 + x7038 - x7039 <= 46 e62291: 17.286908 b2240 + x7039 - x7040 <= 46 e62292: 17.286908 b2241 + x7040 - x7041 <= 46 e62293: 17.286908 b2242 + x7041 - x7042 <= 46 e62294: 17.286908 b2243 + x7042 - x7043 <= 46 e62295: 17.286908 b2244 + x7043 - x7044 <= 46 e62296: 17.286908 b2245 + x7044 - x7045 <= 46 e62297: 17.286908 b2246 + x7045 - x7046 <= 46 e62298: 17.286908 b2247 + x7046 - x7047 <= 46 e62299: 17.286908 b2248 + x7047 - x7048 <= 46 e62300: 17.286908 b2249 + x7048 - x7049 <= 46 e62301: 17.286908 b2250 + x7049 - x7050 <= 46 e62302: 17.286908 b2251 + x7050 - x7051 <= 46 e62303: 17.286908 b2252 + x7051 - x7052 <= 46 e62304: 17.286908 b2253 + x7052 - x7053 <= 46 e62305: 17.286908 b2254 + x7053 - x7054 <= 46 e62306: 17.286908 b2255 + x7054 - x7055 <= 46 e62307: 17.286908 b2256 + x7055 - x7056 <= 46 e62308: 17.286908 b2257 + x7056 - x7057 <= 46 e62309: 16.872597 b2258 - x7058 <= 46 e62310: 16.872597 b2259 + x7058 - x7059 <= 46 e62311: 16.872597 b2260 + x7059 - x7060 <= 46 e62312: 16.872597 b2261 + x7060 - x7061 <= 46 e62313: 16.872597 b2262 + x7061 - x7062 <= 46 e62314: 16.872597 b2263 + x7062 - x7063 <= 46 e62315: 16.872597 b2264 + x7063 - x7064 <= 46 e62316: 16.872597 b2265 + x7064 - x7065 <= 46 e62317: 16.872597 b2266 + x7065 - x7066 <= 46 e62318: 16.872597 b2267 + x7066 - x7067 <= 46 e62319: 16.872597 b2268 + x7067 - x7068 <= 46 e62320: 16.872597 b2269 + x7068 - x7069 <= 46 e62321: 16.872597 b2270 + x7069 - x7070 <= 46 e62322: 16.872597 b2271 + x7070 - x7071 <= 46 e62323: 16.872597 b2272 + x7071 - x7072 <= 46 e62324: 16.872597 b2273 + x7072 - x7073 <= 46 e62325: 16.872597 b2274 + x7073 - x7074 <= 46 e62326: 16.872597 b2275 + x7074 - x7075 <= 46 e62327: 16.872597 b2276 + x7075 - x7076 <= 46 e62328: 16.872597 b2277 + x7076 - x7077 <= 46 e62329: 16.872597 b2278 + x7077 - x7078 <= 46 e62330: 16.872597 b2279 + x7078 - x7079 <= 46 e62331: 16.872597 b2280 + x7079 - x7080 <= 46 e62332: 16.872597 b2281 + x7080 - x7081 <= 46 e62333: - 1.507324 b2282 - x7082 <= -58.714857 e62334: - 1.507324 b2283 + x7082 - x7083 <= 33 e62335: - 1.507324 b2284 + x7083 - x7084 <= 33 e62336: - 1.507324 b2285 + x7084 - x7085 <= 33 e62337: - 1.507324 b2286 + x7085 - x7086 <= 33 e62338: - 1.507324 b2287 + x7086 - x7087 <= 33 e62339: - 1.507324 b2288 + x7087 - x7088 <= 33 e62340: - 1.507324 b2289 + x7088 - x7089 <= 33 e62341: - 1.507324 b2290 + x7089 - x7090 <= 33 e62342: - 1.507324 b2291 + x7090 - x7091 <= 33 e62343: - 1.507324 b2292 + x7091 - x7092 <= 33 e62344: - 1.507324 b2293 + x7092 - x7093 <= 33 e62345: - 1.507324 b2294 + x7093 - x7094 <= 33 e62346: - 1.507324 b2295 + x7094 - x7095 <= 33 e62347: - 1.507324 b2296 + x7095 - x7096 <= 33 e62348: - 1.507324 b2297 + x7096 - x7097 <= 33 e62349: - 1.507324 b2298 + x7097 - x7098 <= 33 e62350: - 1.507324 b2299 + x7098 - x7099 <= 33 e62351: - 1.507324 b2300 + x7099 - x7100 <= 33 e62352: - 1.507324 b2301 + x7100 - x7101 <= 33 e62353: - 1.507324 b2302 + x7101 - x7102 <= 33 e62354: - 1.507324 b2303 + x7102 - x7103 <= 33 e62355: - 1.507324 b2304 + x7103 - x7104 <= 33 e62356: - 1.507324 b2305 + x7104 - x7105 <= 33 e62357: 2.365728 b2306 - x7106 <= 36 e62358: 2.365728 b2307 + x7106 - x7107 <= 36 e62359: 2.365728 b2308 + x7107 - x7108 <= 36 e62360: 2.365728 b2309 + x7108 - x7109 <= 36 e62361: 2.365728 b2310 + x7109 - x7110 <= 36 e62362: 2.365728 b2311 + x7110 - x7111 <= 36 e62363: 2.365728 b2312 + x7111 - x7112 <= 36 e62364: 2.365728 b2313 + x7112 - x7113 <= 36 e62365: 2.365728 b2314 + x7113 - x7114 <= 36 e62366: 2.365728 b2315 + x7114 - x7115 <= 36 e62367: 2.365728 b2316 + x7115 - x7116 <= 36 e62368: 2.365728 b2317 + x7116 - x7117 <= 36 e62369: 2.365728 b2318 + x7117 - x7118 <= 36 e62370: 2.365728 b2319 + x7118 - x7119 <= 36 e62371: 2.365728 b2320 + x7119 - x7120 <= 36 e62372: 2.365728 b2321 + x7120 - x7121 <= 36 e62373: 2.365728 b2322 + x7121 - x7122 <= 36 e62374: 2.365728 b2323 + x7122 - x7123 <= 36 e62375: 2.365728 b2324 + x7123 - x7124 <= 36 e62376: 2.365728 b2325 + x7124 - x7125 <= 36 e62377: 2.365728 b2326 + x7125 - x7126 <= 36 e62378: 2.365728 b2327 + x7126 - x7127 <= 36 e62379: 2.365728 b2328 + x7127 - x7128 <= 36 e62380: 2.365728 b2329 + x7128 - x7129 <= 36 e62381: - 1.585783 b2330 - x7130 <= -34.808704 e62382: - 1.585783 b2331 + x7130 - x7131 <= 30 e62383: - 1.585783 b2332 + x7131 - x7132 <= 30 e62384: - 1.585783 b2333 + x7132 - x7133 <= 30 e62385: - 1.585783 b2334 + x7133 - x7134 <= 30 e62386: - 1.585783 b2335 + x7134 - x7135 <= 30 e62387: - 1.585783 b2336 + x7135 - x7136 <= 30 e62388: - 1.585783 b2337 + x7136 - x7137 <= 30 e62389: - 1.585783 b2338 + x7137 - x7138 <= 30 e62390: - 1.585783 b2339 + x7138 - x7139 <= 30 e62391: - 1.585783 b2340 + x7139 - x7140 <= 30 e62392: - 1.585783 b2341 + x7140 - x7141 <= 30 e62393: - 1.585783 b2342 + x7141 - x7142 <= 30 e62394: - 1.585783 b2343 + x7142 - x7143 <= 30 e62395: - 1.585783 b2344 + x7143 - x7144 <= 30 e62396: - 1.585783 b2345 + x7144 - x7145 <= 30 e62397: - 1.585783 b2346 + x7145 - x7146 <= 30 e62398: - 1.585783 b2347 + x7146 - x7147 <= 30 e62399: - 1.585783 b2348 + x7147 - x7148 <= 30 e62400: - 1.585783 b2349 + x7148 - x7149 <= 30 e62401: - 1.585783 b2350 + x7149 - x7150 <= 30 e62402: - 1.585783 b2351 + x7150 - x7151 <= 30 e62403: - 1.585783 b2352 + x7151 - x7152 <= 30 e62404: - 1.585783 b2353 + x7152 - x7153 <= 30 e62405: 2.843899 b2354 - x7154 <= -33.420711 e62406: 2.843899 b2355 + x7154 - x7155 <= 35 e62407: 2.843899 b2356 + x7155 - x7156 <= 35 e62408: 2.843899 b2357 + x7156 - x7157 <= 35 e62409: 2.843899 b2358 + x7157 - x7158 <= 35 e62410: 2.843899 b2359 + x7158 - x7159 <= 35 e62411: 2.843899 b2360 + x7159 - x7160 <= 35 e62412: 2.843899 b2361 + x7160 - x7161 <= 35 e62413: 2.843899 b2362 + x7161 - x7162 <= 35 e62414: 2.843899 b2363 + x7162 - x7163 <= 35 e62415: 2.843899 b2364 + x7163 - x7164 <= 35 e62416: 2.843899 b2365 + x7164 - x7165 <= 35 e62417: 2.843899 b2366 + x7165 - x7166 <= 35 e62418: 2.843899 b2367 + x7166 - x7167 <= 35 e62419: 2.843899 b2368 + x7167 - x7168 <= 35 e62420: 2.843899 b2369 + x7168 - x7169 <= 35 e62421: 2.843899 b2370 + x7169 - x7170 <= 35 e62422: 2.843899 b2371 + x7170 - x7171 <= 35 e62423: 2.843899 b2372 + x7171 - x7172 <= 35 e62424: 2.843899 b2373 + x7172 - x7173 <= 35 e62425: 2.843899 b2374 + x7173 - x7174 <= 35 e62426: 2.843899 b2375 + x7174 - x7175 <= 35 e62427: 2.843899 b2376 + x7175 - x7176 <= 35 e62428: 2.843899 b2377 + x7176 - x7177 <= 35 e62429: 8.258867 b2378 - x7178 <= 38 e62430: 8.258867 b2379 + x7178 - x7179 <= 38 e62431: 8.258867 b2380 + x7179 - x7180 <= 38 e62432: 8.258867 b2381 + x7180 - x7181 <= 38 e62433: 8.258867 b2382 + x7181 - x7182 <= 38 e62434: 8.258867 b2383 + x7182 - x7183 <= 38 e62435: 8.258867 b2384 + x7183 - x7184 <= 38 e62436: 8.258867 b2385 + x7184 - x7185 <= 38 e62437: 8.258867 b2386 + x7185 - x7186 <= 38 e62438: 8.258867 b2387 + x7186 - x7187 <= 38 e62439: 8.258867 b2388 + x7187 - x7188 <= 38 e62440: 8.258867 b2389 + x7188 - x7189 <= 38 e62441: 8.258867 b2390 + x7189 - x7190 <= 38 e62442: 8.258867 b2391 + x7190 - x7191 <= 38 e62443: 8.258867 b2392 + x7191 - x7192 <= 38 e62444: 8.258867 b2393 + x7192 - x7193 <= 38 e62445: 8.258867 b2394 + x7193 - x7194 <= 38 e62446: 8.258867 b2395 + x7194 - x7195 <= 38 e62447: 8.258867 b2396 + x7195 - x7196 <= 38 e62448: 8.258867 b2397 + x7196 - x7197 <= 38 e62449: 8.258867 b2398 + x7197 - x7198 <= 38 e62450: 8.258867 b2399 + x7198 - x7199 <= 38 e62451: 8.258867 b2400 + x7199 - x7200 <= 38 e62452: 8.258867 b2401 + x7200 - x7201 <= 38 e62453: 12.297838 b2402 - x7202 <= -34.904603 e62454: 12.297838 b2403 + x7202 - x7203 <= 54 e62455: 12.297838 b2404 + x7203 - x7204 <= 54 e62456: 12.297838 b2405 + x7204 - x7205 <= 54 e62457: 12.297838 b2406 + x7205 - x7206 <= 54 e62458: 12.297838 b2407 + x7206 - x7207 <= 54 e62459: 12.297838 b2408 + x7207 - x7208 <= 54 e62460: 12.297838 b2409 + x7208 - x7209 <= 54 e62461: 12.297838 b2410 + x7209 - x7210 <= 54 e62462: 12.297838 b2411 + x7210 - x7211 <= 54 e62463: 12.297838 b2412 + x7211 - x7212 <= 54 e62464: 12.297838 b2413 + x7212 - x7213 <= 54 e62465: 12.297838 b2414 + x7213 - x7214 <= 54 e62466: 12.297838 b2415 + x7214 - x7215 <= 54 e62467: 12.297838 b2416 + x7215 - x7216 <= 54 e62468: 12.297838 b2417 + x7216 - x7217 <= 54 e62469: 12.297838 b2418 + x7217 - x7218 <= 54 e62470: 12.297838 b2419 + x7218 - x7219 <= 54 e62471: 12.297838 b2420 + x7219 - x7220 <= 54 e62472: 12.297838 b2421 + x7220 - x7221 <= 54 e62473: 12.297838 b2422 + x7221 - x7222 <= 54 e62474: 12.297838 b2423 + x7222 - x7223 <= 54 e62475: 12.297838 b2424 + x7223 - x7224 <= 54 e62476: 12.297838 b2425 + x7224 - x7225 <= 54 e62477: - 15.103506 b2426 - x7226 <= -83.145598 e62478: - 15.103506 b2427 + x7226 - x7227 <= 58 e62479: - 15.103506 b2428 + x7227 - x7228 <= 58 e62480: - 15.103506 b2429 + x7228 - x7229 <= 58 e62481: - 15.103506 b2430 + x7229 - x7230 <= 58 e62482: - 15.103506 b2431 + x7230 - x7231 <= 58 e62483: - 15.103506 b2432 + x7231 - x7232 <= 58 e62484: - 15.103506 b2433 + x7232 - x7233 <= 58 e62485: - 15.103506 b2434 + x7233 - x7234 <= 58 e62486: - 15.103506 b2435 + x7234 - x7235 <= 58 e62487: - 15.103506 b2436 + x7235 - x7236 <= 58 e62488: - 15.103506 b2437 + x7236 - x7237 <= 58 e62489: - 15.103506 b2438 + x7237 - x7238 <= 58 e62490: - 15.103506 b2439 + x7238 - x7239 <= 58 e62491: - 15.103506 b2440 + x7239 - x7240 <= 58 e62492: - 15.103506 b2441 + x7240 - x7241 <= 58 e62493: - 15.103506 b2442 + x7241 - x7242 <= 58 e62494: - 15.103506 b2443 + x7242 - x7243 <= 58 e62495: - 15.103506 b2444 + x7243 - x7244 <= 58 e62496: - 15.103506 b2445 + x7244 - x7245 <= 58 e62497: - 15.103506 b2446 + x7245 - x7246 <= 58 e62498: - 15.103506 b2447 + x7246 - x7247 <= 58 e62499: - 15.103506 b2448 + x7247 - x7248 <= 58 e62500: - 15.103506 b2449 + x7248 - x7249 <= 58 e62501: 1.161898 b2450 - x7250 <= 53 e62502: 1.161898 b2451 + x7250 - x7251 <= 53 e62503: 1.161898 b2452 + x7251 - x7252 <= 53 e62504: 1.161898 b2453 + x7252 - x7253 <= 53 e62505: 1.161898 b2454 + x7253 - x7254 <= 53 e62506: 1.161898 b2455 + x7254 - x7255 <= 53 e62507: 1.161898 b2456 + x7255 - x7256 <= 53 e62508: 1.161898 b2457 + x7256 - x7257 <= 53 e62509: 1.161898 b2458 + x7257 - x7258 <= 53 e62510: 1.161898 b2459 + x7258 - x7259 <= 53 e62511: 1.161898 b2460 + x7259 - x7260 <= 53 e62512: 1.161898 b2461 + x7260 - x7261 <= 53 e62513: 1.161898 b2462 + x7261 - x7262 <= 53 e62514: 1.161898 b2463 + x7262 - x7263 <= 53 e62515: 1.161898 b2464 + x7263 - x7264 <= 53 e62516: 1.161898 b2465 + x7264 - x7265 <= 53 e62517: 1.161898 b2466 + x7265 - x7266 <= 53 e62518: 1.161898 b2467 + x7266 - x7267 <= 53 e62519: 1.161898 b2468 + x7267 - x7268 <= 53 e62520: 1.161898 b2469 + x7268 - x7269 <= 53 e62521: 1.161898 b2470 + x7269 - x7270 <= 53 e62522: 1.161898 b2471 + x7270 - x7271 <= 53 e62523: 1.161898 b2472 + x7271 - x7272 <= 53 e62524: 1.161898 b2473 + x7272 - x7273 <= 53 e62525: 30.788632 b2474 - x7274 <= -28.093399 e62526: 30.788632 b2475 + x7274 - x7275 <= 70 e62527: 30.788632 b2476 + x7275 - x7276 <= 70 e62528: 30.788632 b2477 + x7276 - x7277 <= 70 e62529: 30.788632 b2478 + x7277 - x7278 <= 70 e62530: 30.788632 b2479 + x7278 - x7279 <= 70 e62531: 30.788632 b2480 + x7279 - x7280 <= 70 e62532: 30.788632 b2481 + x7280 - x7281 <= 70 e62533: 30.788632 b2482 + x7281 - x7282 <= 70 e62534: 30.788632 b2483 + x7282 - x7283 <= 70 e62535: 30.788632 b2484 + x7283 - x7284 <= 70 e62536: 30.788632 b2485 + x7284 - x7285 <= 70 e62537: 30.788632 b2486 + x7285 - x7286 <= 70 e62538: 30.788632 b2487 + x7286 - x7287 <= 70 e62539: 30.788632 b2488 + x7287 - x7288 <= 70 e62540: 30.788632 b2489 + x7288 - x7289 <= 70 e62541: 30.788632 b2490 + x7289 - x7290 <= 70 e62542: 30.788632 b2491 + x7290 - x7291 <= 70 e62543: 30.788632 b2492 + x7291 - x7292 <= 70 e62544: 30.788632 b2493 + x7292 - x7293 <= 70 e62545: 30.788632 b2494 + x7293 - x7294 <= 70 e62546: 30.788632 b2495 + x7294 - x7295 <= 70 e62547: 30.788632 b2496 + x7295 - x7296 <= 70 e62548: 30.788632 b2497 + x7296 - x7297 <= 70 e62549: 10.250215 b2498 - x7298 <= 60 e62550: 10.250215 b2499 + x7298 - x7299 <= 60 e62551: 10.250215 b2500 + x7299 - x7300 <= 60 e62552: 10.250215 b2501 + x7300 - x7301 <= 60 e62553: 10.250215 b2502 + x7301 - x7302 <= 60 e62554: 10.250215 b2503 + x7302 - x7303 <= 60 e62555: 10.250215 b2504 + x7303 - x7304 <= 60 e62556: 10.250215 b2505 + x7304 - x7305 <= 60 e62557: 10.250215 b2506 + x7305 - x7306 <= 60 e62558: 10.250215 b2507 + x7306 - x7307 <= 60 e62559: 10.250215 b2508 + x7307 - x7308 <= 60 e62560: 10.250215 b2509 + x7308 - x7309 <= 60 e62561: 10.250215 b2510 + x7309 - x7310 <= 60 e62562: 10.250215 b2511 + x7310 - x7311 <= 60 e62563: 10.250215 b2512 + x7311 - x7312 <= 60 e62564: 10.250215 b2513 + x7312 - x7313 <= 60 e62565: 10.250215 b2514 + x7313 - x7314 <= 60 e62566: 10.250215 b2515 + x7314 - x7315 <= 60 e62567: 10.250215 b2516 + x7315 - x7316 <= 60 e62568: 10.250215 b2517 + x7316 - x7317 <= 60 e62569: 10.250215 b2518 + x7317 - x7318 <= 60 e62570: 10.250215 b2519 + x7318 - x7319 <= 60 e62571: 10.250215 b2520 + x7319 - x7320 <= 60 e62572: 10.250215 b2521 + x7320 - x7321 <= 60 e62573: 2.949979 b2522 - x7322 <= -52.227474 e62574: 2.949979 b2523 + x7322 - x7323 <= 70 e62575: 2.949979 b2524 + x7323 - x7324 <= 70 e62576: 2.949979 b2525 + x7324 - x7325 <= 70 e62577: 2.949979 b2526 + x7325 - x7326 <= 70 e62578: 2.949979 b2527 + x7326 - x7327 <= 70 e62579: 2.949979 b2528 + x7327 - x7328 <= 70 e62580: 2.949979 b2529 + x7328 - x7329 <= 70 e62581: 2.949979 b2530 + x7329 - x7330 <= 70 e62582: 2.949979 b2531 + x7330 - x7331 <= 70 e62583: 2.949979 b2532 + x7331 - x7332 <= 70 e62584: 2.949979 b2533 + x7332 - x7333 <= 70 e62585: 2.949979 b2534 + x7333 - x7334 <= 70 e62586: 2.949979 b2535 + x7334 - x7335 <= 70 e62587: 2.949979 b2536 + x7335 - x7336 <= 70 e62588: 2.949979 b2537 + x7336 - x7337 <= 70 e62589: 2.949979 b2538 + x7337 - x7338 <= 70 e62590: 2.949979 b2539 + x7338 - x7339 <= 70 e62591: 2.949979 b2540 + x7339 - x7340 <= 70 e62592: 2.949979 b2541 + x7340 - x7341 <= 70 e62593: 2.949979 b2542 + x7341 - x7342 <= 70 e62594: 2.949979 b2543 + x7342 - x7343 <= 70 e62595: 2.949979 b2544 + x7343 - x7344 <= 70 e62596: 2.949979 b2545 + x7344 - x7345 <= 70 e62597: 14.151588 b2546 - x7346 <= 57 e62598: 14.151588 b2547 + x7346 - x7347 <= 57 e62599: 14.151588 b2548 + x7347 - x7348 <= 57 e62600: 14.151588 b2549 + x7348 - x7349 <= 57 e62601: 14.151588 b2550 + x7349 - x7350 <= 57 e62602: 14.151588 b2551 + x7350 - x7351 <= 57 e62603: 14.151588 b2552 + x7351 - x7352 <= 57 e62604: 14.151588 b2553 + x7352 - x7353 <= 57 e62605: 14.151588 b2554 + x7353 - x7354 <= 57 e62606: 14.151588 b2555 + x7354 - x7355 <= 57 e62607: 14.151588 b2556 + x7355 - x7356 <= 57 e62608: 14.151588 b2557 + x7356 - x7357 <= 57 e62609: 14.151588 b2558 + x7357 - x7358 <= 57 e62610: 14.151588 b2559 + x7358 - x7359 <= 57 e62611: 14.151588 b2560 + x7359 - x7360 <= 57 e62612: 14.151588 b2561 + x7360 - x7361 <= 57 e62613: 14.151588 b2562 + x7361 - x7362 <= 57 e62614: 14.151588 b2563 + x7362 - x7363 <= 57 e62615: 14.151588 b2564 + x7363 - x7364 <= 57 e62616: 14.151588 b2565 + x7364 - x7365 <= 57 e62617: 14.151588 b2566 + x7365 - x7366 <= 57 e62618: 14.151588 b2567 + x7366 - x7367 <= 57 e62619: 14.151588 b2568 + x7367 - x7368 <= 57 e62620: 14.151588 b2569 + x7368 - x7369 <= 57 e62621: 22.074031 b2570 - x7370 <= 67 e62622: 22.074031 b2571 + x7370 - x7371 <= 67 e62623: 22.074031 b2572 + x7371 - x7372 <= 67 e62624: 22.074031 b2573 + x7372 - x7373 <= 67 e62625: 22.074031 b2574 + x7373 - x7374 <= 67 e62626: 22.074031 b2575 + x7374 - x7375 <= 67 e62627: 22.074031 b2576 + x7375 - x7376 <= 67 e62628: 22.074031 b2577 + x7376 - x7377 <= 67 e62629: 22.074031 b2578 + x7377 - x7378 <= 67 e62630: 22.074031 b2579 + x7378 - x7379 <= 67 e62631: 22.074031 b2580 + x7379 - x7380 <= 67 e62632: 22.074031 b2581 + x7380 - x7381 <= 67 e62633: 22.074031 b2582 + x7381 - x7382 <= 67 e62634: 22.074031 b2583 + x7382 - x7383 <= 67 e62635: 22.074031 b2584 + x7383 - x7384 <= 67 e62636: 22.074031 b2585 + x7384 - x7385 <= 67 e62637: 22.074031 b2586 + x7385 - x7386 <= 67 e62638: 22.074031 b2587 + x7386 - x7387 <= 67 e62639: 22.074031 b2588 + x7387 - x7388 <= 67 e62640: 22.074031 b2589 + x7388 - x7389 <= 67 e62641: 22.074031 b2590 + x7389 - x7390 <= 67 e62642: 22.074031 b2591 + x7390 - x7391 <= 67 e62643: 22.074031 b2592 + x7391 - x7392 <= 67 e62644: 22.074031 b2593 + x7392 - x7393 <= 67 e62645: 7.519721 b2594 - x7394 <= -36.664866 e62646: 7.519721 b2595 + x7394 - x7395 <= 56 e62647: 7.519721 b2596 + x7395 - x7396 <= 56 e62648: 7.519721 b2597 + x7396 - x7397 <= 56 e62649: 7.519721 b2598 + x7397 - x7398 <= 56 e62650: 7.519721 b2599 + x7398 - x7399 <= 56 e62651: 7.519721 b2600 + x7399 - x7400 <= 56 e62652: 7.519721 b2601 + x7400 - x7401 <= 56 e62653: 7.519721 b2602 + x7401 - x7402 <= 56 e62654: 7.519721 b2603 + x7402 - x7403 <= 56 e62655: 7.519721 b2604 + x7403 - x7404 <= 56 e62656: 7.519721 b2605 + x7404 - x7405 <= 56 e62657: 7.519721 b2606 + x7405 - x7406 <= 56 e62658: 7.519721 b2607 + x7406 - x7407 <= 56 e62659: 7.519721 b2608 + x7407 - x7408 <= 56 e62660: 7.519721 b2609 + x7408 - x7409 <= 56 e62661: 7.519721 b2610 + x7409 - x7410 <= 56 e62662: 7.519721 b2611 + x7410 - x7411 <= 56 e62663: 7.519721 b2612 + x7411 - x7412 <= 56 e62664: 7.519721 b2613 + x7412 - x7413 <= 56 e62665: 7.519721 b2614 + x7413 - x7414 <= 56 e62666: 7.519721 b2615 + x7414 - x7415 <= 56 e62667: 7.519721 b2616 + x7415 - x7416 <= 56 e62668: 7.519721 b2617 + x7416 - x7417 <= 56 e62669: 11.181959 b2618 - x7418 <= 61 e62670: 11.181959 b2619 + x7418 - x7419 <= 61 e62671: 11.181959 b2620 + x7419 - x7420 <= 61 e62672: 11.181959 b2621 + x7420 - x7421 <= 61 e62673: 11.181959 b2622 + x7421 - x7422 <= 61 e62674: 11.181959 b2623 + x7422 - x7423 <= 61 e62675: 11.181959 b2624 + x7423 - x7424 <= 61 e62676: 11.181959 b2625 + x7424 - x7425 <= 61 e62677: 11.181959 b2626 + x7425 - x7426 <= 61 e62678: 11.181959 b2627 + x7426 - x7427 <= 61 e62679: 11.181959 b2628 + x7427 - x7428 <= 61 e62680: 11.181959 b2629 + x7428 - x7429 <= 61 e62681: 11.181959 b2630 + x7429 - x7430 <= 61 e62682: 11.181959 b2631 + x7430 - x7431 <= 61 e62683: 11.181959 b2632 + x7431 - x7432 <= 61 e62684: 11.181959 b2633 + x7432 - x7433 <= 61 e62685: 11.181959 b2634 + x7433 - x7434 <= 61 e62686: 11.181959 b2635 + x7434 - x7435 <= 61 e62687: 11.181959 b2636 + x7435 - x7436 <= 61 e62688: 11.181959 b2637 + x7436 - x7437 <= 61 e62689: 11.181959 b2638 + x7437 - x7438 <= 61 e62690: 11.181959 b2639 + x7438 - x7439 <= 61 e62691: 11.181959 b2640 + x7439 - x7440 <= 61 e62692: 11.181959 b2641 + x7440 - x7441 <= 61 e62693: 6.207565 b2642 - x7442 <= 58 e62694: 6.207565 b2643 + x7442 - x7443 <= 58 e62695: 6.207565 b2644 + x7443 - x7444 <= 58 e62696: 6.207565 b2645 + x7444 - x7445 <= 58 e62697: 6.207565 b2646 + x7445 - x7446 <= 58 e62698: 6.207565 b2647 + x7446 - x7447 <= 58 e62699: 6.207565 b2648 + x7447 - x7448 <= 58 e62700: 6.207565 b2649 + x7448 - x7449 <= 58 e62701: 6.207565 b2650 + x7449 - x7450 <= 58 e62702: 6.207565 b2651 + x7450 - x7451 <= 58 e62703: 6.207565 b2652 + x7451 - x7452 <= 58 e62704: 6.207565 b2653 + x7452 - x7453 <= 58 e62705: 6.207565 b2654 + x7453 - x7454 <= 58 e62706: 6.207565 b2655 + x7454 - x7455 <= 58 e62707: 6.207565 b2656 + x7455 - x7456 <= 58 e62708: 6.207565 b2657 + x7456 - x7457 <= 58 e62709: 6.207565 b2658 + x7457 - x7458 <= 58 e62710: 6.207565 b2659 + x7458 - x7459 <= 58 e62711: 6.207565 b2660 + x7459 - x7460 <= 58 e62712: 6.207565 b2661 + x7460 - x7461 <= 58 e62713: 6.207565 b2662 + x7461 - x7462 <= 58 e62714: 6.207565 b2663 + x7462 - x7463 <= 58 e62715: 6.207565 b2664 + x7463 - x7464 <= 58 e62716: 6.207565 b2665 + x7464 - x7465 <= 58 e62717: - 8.841841 b2666 - x7466 <= -132.846028 e62718: - 8.841841 b2667 + x7466 - x7467 <= 54 e62719: - 8.841841 b2668 + x7467 - x7468 <= 54 e62720: - 8.841841 b2669 + x7468 - x7469 <= 54 e62721: - 8.841841 b2670 + x7469 - x7470 <= 54 e62722: - 8.841841 b2671 + x7470 - x7471 <= 54 e62723: - 8.841841 b2672 + x7471 - x7472 <= 54 e62724: - 8.841841 b2673 + x7472 - x7473 <= 54 e62725: - 8.841841 b2674 + x7473 - x7474 <= 54 e62726: - 8.841841 b2675 + x7474 - x7475 <= 54 e62727: - 8.841841 b2676 + x7475 - x7476 <= 54 e62728: - 8.841841 b2677 + x7476 - x7477 <= 54 e62729: - 8.841841 b2678 + x7477 - x7478 <= 54 e62730: - 8.841841 b2679 + x7478 - x7479 <= 54 e62731: - 8.841841 b2680 + x7479 - x7480 <= 54 e62732: - 8.841841 b2681 + x7480 - x7481 <= 54 e62733: - 8.841841 b2682 + x7481 - x7482 <= 54 e62734: - 8.841841 b2683 + x7482 - x7483 <= 54 e62735: - 8.841841 b2684 + x7483 - x7484 <= 54 e62736: - 8.841841 b2685 + x7484 - x7485 <= 54 e62737: - 8.841841 b2686 + x7485 - x7486 <= 54 e62738: - 8.841841 b2687 + x7486 - x7487 <= 54 e62739: - 8.841841 b2688 + x7487 - x7488 <= 54 e62740: - 8.841841 b2689 + x7488 - x7489 <= 54 e62741: 20.323696 b2690 - x7490 <= -37.318561 e62742: 20.323696 b2691 + x7490 - x7491 <= 67 e62743: 20.323696 b2692 + x7491 - x7492 <= 67 e62744: 20.323696 b2693 + x7492 - x7493 <= 67 e62745: 20.323696 b2694 + x7493 - x7494 <= 67 e62746: 20.323696 b2695 + x7494 - x7495 <= 67 e62747: 20.323696 b2696 + x7495 - x7496 <= 67 e62748: 20.323696 b2697 + x7496 - x7497 <= 67 e62749: 20.323696 b2698 + x7497 - x7498 <= 67 e62750: 20.323696 b2699 + x7498 - x7499 <= 67 e62751: 20.323696 b2700 + x7499 - x7500 <= 67 e62752: 20.323696 b2701 + x7500 - x7501 <= 67 e62753: 20.323696 b2702 + x7501 - x7502 <= 67 e62754: 20.323696 b2703 + x7502 - x7503 <= 67 e62755: 20.323696 b2704 + x7503 - x7504 <= 67 e62756: 20.323696 b2705 + x7504 - x7505 <= 67 e62757: 20.323696 b2706 + x7505 - x7506 <= 67 e62758: 20.323696 b2707 + x7506 - x7507 <= 67 e62759: 20.323696 b2708 + x7507 - x7508 <= 67 e62760: 20.323696 b2709 + x7508 - x7509 <= 67 e62761: 20.323696 b2710 + x7509 - x7510 <= 67 e62762: 20.323696 b2711 + x7510 - x7511 <= 67 e62763: 20.323696 b2712 + x7511 - x7512 <= 67 e62764: 20.323696 b2713 + x7512 - x7513 <= 67 e62765: 6.044781 b2714 - x7514 <= 67 e62766: 6.044781 b2715 + x7514 - x7515 <= 67 e62767: 6.044781 b2716 + x7515 - x7516 <= 67 e62768: 6.044781 b2717 + x7516 - x7517 <= 67 e62769: 6.044781 b2718 + x7517 - x7518 <= 67 e62770: 6.044781 b2719 + x7518 - x7519 <= 67 e62771: 6.044781 b2720 + x7519 - x7520 <= 67 e62772: 6.044781 b2721 + x7520 - x7521 <= 67 e62773: 6.044781 b2722 + x7521 - x7522 <= 67 e62774: 6.044781 b2723 + x7522 - x7523 <= 67 e62775: 6.044781 b2724 + x7523 - x7524 <= 67 e62776: 6.044781 b2725 + x7524 - x7525 <= 67 e62777: 6.044781 b2726 + x7525 - x7526 <= 67 e62778: 6.044781 b2727 + x7526 - x7527 <= 67 e62779: 6.044781 b2728 + x7527 - x7528 <= 67 e62780: 6.044781 b2729 + x7528 - x7529 <= 67 e62781: 6.044781 b2730 + x7529 - x7530 <= 67 e62782: 6.044781 b2731 + x7530 - x7531 <= 67 e62783: 6.044781 b2732 + x7531 - x7532 <= 67 e62784: 6.044781 b2733 + x7532 - x7533 <= 67 e62785: 6.044781 b2734 + x7533 - x7534 <= 67 e62786: 6.044781 b2735 + x7534 - x7535 <= 67 e62787: 6.044781 b2736 + x7535 - x7536 <= 67 e62788: 6.044781 b2737 + x7536 - x7537 <= 67 e62789: - 0.273466 b2738 - x7538 <= 50 e62790: - 0.273466 b2739 + x7538 - x7539 <= 50 e62791: - 0.273466 b2740 + x7539 - x7540 <= 50 e62792: - 0.273466 b2741 + x7540 - x7541 <= 50 e62793: - 0.273466 b2742 + x7541 - x7542 <= 50 e62794: - 0.273466 b2743 + x7542 - x7543 <= 50 e62795: - 0.273466 b2744 + x7543 - x7544 <= 50 e62796: - 0.273466 b2745 + x7544 - x7545 <= 50 e62797: - 0.273466 b2746 + x7545 - x7546 <= 50 e62798: - 0.273466 b2747 + x7546 - x7547 <= 50 e62799: - 0.273466 b2748 + x7547 - x7548 <= 50 e62800: - 0.273466 b2749 + x7548 - x7549 <= 50 e62801: - 0.273466 b2750 + x7549 - x7550 <= 50 e62802: - 0.273466 b2751 + x7550 - x7551 <= 50 e62803: - 0.273466 b2752 + x7551 - x7552 <= 50 e62804: - 0.273466 b2753 + x7552 - x7553 <= 50 e62805: - 0.273466 b2754 + x7553 - x7554 <= 50 e62806: - 0.273466 b2755 + x7554 - x7555 <= 50 e62807: - 0.273466 b2756 + x7555 - x7556 <= 50 e62808: - 0.273466 b2757 + x7556 - x7557 <= 50 e62809: - 0.273466 b2758 + x7557 - x7558 <= 50 e62810: - 0.273466 b2759 + x7558 - x7559 <= 50 e62811: - 0.273466 b2760 + x7559 - x7560 <= 50 e62812: - 0.273466 b2761 + x7560 - x7561 <= 50 e62813: - 3.975456 b2762 - x7562 <= -66.768829 e62814: - 3.975456 b2763 + x7562 - x7563 <= 53 e62815: - 3.975456 b2764 + x7563 - x7564 <= 53 e62816: - 3.975456 b2765 + x7564 - x7565 <= 53 e62817: - 3.975456 b2766 + x7565 - x7566 <= 53 e62818: - 3.975456 b2767 + x7566 - x7567 <= 53 e62819: - 3.975456 b2768 + x7567 - x7568 <= 53 e62820: - 3.975456 b2769 + x7568 - x7569 <= 53 e62821: - 3.975456 b2770 + x7569 - x7570 <= 53 e62822: - 3.975456 b2771 + x7570 - x7571 <= 53 e62823: - 3.975456 b2772 + x7571 - x7572 <= 53 e62824: - 3.975456 b2773 + x7572 - x7573 <= 53 e62825: - 3.975456 b2774 + x7573 - x7574 <= 53 e62826: - 3.975456 b2775 + x7574 - x7575 <= 53 e62827: - 3.975456 b2776 + x7575 - x7576 <= 53 e62828: - 3.975456 b2777 + x7576 - x7577 <= 53 e62829: - 3.975456 b2778 + x7577 - x7578 <= 53 e62830: - 3.975456 b2779 + x7578 - x7579 <= 53 e62831: - 3.975456 b2780 + x7579 - x7580 <= 53 e62832: - 3.975456 b2781 + x7580 - x7581 <= 53 e62833: - 3.975456 b2782 + x7581 - x7582 <= 53 e62834: - 3.975456 b2783 + x7582 - x7583 <= 53 e62835: - 3.975456 b2784 + x7583 - x7584 <= 53 e62836: - 3.975456 b2785 + x7584 - x7585 <= 53 e62837: - 7.097913 b2786 - x7586 <= -109.166329 e62838: - 7.097913 b2787 + x7586 - x7587 <= 52 e62839: - 7.097913 b2788 + x7587 - x7588 <= 52 e62840: - 7.097913 b2789 + x7588 - x7589 <= 52 e62841: - 7.097913 b2790 + x7589 - x7590 <= 52 e62842: - 7.097913 b2791 + x7590 - x7591 <= 52 e62843: - 7.097913 b2792 + x7591 - x7592 <= 52 e62844: - 7.097913 b2793 + x7592 - x7593 <= 52 e62845: - 7.097913 b2794 + x7593 - x7594 <= 52 e62846: - 7.097913 b2795 + x7594 - x7595 <= 52 e62847: - 7.097913 b2796 + x7595 - x7596 <= 52 e62848: - 7.097913 b2797 + x7596 - x7597 <= 52 e62849: - 7.097913 b2798 + x7597 - x7598 <= 52 e62850: - 7.097913 b2799 + x7598 - x7599 <= 52 e62851: - 7.097913 b2800 + x7599 - x7600 <= 52 e62852: - 7.097913 b2801 + x7600 - x7601 <= 52 e62853: - 7.097913 b2802 + x7601 - x7602 <= 52 e62854: - 7.097913 b2803 + x7602 - x7603 <= 52 e62855: - 7.097913 b2804 + x7603 - x7604 <= 52 e62856: - 7.097913 b2805 + x7604 - x7605 <= 52 e62857: - 7.097913 b2806 + x7605 - x7606 <= 52 e62858: - 7.097913 b2807 + x7606 - x7607 <= 52 e62859: - 7.097913 b2808 + x7607 - x7608 <= 52 e62860: - 7.097913 b2809 + x7608 - x7609 <= 52 e62861: 11.837737 b2810 - x7610 <= -30.798874 e62862: 11.837737 b2811 + x7610 - x7611 <= 68 e62863: 11.837737 b2812 + x7611 - x7612 <= 68 e62864: 11.837737 b2813 + x7612 - x7613 <= 68 e62865: 11.837737 b2814 + x7613 - x7614 <= 68 e62866: 11.837737 b2815 + x7614 - x7615 <= 68 e62867: 11.837737 b2816 + x7615 - x7616 <= 68 e62868: 11.837737 b2817 + x7616 - x7617 <= 68 e62869: 11.837737 b2818 + x7617 - x7618 <= 68 e62870: 11.837737 b2819 + x7618 - x7619 <= 68 e62871: 11.837737 b2820 + x7619 - x7620 <= 68 e62872: 11.837737 b2821 + x7620 - x7621 <= 68 e62873: 11.837737 b2822 + x7621 - x7622 <= 68 e62874: 11.837737 b2823 + x7622 - x7623 <= 68 e62875: 11.837737 b2824 + x7623 - x7624 <= 68 e62876: 11.837737 b2825 + x7624 - x7625 <= 68 e62877: 11.837737 b2826 + x7625 - x7626 <= 68 e62878: 11.837737 b2827 + x7626 - x7627 <= 68 e62879: 11.837737 b2828 + x7627 - x7628 <= 68 e62880: 11.837737 b2829 + x7628 - x7629 <= 68 e62881: 11.837737 b2830 + x7629 - x7630 <= 68 e62882: 11.837737 b2831 + x7630 - x7631 <= 68 e62883: 11.837737 b2832 + x7631 - x7632 <= 68 e62884: 11.837737 b2833 + x7632 - x7633 <= 68 e62885: - 18.109461 b2834 - x7634 <= -116.4108 e62886: - 18.109461 b2835 + x7634 - x7635 <= 54 e62887: - 18.109461 b2836 + x7635 - x7636 <= 54 e62888: - 18.109461 b2837 + x7636 - x7637 <= 54 e62889: - 18.109461 b2838 + x7637 - x7638 <= 54 e62890: - 18.109461 b2839 + x7638 - x7639 <= 54 e62891: - 18.109461 b2840 + x7639 - x7640 <= 54 e62892: - 18.109461 b2841 + x7640 - x7641 <= 54 e62893: - 18.109461 b2842 + x7641 - x7642 <= 54 e62894: - 18.109461 b2843 + x7642 - x7643 <= 54 e62895: - 18.109461 b2844 + x7643 - x7644 <= 54 e62896: - 18.109461 b2845 + x7644 - x7645 <= 54 e62897: - 18.109461 b2846 + x7645 - x7646 <= 54 e62898: - 18.109461 b2847 + x7646 - x7647 <= 54 e62899: - 18.109461 b2848 + x7647 - x7648 <= 54 e62900: - 18.109461 b2849 + x7648 - x7649 <= 54 e62901: - 18.109461 b2850 + x7649 - x7650 <= 54 e62902: - 18.109461 b2851 + x7650 - x7651 <= 54 e62903: - 18.109461 b2852 + x7651 - x7652 <= 54 e62904: - 18.109461 b2853 + x7652 - x7653 <= 54 e62905: - 18.109461 b2854 + x7653 - x7654 <= 54 e62906: - 18.109461 b2855 + x7654 - x7655 <= 54 e62907: - 18.109461 b2856 + x7655 - x7656 <= 54 e62908: - 18.109461 b2857 + x7656 - x7657 <= 54 e62909: 22.679725 b2858 - x7658 <= -22.028688 e62910: 22.679725 b2859 + x7658 - x7659 <= 68 e62911: 22.679725 b2860 + x7659 - x7660 <= 68 e62912: 22.679725 b2861 + x7660 - x7661 <= 68 e62913: 22.679725 b2862 + x7661 - x7662 <= 68 e62914: 22.679725 b2863 + x7662 - x7663 <= 68 e62915: 22.679725 b2864 + x7663 - x7664 <= 68 e62916: 22.679725 b2865 + x7664 - x7665 <= 68 e62917: 22.679725 b2866 + x7665 - x7666 <= 68 e62918: 22.679725 b2867 + x7666 - x7667 <= 68 e62919: 22.679725 b2868 + x7667 - x7668 <= 68 e62920: 22.679725 b2869 + x7668 - x7669 <= 68 e62921: 22.679725 b2870 + x7669 - x7670 <= 68 e62922: 22.679725 b2871 + x7670 - x7671 <= 68 e62923: 22.679725 b2872 + x7671 - x7672 <= 68 e62924: 22.679725 b2873 + x7672 - x7673 <= 68 e62925: 22.679725 b2874 + x7673 - x7674 <= 68 e62926: 22.679725 b2875 + x7674 - x7675 <= 68 e62927: 22.679725 b2876 + x7675 - x7676 <= 68 e62928: 22.679725 b2877 + x7676 - x7677 <= 68 e62929: 22.679725 b2878 + x7677 - x7678 <= 68 e62930: 22.679725 b2879 + x7678 - x7679 <= 68 e62931: 22.679725 b2880 + x7679 - x7680 <= 68 e62932: 22.679725 b2881 + x7680 - x7681 <= 68 e62933: 2.653911 b2882 - x7682 <= 62 e62934: 2.653911 b2883 + x7682 - x7683 <= 62 e62935: 2.653911 b2884 + x7683 - x7684 <= 62 e62936: 2.653911 b2885 + x7684 - x7685 <= 62 e62937: 2.653911 b2886 + x7685 - x7686 <= 62 e62938: 2.653911 b2887 + x7686 - x7687 <= 62 e62939: 2.653911 b2888 + x7687 - x7688 <= 62 e62940: 2.653911 b2889 + x7688 - x7689 <= 62 e62941: 2.653911 b2890 + x7689 - x7690 <= 62 e62942: 2.653911 b2891 + x7690 - x7691 <= 62 e62943: 2.653911 b2892 + x7691 - x7692 <= 62 e62944: 2.653911 b2893 + x7692 - x7693 <= 62 e62945: 2.653911 b2894 + x7693 - x7694 <= 62 e62946: 2.653911 b2895 + x7694 - x7695 <= 62 e62947: 2.653911 b2896 + x7695 - x7696 <= 62 e62948: 2.653911 b2897 + x7696 - x7697 <= 62 e62949: 2.653911 b2898 + x7697 - x7698 <= 62 e62950: 2.653911 b2899 + x7698 - x7699 <= 62 e62951: 2.653911 b2900 + x7699 - x7700 <= 62 e62952: 2.653911 b2901 + x7700 - x7701 <= 62 e62953: 2.653911 b2902 + x7701 - x7702 <= 62 e62954: 2.653911 b2903 + x7702 - x7703 <= 62 e62955: 2.653911 b2904 + x7703 - x7704 <= 62 e62956: 2.653911 b2905 + x7704 - x7705 <= 62 e62957: 19.785757 b2906 - x7706 <= 68 e62958: 19.785757 b2907 + x7706 - x7707 <= 68 e62959: 19.785757 b2908 + x7707 - x7708 <= 68 e62960: 19.785757 b2909 + x7708 - x7709 <= 68 e62961: 19.785757 b2910 + x7709 - x7710 <= 68 e62962: 19.785757 b2911 + x7710 - x7711 <= 68 e62963: 19.785757 b2912 + x7711 - x7712 <= 68 e62964: 19.785757 b2913 + x7712 - x7713 <= 68 e62965: 19.785757 b2914 + x7713 - x7714 <= 68 e62966: 19.785757 b2915 + x7714 - x7715 <= 68 e62967: 19.785757 b2916 + x7715 - x7716 <= 68 e62968: 19.785757 b2917 + x7716 - x7717 <= 68 e62969: 19.785757 b2918 + x7717 - x7718 <= 68 e62970: 19.785757 b2919 + x7718 - x7719 <= 68 e62971: 19.785757 b2920 + x7719 - x7720 <= 68 e62972: 19.785757 b2921 + x7720 - x7721 <= 68 e62973: 19.785757 b2922 + x7721 - x7722 <= 68 e62974: 19.785757 b2923 + x7722 - x7723 <= 68 e62975: 19.785757 b2924 + x7723 - x7724 <= 68 e62976: 19.785757 b2925 + x7724 - x7725 <= 68 e62977: 19.785757 b2926 + x7725 - x7726 <= 68 e62978: 19.785757 b2927 + x7726 - x7727 <= 68 e62979: 19.785757 b2928 + x7727 - x7728 <= 68 e62980: 19.785757 b2929 + x7728 - x7729 <= 68 e62981: 12.989129 b2930 - x7730 <= 60 e62982: 12.989129 b2931 + x7730 - x7731 <= 60 e62983: 12.989129 b2932 + x7731 - x7732 <= 60 e62984: 12.989129 b2933 + x7732 - x7733 <= 60 e62985: 12.989129 b2934 + x7733 - x7734 <= 60 e62986: 12.989129 b2935 + x7734 - x7735 <= 60 e62987: 12.989129 b2936 + x7735 - x7736 <= 60 e62988: 12.989129 b2937 + x7736 - x7737 <= 60 e62989: 12.989129 b2938 + x7737 - x7738 <= 60 e62990: 12.989129 b2939 + x7738 - x7739 <= 60 e62991: 12.989129 b2940 + x7739 - x7740 <= 60 e62992: 12.989129 b2941 + x7740 - x7741 <= 60 e62993: 12.989129 b2942 + x7741 - x7742 <= 60 e62994: 12.989129 b2943 + x7742 - x7743 <= 60 e62995: 12.989129 b2944 + x7743 - x7744 <= 60 e62996: 12.989129 b2945 + x7744 - x7745 <= 60 e62997: 12.989129 b2946 + x7745 - x7746 <= 60 e62998: 12.989129 b2947 + x7746 - x7747 <= 60 e62999: 12.989129 b2948 + x7747 - x7748 <= 60 e63000: 12.989129 b2949 + x7748 - x7749 <= 60 e63001: 12.989129 b2950 + x7749 - x7750 <= 60 e63002: 12.989129 b2951 + x7750 - x7751 <= 60 e63003: 12.989129 b2952 + x7751 - x7752 <= 60 e63004: 12.989129 b2953 + x7752 - x7753 <= 60 e63005: 7.613143 b2954 - x7754 <= 53 e63006: 7.613143 b2955 + x7754 - x7755 <= 53 e63007: 7.613143 b2956 + x7755 - x7756 <= 53 e63008: 7.613143 b2957 + x7756 - x7757 <= 53 e63009: 7.613143 b2958 + x7757 - x7758 <= 53 e63010: 7.613143 b2959 + x7758 - x7759 <= 53 e63011: 7.613143 b2960 + x7759 - x7760 <= 53 e63012: 7.613143 b2961 + x7760 - x7761 <= 53 e63013: 7.613143 b2962 + x7761 - x7762 <= 53 e63014: 7.613143 b2963 + x7762 - x7763 <= 53 e63015: 7.613143 b2964 + x7763 - x7764 <= 53 e63016: 7.613143 b2965 + x7764 - x7765 <= 53 e63017: 7.613143 b2966 + x7765 - x7766 <= 53 e63018: 7.613143 b2967 + x7766 - x7767 <= 53 e63019: 7.613143 b2968 + x7767 - x7768 <= 53 e63020: 7.613143 b2969 + x7768 - x7769 <= 53 e63021: 7.613143 b2970 + x7769 - x7770 <= 53 e63022: 7.613143 b2971 + x7770 - x7771 <= 53 e63023: 7.613143 b2972 + x7771 - x7772 <= 53 e63024: 7.613143 b2973 + x7772 - x7773 <= 53 e63025: 7.613143 b2974 + x7773 - x7774 <= 53 e63026: 7.613143 b2975 + x7774 - x7775 <= 53 e63027: 7.613143 b2976 + x7775 - x7776 <= 53 e63028: 7.613143 b2977 + x7776 - x7777 <= 53 e63029: 21.27917 b2978 - x7778 <= 59 e63030: 21.27917 b2979 + x7778 - x7779 <= 59 e63031: 21.27917 b2980 + x7779 - x7780 <= 59 e63032: 21.27917 b2981 + x7780 - x7781 <= 59 e63033: 21.27917 b2982 + x7781 - x7782 <= 59 e63034: 21.27917 b2983 + x7782 - x7783 <= 59 e63035: 21.27917 b2984 + x7783 - x7784 <= 59 e63036: 21.27917 b2985 + x7784 - x7785 <= 59 e63037: 21.27917 b2986 + x7785 - x7786 <= 59 e63038: 21.27917 b2987 + x7786 - x7787 <= 59 e63039: 21.27917 b2988 + x7787 - x7788 <= 59 e63040: 21.27917 b2989 + x7788 - x7789 <= 59 e63041: 21.27917 b2990 + x7789 - x7790 <= 59 e63042: 21.27917 b2991 + x7790 - x7791 <= 59 e63043: 21.27917 b2992 + x7791 - x7792 <= 59 e63044: 21.27917 b2993 + x7792 - x7793 <= 59 e63045: 21.27917 b2994 + x7793 - x7794 <= 59 e63046: 21.27917 b2995 + x7794 - x7795 <= 59 e63047: 21.27917 b2996 + x7795 - x7796 <= 59 e63048: 21.27917 b2997 + x7796 - x7797 <= 59 e63049: 21.27917 b2998 + x7797 - x7798 <= 59 e63050: 21.27917 b2999 + x7798 - x7799 <= 59 e63051: 21.27917 b3000 + x7799 - x7800 <= 59 e63052: 21.27917 b3001 + x7800 - x7801 <= 59 e63053: 17.612174 b3002 - x7802 <= -75.490158 e63054: 17.612174 b3003 + x7802 - x7803 <= 69 e63055: 17.612174 b3004 + x7803 - x7804 <= 69 e63056: 17.612174 b3005 + x7804 - x7805 <= 69 e63057: 17.612174 b3006 + x7805 - x7806 <= 69 e63058: 17.612174 b3007 + x7806 - x7807 <= 69 e63059: 17.612174 b3008 + x7807 - x7808 <= 69 e63060: 17.612174 b3009 + x7808 - x7809 <= 69 e63061: 17.612174 b3010 + x7809 - x7810 <= 69 e63062: 17.612174 b3011 + x7810 - x7811 <= 69 e63063: 17.612174 b3012 + x7811 - x7812 <= 69 e63064: 17.612174 b3013 + x7812 - x7813 <= 69 e63065: 17.612174 b3014 + x7813 - x7814 <= 69 e63066: 17.612174 b3015 + x7814 - x7815 <= 69 e63067: 17.612174 b3016 + x7815 - x7816 <= 69 e63068: 17.612174 b3017 + x7816 - x7817 <= 69 e63069: 17.612174 b3018 + x7817 - x7818 <= 69 e63070: 17.612174 b3019 + x7818 - x7819 <= 69 e63071: 17.612174 b3020 + x7819 - x7820 <= 69 e63072: 17.612174 b3021 + x7820 - x7821 <= 69 e63073: 17.612174 b3022 + x7821 - x7822 <= 69 e63074: 17.612174 b3023 + x7822 - x7823 <= 69 e63075: 17.612174 b3024 + x7823 - x7824 <= 69 e63076: 17.612174 b3025 + x7824 - x7825 <= 69 e63077: - 21.288409 b3026 - x7826 <= 52 e63078: - 21.288409 b3027 + x7826 - x7827 <= 52 e63079: - 21.288409 b3028 + x7827 - x7828 <= 52 e63080: - 21.288409 b3029 + x7828 - x7829 <= 52 e63081: - 21.288409 b3030 + x7829 - x7830 <= 52 e63082: - 21.288409 b3031 + x7830 - x7831 <= 52 e63083: - 21.288409 b3032 + x7831 - x7832 <= 52 e63084: - 21.288409 b3033 + x7832 - x7833 <= 52 e63085: - 21.288409 b3034 + x7833 - x7834 <= 52 e63086: - 21.288409 b3035 + x7834 - x7835 <= 52 e63087: - 21.288409 b3036 + x7835 - x7836 <= 52 e63088: - 21.288409 b3037 + x7836 - x7837 <= 52 e63089: - 21.288409 b3038 + x7837 - x7838 <= 52 e63090: - 21.288409 b3039 + x7838 - x7839 <= 52 e63091: - 21.288409 b3040 + x7839 - x7840 <= 52 e63092: - 21.288409 b3041 + x7840 - x7841 <= 52 e63093: - 21.288409 b3042 + x7841 - x7842 <= 52 e63094: - 21.288409 b3043 + x7842 - x7843 <= 52 e63095: - 21.288409 b3044 + x7843 - x7844 <= 52 e63096: - 21.288409 b3045 + x7844 - x7845 <= 52 e63097: - 21.288409 b3046 + x7845 - x7846 <= 52 e63098: - 21.288409 b3047 + x7846 - x7847 <= 52 e63099: - 21.288409 b3048 + x7847 - x7848 <= 52 e63100: - 21.288409 b3049 + x7848 - x7849 <= 52 e63101: - 27.747272 b3050 - x7850 <= -78.536494 e63102: - 27.747272 b3051 + x7850 - x7851 <= 52 e63103: - 27.747272 b3052 + x7851 - x7852 <= 52 e63104: - 27.747272 b3053 + x7852 - x7853 <= 52 e63105: - 27.747272 b3054 + x7853 - x7854 <= 52 e63106: - 27.747272 b3055 + x7854 - x7855 <= 52 e63107: - 27.747272 b3056 + x7855 - x7856 <= 52 e63108: - 27.747272 b3057 + x7856 - x7857 <= 52 e63109: - 27.747272 b3058 + x7857 - x7858 <= 52 e63110: - 27.747272 b3059 + x7858 - x7859 <= 52 e63111: - 27.747272 b3060 + x7859 - x7860 <= 52 e63112: - 27.747272 b3061 + x7860 - x7861 <= 52 e63113: - 27.747272 b3062 + x7861 - x7862 <= 52 e63114: - 27.747272 b3063 + x7862 - x7863 <= 52 e63115: - 27.747272 b3064 + x7863 - x7864 <= 52 e63116: - 27.747272 b3065 + x7864 - x7865 <= 52 e63117: - 27.747272 b3066 + x7865 - x7866 <= 52 e63118: - 27.747272 b3067 + x7866 - x7867 <= 52 e63119: - 27.747272 b3068 + x7867 - x7868 <= 52 e63120: - 27.747272 b3069 + x7868 - x7869 <= 52 e63121: - 27.747272 b3070 + x7869 - x7870 <= 52 e63122: - 27.747272 b3071 + x7870 - x7871 <= 52 e63123: - 27.747272 b3072 + x7871 - x7872 <= 52 e63124: - 27.747272 b3073 + x7872 - x7873 <= 52 e63125: 19.16509 b3074 - x7874 <= -36.841726 e63126: 19.16509 b3075 + x7874 - x7875 <= 64 e63127: 19.16509 b3076 + x7875 - x7876 <= 64 e63128: 19.16509 b3077 + x7876 - x7877 <= 64 e63129: 19.16509 b3078 + x7877 - x7878 <= 64 e63130: 19.16509 b3079 + x7878 - x7879 <= 64 e63131: 19.16509 b3080 + x7879 - x7880 <= 64 e63132: 19.16509 b3081 + x7880 - x7881 <= 64 e63133: 19.16509 b3082 + x7881 - x7882 <= 64 e63134: 19.16509 b3083 + x7882 - x7883 <= 64 e63135: 19.16509 b3084 + x7883 - x7884 <= 64 e63136: 19.16509 b3085 + x7884 - x7885 <= 64 e63137: 19.16509 b3086 + x7885 - x7886 <= 64 e63138: 19.16509 b3087 + x7886 - x7887 <= 64 e63139: 19.16509 b3088 + x7887 - x7888 <= 64 e63140: 19.16509 b3089 + x7888 - x7889 <= 64 e63141: 19.16509 b3090 + x7889 - x7890 <= 64 e63142: 19.16509 b3091 + x7890 - x7891 <= 64 e63143: 19.16509 b3092 + x7891 - x7892 <= 64 e63144: 19.16509 b3093 + x7892 - x7893 <= 64 e63145: 19.16509 b3094 + x7893 - x7894 <= 64 e63146: 19.16509 b3095 + x7894 - x7895 <= 64 e63147: 19.16509 b3096 + x7895 - x7896 <= 64 e63148: 19.16509 b3097 + x7896 - x7897 <= 64 e63149: 8.652912 b3098 - x7898 <= 60 e63150: 8.652912 b3099 + x7898 - x7899 <= 60 e63151: 8.652912 b3100 + x7899 - x7900 <= 60 e63152: 8.652912 b3101 + x7900 - x7901 <= 60 e63153: 8.652912 b3102 + x7901 - x7902 <= 60 e63154: 8.652912 b3103 + x7902 - x7903 <= 60 e63155: 8.652912 b3104 + x7903 - x7904 <= 60 e63156: 8.652912 b3105 + x7904 - x7905 <= 60 e63157: 8.652912 b3106 + x7905 - x7906 <= 60 e63158: 8.652912 b3107 + x7906 - x7907 <= 60 e63159: 8.652912 b3108 + x7907 - x7908 <= 60 e63160: 8.652912 b3109 + x7908 - x7909 <= 60 e63161: 8.652912 b3110 + x7909 - x7910 <= 60 e63162: 8.652912 b3111 + x7910 - x7911 <= 60 e63163: 8.652912 b3112 + x7911 - x7912 <= 60 e63164: 8.652912 b3113 + x7912 - x7913 <= 60 e63165: 8.652912 b3114 + x7913 - x7914 <= 60 e63166: 8.652912 b3115 + x7914 - x7915 <= 60 e63167: 8.652912 b3116 + x7915 - x7916 <= 60 e63168: 8.652912 b3117 + x7916 - x7917 <= 60 e63169: 8.652912 b3118 + x7917 - x7918 <= 60 e63170: 8.652912 b3119 + x7918 - x7919 <= 60 e63171: 8.652912 b3120 + x7919 - x7920 <= 60 e63172: 8.652912 b3121 + x7920 - x7921 <= 60 e63173: - 0.78873 b3122 - x7922 <= -73.644003 e63174: - 0.78873 b3123 + x7922 - x7923 <= 56 e63175: - 0.78873 b3124 + x7923 - x7924 <= 56 e63176: - 0.78873 b3125 + x7924 - x7925 <= 56 e63177: - 0.78873 b3126 + x7925 - x7926 <= 56 e63178: - 0.78873 b3127 + x7926 - x7927 <= 56 e63179: - 0.78873 b3128 + x7927 - x7928 <= 56 e63180: - 0.78873 b3129 + x7928 - x7929 <= 56 e63181: - 0.78873 b3130 + x7929 - x7930 <= 56 e63182: - 0.78873 b3131 + x7930 - x7931 <= 56 e63183: - 0.78873 b3132 + x7931 - x7932 <= 56 e63184: - 0.78873 b3133 + x7932 - x7933 <= 56 e63185: - 0.78873 b3134 + x7933 - x7934 <= 56 e63186: - 0.78873 b3135 + x7934 - x7935 <= 56 e63187: - 0.78873 b3136 + x7935 - x7936 <= 56 e63188: - 0.78873 b3137 + x7936 - x7937 <= 56 e63189: - 0.78873 b3138 + x7937 - x7938 <= 56 e63190: - 0.78873 b3139 + x7938 - x7939 <= 56 e63191: - 0.78873 b3140 + x7939 - x7940 <= 56 e63192: - 0.78873 b3141 + x7940 - x7941 <= 56 e63193: - 0.78873 b3142 + x7941 - x7942 <= 56 e63194: - 0.78873 b3143 + x7942 - x7943 <= 56 e63195: - 0.78873 b3144 + x7943 - x7944 <= 56 e63196: - 0.78873 b3145 + x7944 - x7945 <= 56 e63197: 1.29017 b3146 - x7946 <= 67 e63198: 1.29017 b3147 + x7946 - x7947 <= 67 e63199: 1.29017 b3148 + x7947 - x7948 <= 67 e63200: 1.29017 b3149 + x7948 - x7949 <= 67 e63201: 1.29017 b3150 + x7949 - x7950 <= 67 e63202: 1.29017 b3151 + x7950 - x7951 <= 67 e63203: 1.29017 b3152 + x7951 - x7952 <= 67 e63204: 1.29017 b3153 + x7952 - x7953 <= 67 e63205: 1.29017 b3154 + x7953 - x7954 <= 67 e63206: 1.29017 b3155 + x7954 - x7955 <= 67 e63207: 1.29017 b3156 + x7955 - x7956 <= 67 e63208: 1.29017 b3157 + x7956 - x7957 <= 67 e63209: 1.29017 b3158 + x7957 - x7958 <= 67 e63210: 1.29017 b3159 + x7958 - x7959 <= 67 e63211: 1.29017 b3160 + x7959 - x7960 <= 67 e63212: 1.29017 b3161 + x7960 - x7961 <= 67 e63213: 1.29017 b3162 + x7961 - x7962 <= 67 e63214: 1.29017 b3163 + x7962 - x7963 <= 67 e63215: 1.29017 b3164 + x7963 - x7964 <= 67 e63216: 1.29017 b3165 + x7964 - x7965 <= 67 e63217: 1.29017 b3166 + x7965 - x7966 <= 67 e63218: 1.29017 b3167 + x7966 - x7967 <= 67 e63219: 1.29017 b3168 + x7967 - x7968 <= 67 e63220: 1.29017 b3169 + x7968 - x7969 <= 67 e63221: 6.16233 b3170 - x7970 <= 60 e63222: 6.16233 b3171 + x7970 - x7971 <= 60 e63223: 6.16233 b3172 + x7971 - x7972 <= 60 e63224: 6.16233 b3173 + x7972 - x7973 <= 60 e63225: 6.16233 b3174 + x7973 - x7974 <= 60 e63226: 6.16233 b3175 + x7974 - x7975 <= 60 e63227: 6.16233 b3176 + x7975 - x7976 <= 60 e63228: 6.16233 b3177 + x7976 - x7977 <= 60 e63229: 6.16233 b3178 + x7977 - x7978 <= 60 e63230: 6.16233 b3179 + x7978 - x7979 <= 60 e63231: 6.16233 b3180 + x7979 - x7980 <= 60 e63232: 6.16233 b3181 + x7980 - x7981 <= 60 e63233: 6.16233 b3182 + x7981 - x7982 <= 60 e63234: 6.16233 b3183 + x7982 - x7983 <= 60 e63235: 6.16233 b3184 + x7983 - x7984 <= 60 e63236: 6.16233 b3185 + x7984 - x7985 <= 60 e63237: 6.16233 b3186 + x7985 - x7986 <= 60 e63238: 6.16233 b3187 + x7986 - x7987 <= 60 e63239: 6.16233 b3188 + x7987 - x7988 <= 60 e63240: 6.16233 b3189 + x7988 - x7989 <= 60 e63241: 6.16233 b3190 + x7989 - x7990 <= 60 e63242: 6.16233 b3191 + x7990 - x7991 <= 60 e63243: 6.16233 b3192 + x7991 - x7992 <= 60 e63244: 6.16233 b3193 + x7992 - x7993 <= 60 e63245: 19.023981 b3194 - x7994 <= 67 e63246: 19.023981 b3195 + x7994 - x7995 <= 67 e63247: 19.023981 b3196 + x7995 - x7996 <= 67 e63248: 19.023981 b3197 + x7996 - x7997 <= 67 e63249: 19.023981 b3198 + x7997 - x7998 <= 67 e63250: 19.023981 b3199 + x7998 - x7999 <= 67 e63251: 19.023981 b3200 + x7999 - x8000 <= 67 e63252: 19.023981 b3201 + x8000 - x8001 <= 67 e63253: 19.023981 b3202 + x8001 - x8002 <= 67 e63254: 19.023981 b3203 + x8002 - x8003 <= 67 e63255: 19.023981 b3204 + x8003 - x8004 <= 67 e63256: 19.023981 b3205 + x8004 - x8005 <= 67 e63257: 19.023981 b3206 + x8005 - x8006 <= 67 e63258: 19.023981 b3207 + x8006 - x8007 <= 67 e63259: 19.023981 b3208 + x8007 - x8008 <= 67 e63260: 19.023981 b3209 + x8008 - x8009 <= 67 e63261: 19.023981 b3210 + x8009 - x8010 <= 67 e63262: 19.023981 b3211 + x8010 - x8011 <= 67 e63263: 19.023981 b3212 + x8011 - x8012 <= 67 e63264: 19.023981 b3213 + x8012 - x8013 <= 67 e63265: 19.023981 b3214 + x8013 - x8014 <= 67 e63266: 19.023981 b3215 + x8014 - x8015 <= 67 e63267: 19.023981 b3216 + x8015 - x8016 <= 67 e63268: 19.023981 b3217 + x8016 - x8017 <= 67 e63269: 9.272749 b3218 - x8018 <= 60 e63270: 9.272749 b3219 + x8018 - x8019 <= 60 e63271: 9.272749 b3220 + x8019 - x8020 <= 60 e63272: 9.272749 b3221 + x8020 - x8021 <= 60 e63273: 9.272749 b3222 + x8021 - x8022 <= 60 e63274: 9.272749 b3223 + x8022 - x8023 <= 60 e63275: 9.272749 b3224 + x8023 - x8024 <= 60 e63276: 9.272749 b3225 + x8024 - x8025 <= 60 e63277: 9.272749 b3226 + x8025 - x8026 <= 60 e63278: 9.272749 b3227 + x8026 - x8027 <= 60 e63279: 9.272749 b3228 + x8027 - x8028 <= 60 e63280: 9.272749 b3229 + x8028 - x8029 <= 60 e63281: 9.272749 b3230 + x8029 - x8030 <= 60 e63282: 9.272749 b3231 + x8030 - x8031 <= 60 e63283: 9.272749 b3232 + x8031 - x8032 <= 60 e63284: 9.272749 b3233 + x8032 - x8033 <= 60 e63285: 9.272749 b3234 + x8033 - x8034 <= 60 e63286: 9.272749 b3235 + x8034 - x8035 <= 60 e63287: 9.272749 b3236 + x8035 - x8036 <= 60 e63288: 9.272749 b3237 + x8036 - x8037 <= 60 e63289: 9.272749 b3238 + x8037 - x8038 <= 60 e63290: 9.272749 b3239 + x8038 - x8039 <= 60 e63291: 9.272749 b3240 + x8039 - x8040 <= 60 e63292: 9.272749 b3241 + x8040 - x8041 <= 60 e63293: 9.083737 b3242 - x8042 <= 66 e63294: 9.083737 b3243 + x8042 - x8043 <= 66 e63295: 9.083737 b3244 + x8043 - x8044 <= 66 e63296: 9.083737 b3245 + x8044 - x8045 <= 66 e63297: 9.083737 b3246 + x8045 - x8046 <= 66 e63298: 9.083737 b3247 + x8046 - x8047 <= 66 e63299: 9.083737 b3248 + x8047 - x8048 <= 66 e63300: 9.083737 b3249 + x8048 - x8049 <= 66 e63301: 9.083737 b3250 + x8049 - x8050 <= 66 e63302: 9.083737 b3251 + x8050 - x8051 <= 66 e63303: 9.083737 b3252 + x8051 - x8052 <= 66 e63304: 9.083737 b3253 + x8052 - x8053 <= 66 e63305: 9.083737 b3254 + x8053 - x8054 <= 66 e63306: 9.083737 b3255 + x8054 - x8055 <= 66 e63307: 9.083737 b3256 + x8055 - x8056 <= 66 e63308: 9.083737 b3257 + x8056 - x8057 <= 66 e63309: 9.083737 b3258 + x8057 - x8058 <= 66 e63310: 9.083737 b3259 + x8058 - x8059 <= 66 e63311: 9.083737 b3260 + x8059 - x8060 <= 66 e63312: 9.083737 b3261 + x8060 - x8061 <= 66 e63313: 9.083737 b3262 + x8061 - x8062 <= 66 e63314: 9.083737 b3263 + x8062 - x8063 <= 66 e63315: 9.083737 b3264 + x8063 - x8064 <= 66 e63316: 9.083737 b3265 + x8064 - x8065 <= 66 e63317: 6.2271 b3266 - x8066 <= -69.349572 e63318: 6.2271 b3267 + x8066 - x8067 <= 57 e63319: 6.2271 b3268 + x8067 - x8068 <= 57 e63320: 6.2271 b3269 + x8068 - x8069 <= 57 e63321: 6.2271 b3270 + x8069 - x8070 <= 57 e63322: 6.2271 b3271 + x8070 - x8071 <= 57 e63323: 6.2271 b3272 + x8071 - x8072 <= 57 e63324: 6.2271 b3273 + x8072 - x8073 <= 57 e63325: 6.2271 b3274 + x8073 - x8074 <= 57 e63326: 6.2271 b3275 + x8074 - x8075 <= 57 e63327: 6.2271 b3276 + x8075 - x8076 <= 57 e63328: 6.2271 b3277 + x8076 - x8077 <= 57 e63329: 6.2271 b3278 + x8077 - x8078 <= 57 e63330: 6.2271 b3279 + x8078 - x8079 <= 57 e63331: 6.2271 b3280 + x8079 - x8080 <= 57 e63332: 6.2271 b3281 + x8080 - x8081 <= 57 e63333: 6.2271 b3282 + x8081 - x8082 <= 57 e63334: 6.2271 b3283 + x8082 - x8083 <= 57 e63335: 6.2271 b3284 + x8083 - x8084 <= 57 e63336: 6.2271 b3285 + x8084 - x8085 <= 57 e63337: 6.2271 b3286 + x8085 - x8086 <= 57 e63338: 6.2271 b3287 + x8086 - x8087 <= 57 e63339: 6.2271 b3288 + x8087 - x8088 <= 57 e63340: 6.2271 b3289 + x8088 - x8089 <= 57 e63341: 11.308027 b3290 - x8090 <= -27.869937 e63342: 11.308027 b3291 + x8090 - x8091 <= 60 e63343: 11.308027 b3292 + x8091 - x8092 <= 60 e63344: 11.308027 b3293 + x8092 - x8093 <= 60 e63345: 11.308027 b3294 + x8093 - x8094 <= 60 e63346: 11.308027 b3295 + x8094 - x8095 <= 60 e63347: 11.308027 b3296 + x8095 - x8096 <= 60 e63348: 11.308027 b3297 + x8096 - x8097 <= 60 e63349: 11.308027 b3298 + x8097 - x8098 <= 60 e63350: 11.308027 b3299 + x8098 - x8099 <= 60 e63351: 11.308027 b3300 + x8099 - x8100 <= 60 e63352: 11.308027 b3301 + x8100 - x8101 <= 60 e63353: 11.308027 b3302 + x8101 - x8102 <= 60 e63354: 11.308027 b3303 + x8102 - x8103 <= 60 e63355: 11.308027 b3304 + x8103 - x8104 <= 60 e63356: 11.308027 b3305 + x8104 - x8105 <= 60 e63357: 11.308027 b3306 + x8105 - x8106 <= 60 e63358: 11.308027 b3307 + x8106 - x8107 <= 60 e63359: 11.308027 b3308 + x8107 - x8108 <= 60 e63360: 11.308027 b3309 + x8108 - x8109 <= 60 e63361: 11.308027 b3310 + x8109 - x8110 <= 60 e63362: 11.308027 b3311 + x8110 - x8111 <= 60 e63363: 11.308027 b3312 + x8111 - x8112 <= 60 e63364: 11.308027 b3313 + x8112 - x8113 <= 60 e63365: - 14.054286 b3314 - x8114 <= -79.18884 e63366: - 14.054286 b3315 + x8114 - x8115 <= 60 e63367: - 14.054286 b3316 + x8115 - x8116 <= 60 e63368: - 14.054286 b3317 + x8116 - x8117 <= 60 e63369: - 14.054286 b3318 + x8117 - x8118 <= 60 e63370: - 14.054286 b3319 + x8118 - x8119 <= 60 e63371: - 14.054286 b3320 + x8119 - x8120 <= 60 e63372: - 14.054286 b3321 + x8120 - x8121 <= 60 e63373: - 14.054286 b3322 + x8121 - x8122 <= 60 e63374: - 14.054286 b3323 + x8122 - x8123 <= 60 e63375: - 14.054286 b3324 + x8123 - x8124 <= 60 e63376: - 14.054286 b3325 + x8124 - x8125 <= 60 e63377: - 14.054286 b3326 + x8125 - x8126 <= 60 e63378: - 14.054286 b3327 + x8126 - x8127 <= 60 e63379: - 14.054286 b3328 + x8127 - x8128 <= 60 e63380: - 14.054286 b3329 + x8128 - x8129 <= 60 e63381: - 14.054286 b3330 + x8129 - x8130 <= 60 e63382: - 14.054286 b3331 + x8130 - x8131 <= 60 e63383: - 14.054286 b3332 + x8131 - x8132 <= 60 e63384: - 14.054286 b3333 + x8132 - x8133 <= 60 e63385: - 14.054286 b3334 + x8133 - x8134 <= 60 e63386: - 14.054286 b3335 + x8134 - x8135 <= 60 e63387: - 14.054286 b3336 + x8135 - x8136 <= 60 e63388: - 14.054286 b3337 + x8136 - x8137 <= 60 e63389: 19.296159 b3338 - x8138 <= -18.914378 e63390: 19.296159 b3339 + x8138 - x8139 <= 70 e63391: 19.296159 b3340 + x8139 - x8140 <= 70 e63392: 19.296159 b3341 + x8140 - x8141 <= 70 e63393: 19.296159 b3342 + x8141 - x8142 <= 70 e63394: 19.296159 b3343 + x8142 - x8143 <= 70 e63395: 19.296159 b3344 + x8143 - x8144 <= 70 e63396: 19.296159 b3345 + x8144 - x8145 <= 70 e63397: 19.296159 b3346 + x8145 - x8146 <= 70 e63398: 19.296159 b3347 + x8146 - x8147 <= 70 e63399: 19.296159 b3348 + x8147 - x8148 <= 70 e63400: 19.296159 b3349 + x8148 - x8149 <= 70 e63401: 19.296159 b3350 + x8149 - x8150 <= 70 e63402: 19.296159 b3351 + x8150 - x8151 <= 70 e63403: 19.296159 b3352 + x8151 - x8152 <= 70 e63404: 19.296159 b3353 + x8152 - x8153 <= 70 e63405: 19.296159 b3354 + x8153 - x8154 <= 70 e63406: 19.296159 b3355 + x8154 - x8155 <= 70 e63407: 19.296159 b3356 + x8155 - x8156 <= 70 e63408: 19.296159 b3357 + x8156 - x8157 <= 70 e63409: 19.296159 b3358 + x8157 - x8158 <= 70 e63410: 19.296159 b3359 + x8158 - x8159 <= 70 e63411: 19.296159 b3360 + x8159 - x8160 <= 70 e63412: 19.296159 b3361 + x8160 - x8161 <= 70 e63413: 21.576264 b3362 - x8162 <= -43.5893 e63414: 21.576264 b3363 + x8162 - x8163 <= 61 e63415: 21.576264 b3364 + x8163 - x8164 <= 61 e63416: 21.576264 b3365 + x8164 - x8165 <= 61 e63417: 21.576264 b3366 + x8165 - x8166 <= 61 e63418: 21.576264 b3367 + x8166 - x8167 <= 61 e63419: 21.576264 b3368 + x8167 - x8168 <= 61 e63420: 21.576264 b3369 + x8168 - x8169 <= 61 e63421: 21.576264 b3370 + x8169 - x8170 <= 61 e63422: 21.576264 b3371 + x8170 - x8171 <= 61 e63423: 21.576264 b3372 + x8171 - x8172 <= 61 e63424: 21.576264 b3373 + x8172 - x8173 <= 61 e63425: 21.576264 b3374 + x8173 - x8174 <= 61 e63426: 21.576264 b3375 + x8174 - x8175 <= 61 e63427: 21.576264 b3376 + x8175 - x8176 <= 61 e63428: 21.576264 b3377 + x8176 - x8177 <= 61 e63429: 21.576264 b3378 + x8177 - x8178 <= 61 e63430: 21.576264 b3379 + x8178 - x8179 <= 61 e63431: 21.576264 b3380 + x8179 - x8180 <= 61 e63432: 21.576264 b3381 + x8180 - x8181 <= 61 e63433: 21.576264 b3382 + x8181 - x8182 <= 61 e63434: 21.576264 b3383 + x8182 - x8183 <= 61 e63435: 21.576264 b3384 + x8183 - x8184 <= 61 e63436: 21.576264 b3385 + x8184 - x8185 <= 61 e63437: - 1.153615 b3386 - x8186 <= 61 e63438: - 1.153615 b3387 + x8186 - x8187 <= 61 e63439: - 1.153615 b3388 + x8187 - x8188 <= 61 e63440: - 1.153615 b3389 + x8188 - x8189 <= 61 e63441: - 1.153615 b3390 + x8189 - x8190 <= 61 e63442: - 1.153615 b3391 + x8190 - x8191 <= 61 e63443: - 1.153615 b3392 + x8191 - x8192 <= 61 e63444: - 1.153615 b3393 + x8192 - x8193 <= 61 e63445: - 1.153615 b3394 + x8193 - x8194 <= 61 e63446: - 1.153615 b3395 + x8194 - x8195 <= 61 e63447: - 1.153615 b3396 + x8195 - x8196 <= 61 e63448: - 1.153615 b3397 + x8196 - x8197 <= 61 e63449: - 1.153615 b3398 + x8197 - x8198 <= 61 e63450: - 1.153615 b3399 + x8198 - x8199 <= 61 e63451: - 1.153615 b3400 + x8199 - x8200 <= 61 e63452: - 1.153615 b3401 + x8200 - x8201 <= 61 e63453: - 1.153615 b3402 + x8201 - x8202 <= 61 e63454: - 1.153615 b3403 + x8202 - x8203 <= 61 e63455: - 1.153615 b3404 + x8203 - x8204 <= 61 e63456: - 1.153615 b3405 + x8204 - x8205 <= 61 e63457: - 1.153615 b3406 + x8205 - x8206 <= 61 e63458: - 1.153615 b3407 + x8206 - x8207 <= 61 e63459: - 1.153615 b3408 + x8207 - x8208 <= 61 e63460: - 1.153615 b3409 + x8208 - x8209 <= 61 e63461: - 13.733941 b3410 - x8210 <= -34.339312 e63462: - 13.733941 b3411 + x8210 - x8211 <= 50 e63463: - 13.733941 b3412 + x8211 - x8212 <= 50 e63464: - 13.733941 b3413 + x8212 - x8213 <= 50 e63465: - 13.733941 b3414 + x8213 - x8214 <= 50 e63466: - 13.733941 b3415 + x8214 - x8215 <= 50 e63467: - 13.733941 b3416 + x8215 - x8216 <= 50 e63468: - 13.733941 b3417 + x8216 - x8217 <= 50 e63469: - 13.733941 b3418 + x8217 - x8218 <= 50 e63470: - 13.733941 b3419 + x8218 - x8219 <= 50 e63471: - 13.733941 b3420 + x8219 - x8220 <= 50 e63472: - 13.733941 b3421 + x8220 - x8221 <= 50 e63473: - 13.733941 b3422 + x8221 - x8222 <= 50 e63474: - 13.733941 b3423 + x8222 - x8223 <= 50 e63475: - 13.733941 b3424 + x8223 - x8224 <= 50 e63476: - 13.733941 b3425 + x8224 - x8225 <= 50 e63477: - 13.733941 b3426 + x8225 - x8226 <= 50 e63478: - 13.733941 b3427 + x8226 - x8227 <= 50 e63479: - 13.733941 b3428 + x8227 - x8228 <= 50 e63480: - 13.733941 b3429 + x8228 - x8229 <= 50 e63481: - 13.733941 b3430 + x8229 - x8230 <= 50 e63482: - 13.733941 b3431 + x8230 - x8231 <= 50 e63483: - 13.733941 b3432 + x8231 - x8232 <= 50 e63484: - 13.733941 b3433 + x8232 - x8233 <= 50 e63485: - 10.06301 b3434 - x8234 <= -29.529973 e63486: - 10.06301 b3435 + x8234 - x8235 <= 51 e63487: - 10.06301 b3436 + x8235 - x8236 <= 51 e63488: - 10.06301 b3437 + x8236 - x8237 <= 51 e63489: - 10.06301 b3438 + x8237 - x8238 <= 51 e63490: - 10.06301 b3439 + x8238 - x8239 <= 51 e63491: - 10.06301 b3440 + x8239 - x8240 <= 51 e63492: - 10.06301 b3441 + x8240 - x8241 <= 51 e63493: - 10.06301 b3442 + x8241 - x8242 <= 51 e63494: - 10.06301 b3443 + x8242 - x8243 <= 51 e63495: - 10.06301 b3444 + x8243 - x8244 <= 51 e63496: - 10.06301 b3445 + x8244 - x8245 <= 51 e63497: - 10.06301 b3446 + x8245 - x8246 <= 51 e63498: - 10.06301 b3447 + x8246 - x8247 <= 51 e63499: - 10.06301 b3448 + x8247 - x8248 <= 51 e63500: - 10.06301 b3449 + x8248 - x8249 <= 51 e63501: - 10.06301 b3450 + x8249 - x8250 <= 51 e63502: - 10.06301 b3451 + x8250 - x8251 <= 51 e63503: - 10.06301 b3452 + x8251 - x8252 <= 51 e63504: - 10.06301 b3453 + x8252 - x8253 <= 51 e63505: - 10.06301 b3454 + x8253 - x8254 <= 51 e63506: - 10.06301 b3455 + x8254 - x8255 <= 51 e63507: - 10.06301 b3456 + x8255 - x8256 <= 51 e63508: - 10.06301 b3457 + x8256 - x8257 <= 51 e63509: 12.753799 b3458 - x8258 <= 56 e63510: 12.753799 b3459 + x8258 - x8259 <= 56 e63511: 12.753799 b3460 + x8259 - x8260 <= 56 e63512: 12.753799 b3461 + x8260 - x8261 <= 56 e63513: 12.753799 b3462 + x8261 - x8262 <= 56 e63514: 12.753799 b3463 + x8262 - x8263 <= 56 e63515: 12.753799 b3464 + x8263 - x8264 <= 56 e63516: 12.753799 b3465 + x8264 - x8265 <= 56 e63517: 12.753799 b3466 + x8265 - x8266 <= 56 e63518: 12.753799 b3467 + x8266 - x8267 <= 56 e63519: 12.753799 b3468 + x8267 - x8268 <= 56 e63520: 12.753799 b3469 + x8268 - x8269 <= 56 e63521: 12.753799 b3470 + x8269 - x8270 <= 56 e63522: 12.753799 b3471 + x8270 - x8271 <= 56 e63523: 12.753799 b3472 + x8271 - x8272 <= 56 e63524: 12.753799 b3473 + x8272 - x8273 <= 56 e63525: 12.753799 b3474 + x8273 - x8274 <= 56 e63526: 12.753799 b3475 + x8274 - x8275 <= 56 e63527: 12.753799 b3476 + x8275 - x8276 <= 56 e63528: 12.753799 b3477 + x8276 - x8277 <= 56 e63529: 12.753799 b3478 + x8277 - x8278 <= 56 e63530: 12.753799 b3479 + x8278 - x8279 <= 56 e63531: 12.753799 b3480 + x8279 - x8280 <= 56 e63532: 12.753799 b3481 + x8280 - x8281 <= 56 e63533: 5.561848 b3482 - x8282 <= 58 e63534: 5.561848 b3483 + x8282 - x8283 <= 58 e63535: 5.561848 b3484 + x8283 - x8284 <= 58 e63536: 5.561848 b3485 + x8284 - x8285 <= 58 e63537: 5.561848 b3486 + x8285 - x8286 <= 58 e63538: 5.561848 b3487 + x8286 - x8287 <= 58 e63539: 5.561848 b3488 + x8287 - x8288 <= 58 e63540: 5.561848 b3489 + x8288 - x8289 <= 58 e63541: 5.561848 b3490 + x8289 - x8290 <= 58 e63542: 5.561848 b3491 + x8290 - x8291 <= 58 e63543: 5.561848 b3492 + x8291 - x8292 <= 58 e63544: 5.561848 b3493 + x8292 - x8293 <= 58 e63545: 5.561848 b3494 + x8293 - x8294 <= 58 e63546: 5.561848 b3495 + x8294 - x8295 <= 58 e63547: 5.561848 b3496 + x8295 - x8296 <= 58 e63548: 5.561848 b3497 + x8296 - x8297 <= 58 e63549: 5.561848 b3498 + x8297 - x8298 <= 58 e63550: 5.561848 b3499 + x8298 - x8299 <= 58 e63551: 5.561848 b3500 + x8299 - x8300 <= 58 e63552: 5.561848 b3501 + x8300 - x8301 <= 58 e63553: 5.561848 b3502 + x8301 - x8302 <= 58 e63554: 5.561848 b3503 + x8302 - x8303 <= 58 e63555: 5.561848 b3504 + x8303 - x8304 <= 58 e63556: 5.561848 b3505 + x8304 - x8305 <= 58 e63557: - 0.742491 b3506 - x8306 <= 53 e63558: - 0.742491 b3507 + x8306 - x8307 <= 53 e63559: - 0.742491 b3508 + x8307 - x8308 <= 53 e63560: - 0.742491 b3509 + x8308 - x8309 <= 53 e63561: - 0.742491 b3510 + x8309 - x8310 <= 53 e63562: - 0.742491 b3511 + x8310 - x8311 <= 53 e63563: - 0.742491 b3512 + x8311 - x8312 <= 53 e63564: - 0.742491 b3513 + x8312 - x8313 <= 53 e63565: - 0.742491 b3514 + x8313 - x8314 <= 53 e63566: - 0.742491 b3515 + x8314 - x8315 <= 53 e63567: - 0.742491 b3516 + x8315 - x8316 <= 53 e63568: - 0.742491 b3517 + x8316 - x8317 <= 53 e63569: - 0.742491 b3518 + x8317 - x8318 <= 53 e63570: - 0.742491 b3519 + x8318 - x8319 <= 53 e63571: - 0.742491 b3520 + x8319 - x8320 <= 53 e63572: - 0.742491 b3521 + x8320 - x8321 <= 53 e63573: - 0.742491 b3522 + x8321 - x8322 <= 53 e63574: - 0.742491 b3523 + x8322 - x8323 <= 53 e63575: - 0.742491 b3524 + x8323 - x8324 <= 53 e63576: - 0.742491 b3525 + x8324 - x8325 <= 53 e63577: - 0.742491 b3526 + x8325 - x8326 <= 53 e63578: - 0.742491 b3527 + x8326 - x8327 <= 53 e63579: - 0.742491 b3528 + x8327 - x8328 <= 53 e63580: - 0.742491 b3529 + x8328 - x8329 <= 53 e63581: 4.136593 b3530 - x8330 <= 63 e63582: 4.136593 b3531 + x8330 - x8331 <= 63 e63583: 4.136593 b3532 + x8331 - x8332 <= 63 e63584: 4.136593 b3533 + x8332 - x8333 <= 63 e63585: 4.136593 b3534 + x8333 - x8334 <= 63 e63586: 4.136593 b3535 + x8334 - x8335 <= 63 e63587: 4.136593 b3536 + x8335 - x8336 <= 63 e63588: 4.136593 b3537 + x8336 - x8337 <= 63 e63589: 4.136593 b3538 + x8337 - x8338 <= 63 e63590: 4.136593 b3539 + x8338 - x8339 <= 63 e63591: 4.136593 b3540 + x8339 - x8340 <= 63 e63592: 4.136593 b3541 + x8340 - x8341 <= 63 e63593: 4.136593 b3542 + x8341 - x8342 <= 63 e63594: 4.136593 b3543 + x8342 - x8343 <= 63 e63595: 4.136593 b3544 + x8343 - x8344 <= 63 e63596: 4.136593 b3545 + x8344 - x8345 <= 63 e63597: 4.136593 b3546 + x8345 - x8346 <= 63 e63598: 4.136593 b3547 + x8346 - x8347 <= 63 e63599: 4.136593 b3548 + x8347 - x8348 <= 63 e63600: 4.136593 b3549 + x8348 - x8349 <= 63 e63601: 4.136593 b3550 + x8349 - x8350 <= 63 e63602: 4.136593 b3551 + x8350 - x8351 <= 63 e63603: 4.136593 b3552 + x8351 - x8352 <= 63 e63604: 4.136593 b3553 + x8352 - x8353 <= 63 e63605: 12.362318 b3554 - x8354 <= 65 e63606: 12.362318 b3555 + x8354 - x8355 <= 65 e63607: 12.362318 b3556 + x8355 - x8356 <= 65 e63608: 12.362318 b3557 + x8356 - x8357 <= 65 e63609: 12.362318 b3558 + x8357 - x8358 <= 65 e63610: 12.362318 b3559 + x8358 - x8359 <= 65 e63611: 12.362318 b3560 + x8359 - x8360 <= 65 e63612: 12.362318 b3561 + x8360 - x8361 <= 65 e63613: 12.362318 b3562 + x8361 - x8362 <= 65 e63614: 12.362318 b3563 + x8362 - x8363 <= 65 e63615: 12.362318 b3564 + x8363 - x8364 <= 65 e63616: 12.362318 b3565 + x8364 - x8365 <= 65 e63617: 12.362318 b3566 + x8365 - x8366 <= 65 e63618: 12.362318 b3567 + x8366 - x8367 <= 65 e63619: 12.362318 b3568 + x8367 - x8368 <= 65 e63620: 12.362318 b3569 + x8368 - x8369 <= 65 e63621: 12.362318 b3570 + x8369 - x8370 <= 65 e63622: 12.362318 b3571 + x8370 - x8371 <= 65 e63623: 12.362318 b3572 + x8371 - x8372 <= 65 e63624: 12.362318 b3573 + x8372 - x8373 <= 65 e63625: 12.362318 b3574 + x8373 - x8374 <= 65 e63626: 12.362318 b3575 + x8374 - x8375 <= 65 e63627: 12.362318 b3576 + x8375 - x8376 <= 65 e63628: 12.362318 b3577 + x8376 - x8377 <= 65 e63629: - 11.384594 b3578 - x8378 <= -133.576319 e63630: - 11.384594 b3579 + x8378 - x8379 <= 57 e63631: - 11.384594 b3580 + x8379 - x8380 <= 57 e63632: - 11.384594 b3581 + x8380 - x8381 <= 57 e63633: - 11.384594 b3582 + x8381 - x8382 <= 57 e63634: - 11.384594 b3583 + x8382 - x8383 <= 57 e63635: - 11.384594 b3584 + x8383 - x8384 <= 57 e63636: - 11.384594 b3585 + x8384 - x8385 <= 57 e63637: - 11.384594 b3586 + x8385 - x8386 <= 57 e63638: - 11.384594 b3587 + x8386 - x8387 <= 57 e63639: - 11.384594 b3588 + x8387 - x8388 <= 57 e63640: - 11.384594 b3589 + x8388 - x8389 <= 57 e63641: - 11.384594 b3590 + x8389 - x8390 <= 57 e63642: - 11.384594 b3591 + x8390 - x8391 <= 57 e63643: - 11.384594 b3592 + x8391 - x8392 <= 57 e63644: - 11.384594 b3593 + x8392 - x8393 <= 57 e63645: - 11.384594 b3594 + x8393 - x8394 <= 57 e63646: - 11.384594 b3595 + x8394 - x8395 <= 57 e63647: - 11.384594 b3596 + x8395 - x8396 <= 57 e63648: - 11.384594 b3597 + x8396 - x8397 <= 57 e63649: - 11.384594 b3598 + x8397 - x8398 <= 57 e63650: - 11.384594 b3599 + x8398 - x8399 <= 57 e63651: - 11.384594 b3600 + x8399 - x8400 <= 57 e63652: - 11.384594 b3601 + x8400 - x8401 <= 57 e63653: - 13.346779 b3602 - x8402 <= 52 e63654: - 13.346779 b3603 + x8402 - x8403 <= 52 e63655: - 13.346779 b3604 + x8403 - x8404 <= 52 e63656: - 13.346779 b3605 + x8404 - x8405 <= 52 e63657: - 13.346779 b3606 + x8405 - x8406 <= 52 e63658: - 13.346779 b3607 + x8406 - x8407 <= 52 e63659: - 13.346779 b3608 + x8407 - x8408 <= 52 e63660: - 13.346779 b3609 + x8408 - x8409 <= 52 e63661: - 13.346779 b3610 + x8409 - x8410 <= 52 e63662: - 13.346779 b3611 + x8410 - x8411 <= 52 e63663: - 13.346779 b3612 + x8411 - x8412 <= 52 e63664: - 13.346779 b3613 + x8412 - x8413 <= 52 e63665: - 13.346779 b3614 + x8413 - x8414 <= 52 e63666: - 13.346779 b3615 + x8414 - x8415 <= 52 e63667: - 13.346779 b3616 + x8415 - x8416 <= 52 e63668: - 13.346779 b3617 + x8416 - x8417 <= 52 e63669: - 13.346779 b3618 + x8417 - x8418 <= 52 e63670: - 13.346779 b3619 + x8418 - x8419 <= 52 e63671: - 13.346779 b3620 + x8419 - x8420 <= 52 e63672: - 13.346779 b3621 + x8420 - x8421 <= 52 e63673: - 13.346779 b3622 + x8421 - x8422 <= 52 e63674: - 13.346779 b3623 + x8422 - x8423 <= 52 e63675: - 13.346779 b3624 + x8423 - x8424 <= 52 e63676: - 13.346779 b3625 + x8424 - x8425 <= 52 e63677: - 21.083162 b3626 - x8426 <= -87.917935 e63678: - 21.083162 b3627 + x8426 - x8427 <= 54 e63679: - 21.083162 b3628 + x8427 - x8428 <= 54 e63680: - 21.083162 b3629 + x8428 - x8429 <= 54 e63681: - 21.083162 b3630 + x8429 - x8430 <= 54 e63682: - 21.083162 b3631 + x8430 - x8431 <= 54 e63683: - 21.083162 b3632 + x8431 - x8432 <= 54 e63684: - 21.083162 b3633 + x8432 - x8433 <= 54 e63685: - 21.083162 b3634 + x8433 - x8434 <= 54 e63686: - 21.083162 b3635 + x8434 - x8435 <= 54 e63687: - 21.083162 b3636 + x8435 - x8436 <= 54 e63688: - 21.083162 b3637 + x8436 - x8437 <= 54 e63689: - 21.083162 b3638 + x8437 - x8438 <= 54 e63690: - 21.083162 b3639 + x8438 - x8439 <= 54 e63691: - 21.083162 b3640 + x8439 - x8440 <= 54 e63692: - 21.083162 b3641 + x8440 - x8441 <= 54 e63693: - 21.083162 b3642 + x8441 - x8442 <= 54 e63694: - 21.083162 b3643 + x8442 - x8443 <= 54 e63695: - 21.083162 b3644 + x8443 - x8444 <= 54 e63696: - 21.083162 b3645 + x8444 - x8445 <= 54 e63697: - 21.083162 b3646 + x8445 - x8446 <= 54 e63698: - 21.083162 b3647 + x8446 - x8447 <= 54 e63699: - 21.083162 b3648 + x8447 - x8448 <= 54 e63700: - 21.083162 b3649 + x8448 - x8449 <= 54 e63701: - 19.285266 b3650 - x8450 <= -53.63006 e63702: - 19.285266 b3651 + x8450 - x8451 <= 53 e63703: - 19.285266 b3652 + x8451 - x8452 <= 53 e63704: - 19.285266 b3653 + x8452 - x8453 <= 53 e63705: - 19.285266 b3654 + x8453 - x8454 <= 53 e63706: - 19.285266 b3655 + x8454 - x8455 <= 53 e63707: - 19.285266 b3656 + x8455 - x8456 <= 53 e63708: - 19.285266 b3657 + x8456 - x8457 <= 53 e63709: - 19.285266 b3658 + x8457 - x8458 <= 53 e63710: - 19.285266 b3659 + x8458 - x8459 <= 53 e63711: - 19.285266 b3660 + x8459 - x8460 <= 53 e63712: - 19.285266 b3661 + x8460 - x8461 <= 53 e63713: - 19.285266 b3662 + x8461 - x8462 <= 53 e63714: - 19.285266 b3663 + x8462 - x8463 <= 53 e63715: - 19.285266 b3664 + x8463 - x8464 <= 53 e63716: - 19.285266 b3665 + x8464 - x8465 <= 53 e63717: - 19.285266 b3666 + x8465 - x8466 <= 53 e63718: - 19.285266 b3667 + x8466 - x8467 <= 53 e63719: - 19.285266 b3668 + x8467 - x8468 <= 53 e63720: - 19.285266 b3669 + x8468 - x8469 <= 53 e63721: - 19.285266 b3670 + x8469 - x8470 <= 53 e63722: - 19.285266 b3671 + x8470 - x8471 <= 53 e63723: - 19.285266 b3672 + x8471 - x8472 <= 53 e63724: - 19.285266 b3673 + x8472 - x8473 <= 53 e63725: 8.843093 b3674 - x8474 <= -44.874057 e63726: 8.843093 b3675 + x8474 - x8475 <= 59 e63727: 8.843093 b3676 + x8475 - x8476 <= 59 e63728: 8.843093 b3677 + x8476 - x8477 <= 59 e63729: 8.843093 b3678 + x8477 - x8478 <= 59 e63730: 8.843093 b3679 + x8478 - x8479 <= 59 e63731: 8.843093 b3680 + x8479 - x8480 <= 59 e63732: 8.843093 b3681 + x8480 - x8481 <= 59 e63733: 8.843093 b3682 + x8481 - x8482 <= 59 e63734: 8.843093 b3683 + x8482 - x8483 <= 59 e63735: 8.843093 b3684 + x8483 - x8484 <= 59 e63736: 8.843093 b3685 + x8484 - x8485 <= 59 e63737: 8.843093 b3686 + x8485 - x8486 <= 59 e63738: 8.843093 b3687 + x8486 - x8487 <= 59 e63739: 8.843093 b3688 + x8487 - x8488 <= 59 e63740: 8.843093 b3689 + x8488 - x8489 <= 59 e63741: 8.843093 b3690 + x8489 - x8490 <= 59 e63742: 8.843093 b3691 + x8490 - x8491 <= 59 e63743: 8.843093 b3692 + x8491 - x8492 <= 59 e63744: 8.843093 b3693 + x8492 - x8493 <= 59 e63745: 8.843093 b3694 + x8493 - x8494 <= 59 e63746: 8.843093 b3695 + x8494 - x8495 <= 59 e63747: 8.843093 b3696 + x8495 - x8496 <= 59 e63748: 8.843093 b3697 + x8496 - x8497 <= 59 e63749: - 14.341202 b3698 - x8498 <= 51 e63750: - 14.341202 b3699 + x8498 - x8499 <= 51 e63751: - 14.341202 b3700 + x8499 - x8500 <= 51 e63752: - 14.341202 b3701 + x8500 - x8501 <= 51 e63753: - 14.341202 b3702 + x8501 - x8502 <= 51 e63754: - 14.341202 b3703 + x8502 - x8503 <= 51 e63755: - 14.341202 b3704 + x8503 - x8504 <= 51 e63756: - 14.341202 b3705 + x8504 - x8505 <= 51 e63757: - 14.341202 b3706 + x8505 - x8506 <= 51 e63758: - 14.341202 b3707 + x8506 - x8507 <= 51 e63759: - 14.341202 b3708 + x8507 - x8508 <= 51 e63760: - 14.341202 b3709 + x8508 - x8509 <= 51 e63761: - 14.341202 b3710 + x8509 - x8510 <= 51 e63762: - 14.341202 b3711 + x8510 - x8511 <= 51 e63763: - 14.341202 b3712 + x8511 - x8512 <= 51 e63764: - 14.341202 b3713 + x8512 - x8513 <= 51 e63765: - 14.341202 b3714 + x8513 - x8514 <= 51 e63766: - 14.341202 b3715 + x8514 - x8515 <= 51 e63767: - 14.341202 b3716 + x8515 - x8516 <= 51 e63768: - 14.341202 b3717 + x8516 - x8517 <= 51 e63769: - 14.341202 b3718 + x8517 - x8518 <= 51 e63770: - 14.341202 b3719 + x8518 - x8519 <= 51 e63771: - 14.341202 b3720 + x8519 - x8520 <= 51 e63772: - 14.341202 b3721 + x8520 - x8521 <= 51 e63773: 8.915757 b3722 - x8522 <= 62 e63774: 8.915757 b3723 + x8522 - x8523 <= 62 e63775: 8.915757 b3724 + x8523 - x8524 <= 62 e63776: 8.915757 b3725 + x8524 - x8525 <= 62 e63777: 8.915757 b3726 + x8525 - x8526 <= 62 e63778: 8.915757 b3727 + x8526 - x8527 <= 62 e63779: 8.915757 b3728 + x8527 - x8528 <= 62 e63780: 8.915757 b3729 + x8528 - x8529 <= 62 e63781: 8.915757 b3730 + x8529 - x8530 <= 62 e63782: 8.915757 b3731 + x8530 - x8531 <= 62 e63783: 8.915757 b3732 + x8531 - x8532 <= 62 e63784: 8.915757 b3733 + x8532 - x8533 <= 62 e63785: 8.915757 b3734 + x8533 - x8534 <= 62 e63786: 8.915757 b3735 + x8534 - x8535 <= 62 e63787: 8.915757 b3736 + x8535 - x8536 <= 62 e63788: 8.915757 b3737 + x8536 - x8537 <= 62 e63789: 8.915757 b3738 + x8537 - x8538 <= 62 e63790: 8.915757 b3739 + x8538 - x8539 <= 62 e63791: 8.915757 b3740 + x8539 - x8540 <= 62 e63792: 8.915757 b3741 + x8540 - x8541 <= 62 e63793: 8.915757 b3742 + x8541 - x8542 <= 62 e63794: 8.915757 b3743 + x8542 - x8543 <= 62 e63795: 8.915757 b3744 + x8543 - x8544 <= 62 e63796: 8.915757 b3745 + x8544 - x8545 <= 62 e63797: 9.113373 b3746 - x8546 <= -43.617741 e63798: 9.113373 b3747 + x8546 - x8547 <= 55 e63799: 9.113373 b3748 + x8547 - x8548 <= 55 e63800: 9.113373 b3749 + x8548 - x8549 <= 55 e63801: 9.113373 b3750 + x8549 - x8550 <= 55 e63802: 9.113373 b3751 + x8550 - x8551 <= 55 e63803: 9.113373 b3752 + x8551 - x8552 <= 55 e63804: 9.113373 b3753 + x8552 - x8553 <= 55 e63805: 9.113373 b3754 + x8553 - x8554 <= 55 e63806: 9.113373 b3755 + x8554 - x8555 <= 55 e63807: 9.113373 b3756 + x8555 - x8556 <= 55 e63808: 9.113373 b3757 + x8556 - x8557 <= 55 e63809: 9.113373 b3758 + x8557 - x8558 <= 55 e63810: 9.113373 b3759 + x8558 - x8559 <= 55 e63811: 9.113373 b3760 + x8559 - x8560 <= 55 e63812: 9.113373 b3761 + x8560 - x8561 <= 55 e63813: 9.113373 b3762 + x8561 - x8562 <= 55 e63814: 9.113373 b3763 + x8562 - x8563 <= 55 e63815: 9.113373 b3764 + x8563 - x8564 <= 55 e63816: 9.113373 b3765 + x8564 - x8565 <= 55 e63817: 9.113373 b3766 + x8565 - x8566 <= 55 e63818: 9.113373 b3767 + x8566 - x8567 <= 55 e63819: 9.113373 b3768 + x8567 - x8568 <= 55 e63820: 9.113373 b3769 + x8568 - x8569 <= 55 e63821: - 4.171135 b3770 - x8570 <= 51 e63822: - 4.171135 b3771 + x8570 - x8571 <= 51 e63823: - 4.171135 b3772 + x8571 - x8572 <= 51 e63824: - 4.171135 b3773 + x8572 - x8573 <= 51 e63825: - 4.171135 b3774 + x8573 - x8574 <= 51 e63826: - 4.171135 b3775 + x8574 - x8575 <= 51 e63827: - 4.171135 b3776 + x8575 - x8576 <= 51 e63828: - 4.171135 b3777 + x8576 - x8577 <= 51 e63829: - 4.171135 b3778 + x8577 - x8578 <= 51 e63830: - 4.171135 b3779 + x8578 - x8579 <= 51 e63831: - 4.171135 b3780 + x8579 - x8580 <= 51 e63832: - 4.171135 b3781 + x8580 - x8581 <= 51 e63833: - 4.171135 b3782 + x8581 - x8582 <= 51 e63834: - 4.171135 b3783 + x8582 - x8583 <= 51 e63835: - 4.171135 b3784 + x8583 - x8584 <= 51 e63836: - 4.171135 b3785 + x8584 - x8585 <= 51 e63837: - 4.171135 b3786 + x8585 - x8586 <= 51 e63838: - 4.171135 b3787 + x8586 - x8587 <= 51 e63839: - 4.171135 b3788 + x8587 - x8588 <= 51 e63840: - 4.171135 b3789 + x8588 - x8589 <= 51 e63841: - 4.171135 b3790 + x8589 - x8590 <= 51 e63842: - 4.171135 b3791 + x8590 - x8591 <= 51 e63843: - 4.171135 b3792 + x8591 - x8592 <= 51 e63844: - 4.171135 b3793 + x8592 - x8593 <= 51 e63845: - 6.275093 b3794 - x8594 <= 53 e63846: - 6.275093 b3795 + x8594 - x8595 <= 53 e63847: - 6.275093 b3796 + x8595 - x8596 <= 53 e63848: - 6.275093 b3797 + x8596 - x8597 <= 53 e63849: - 6.275093 b3798 + x8597 - x8598 <= 53 e63850: - 6.275093 b3799 + x8598 - x8599 <= 53 e63851: - 6.275093 b3800 + x8599 - x8600 <= 53 e63852: - 6.275093 b3801 + x8600 - x8601 <= 53 e63853: - 6.275093 b3802 + x8601 - x8602 <= 53 e63854: - 6.275093 b3803 + x8602 - x8603 <= 53 e63855: - 6.275093 b3804 + x8603 - x8604 <= 53 e63856: - 6.275093 b3805 + x8604 - x8605 <= 53 e63857: - 6.275093 b3806 + x8605 - x8606 <= 53 e63858: - 6.275093 b3807 + x8606 - x8607 <= 53 e63859: - 6.275093 b3808 + x8607 - x8608 <= 53 e63860: - 6.275093 b3809 + x8608 - x8609 <= 53 e63861: - 6.275093 b3810 + x8609 - x8610 <= 53 e63862: - 6.275093 b3811 + x8610 - x8611 <= 53 e63863: - 6.275093 b3812 + x8611 - x8612 <= 53 e63864: - 6.275093 b3813 + x8612 - x8613 <= 53 e63865: - 6.275093 b3814 + x8613 - x8614 <= 53 e63866: - 6.275093 b3815 + x8614 - x8615 <= 53 e63867: - 6.275093 b3816 + x8615 - x8616 <= 53 e63868: - 6.275093 b3817 + x8616 - x8617 <= 53 e63869: - 9.908092 b3818 - x8618 <= -113.299899 e63870: - 9.908092 b3819 + x8618 - x8619 <= 53 e63871: - 9.908092 b3820 + x8619 - x8620 <= 53 e63872: - 9.908092 b3821 + x8620 - x8621 <= 53 e63873: - 9.908092 b3822 + x8621 - x8622 <= 53 e63874: - 9.908092 b3823 + x8622 - x8623 <= 53 e63875: - 9.908092 b3824 + x8623 - x8624 <= 53 e63876: - 9.908092 b3825 + x8624 - x8625 <= 53 e63877: - 9.908092 b3826 + x8625 - x8626 <= 53 e63878: - 9.908092 b3827 + x8626 - x8627 <= 53 e63879: - 9.908092 b3828 + x8627 - x8628 <= 53 e63880: - 9.908092 b3829 + x8628 - x8629 <= 53 e63881: - 9.908092 b3830 + x8629 - x8630 <= 53 e63882: - 9.908092 b3831 + x8630 - x8631 <= 53 e63883: - 9.908092 b3832 + x8631 - x8632 <= 53 e63884: - 9.908092 b3833 + x8632 - x8633 <= 53 e63885: - 9.908092 b3834 + x8633 - x8634 <= 53 e63886: - 9.908092 b3835 + x8634 - x8635 <= 53 e63887: - 9.908092 b3836 + x8635 - x8636 <= 53 e63888: - 9.908092 b3837 + x8636 - x8637 <= 53 e63889: - 9.908092 b3838 + x8637 - x8638 <= 53 e63890: - 9.908092 b3839 + x8638 - x8639 <= 53 e63891: - 9.908092 b3840 + x8639 - x8640 <= 53 e63892: - 9.908092 b3841 + x8640 - x8641 <= 53 e63893: 17.937915 b3842 - x8642 <= 67 e63894: 17.937915 b3843 + x8642 - x8643 <= 67 e63895: 17.937915 b3844 + x8643 - x8644 <= 67 e63896: 17.937915 b3845 + x8644 - x8645 <= 67 e63897: 17.937915 b3846 + x8645 - x8646 <= 67 e63898: 17.937915 b3847 + x8646 - x8647 <= 67 e63899: 17.937915 b3848 + x8647 - x8648 <= 67 e63900: 17.937915 b3849 + x8648 - x8649 <= 67 e63901: 17.937915 b3850 + x8649 - x8650 <= 67 e63902: 17.937915 b3851 + x8650 - x8651 <= 67 e63903: 17.937915 b3852 + x8651 - x8652 <= 67 e63904: 17.937915 b3853 + x8652 - x8653 <= 67 e63905: 17.937915 b3854 + x8653 - x8654 <= 67 e63906: 17.937915 b3855 + x8654 - x8655 <= 67 e63907: 17.937915 b3856 + x8655 - x8656 <= 67 e63908: 17.937915 b3857 + x8656 - x8657 <= 67 e63909: 17.937915 b3858 + x8657 - x8658 <= 67 e63910: 17.937915 b3859 + x8658 - x8659 <= 67 e63911: 17.937915 b3860 + x8659 - x8660 <= 67 e63912: 17.937915 b3861 + x8660 - x8661 <= 67 e63913: 17.937915 b3862 + x8661 - x8662 <= 67 e63914: 17.937915 b3863 + x8662 - x8663 <= 67 e63915: 17.937915 b3864 + x8663 - x8664 <= 67 e63916: 17.937915 b3865 + x8664 - x8665 <= 67 e63917: - 18.917691 b3866 - x8666 <= 55 e63918: - 18.917691 b3867 + x8666 - x8667 <= 55 e63919: - 18.917691 b3868 + x8667 - x8668 <= 55 e63920: - 18.917691 b3869 + x8668 - x8669 <= 55 e63921: - 18.917691 b3870 + x8669 - x8670 <= 55 e63922: - 18.917691 b3871 + x8670 - x8671 <= 55 e63923: - 18.917691 b3872 + x8671 - x8672 <= 55 e63924: - 18.917691 b3873 + x8672 - x8673 <= 55 e63925: - 18.917691 b3874 + x8673 - x8674 <= 55 e63926: - 18.917691 b3875 + x8674 - x8675 <= 55 e63927: - 18.917691 b3876 + x8675 - x8676 <= 55 e63928: - 18.917691 b3877 + x8676 - x8677 <= 55 e63929: - 18.917691 b3878 + x8677 - x8678 <= 55 e63930: - 18.917691 b3879 + x8678 - x8679 <= 55 e63931: - 18.917691 b3880 + x8679 - x8680 <= 55 e63932: - 18.917691 b3881 + x8680 - x8681 <= 55 e63933: - 18.917691 b3882 + x8681 - x8682 <= 55 e63934: - 18.917691 b3883 + x8682 - x8683 <= 55 e63935: - 18.917691 b3884 + x8683 - x8684 <= 55 e63936: - 18.917691 b3885 + x8684 - x8685 <= 55 e63937: - 18.917691 b3886 + x8685 - x8686 <= 55 e63938: - 18.917691 b3887 + x8686 - x8687 <= 55 e63939: - 18.917691 b3888 + x8687 - x8688 <= 55 e63940: - 18.917691 b3889 + x8688 - x8689 <= 55 e63941: 10.401408 b3890 - x8690 <= 65 e63942: 10.401408 b3891 + x8690 - x8691 <= 65 e63943: 10.401408 b3892 + x8691 - x8692 <= 65 e63944: 10.401408 b3893 + x8692 - x8693 <= 65 e63945: 10.401408 b3894 + x8693 - x8694 <= 65 e63946: 10.401408 b3895 + x8694 - x8695 <= 65 e63947: 10.401408 b3896 + x8695 - x8696 <= 65 e63948: 10.401408 b3897 + x8696 - x8697 <= 65 e63949: 10.401408 b3898 + x8697 - x8698 <= 65 e63950: 10.401408 b3899 + x8698 - x8699 <= 65 e63951: 10.401408 b3900 + x8699 - x8700 <= 65 e63952: 10.401408 b3901 + x8700 - x8701 <= 65 e63953: 10.401408 b3902 + x8701 - x8702 <= 65 e63954: 10.401408 b3903 + x8702 - x8703 <= 65 e63955: 10.401408 b3904 + x8703 - x8704 <= 65 e63956: 10.401408 b3905 + x8704 - x8705 <= 65 e63957: 10.401408 b3906 + x8705 - x8706 <= 65 e63958: 10.401408 b3907 + x8706 - x8707 <= 65 e63959: 10.401408 b3908 + x8707 - x8708 <= 65 e63960: 10.401408 b3909 + x8708 - x8709 <= 65 e63961: 10.401408 b3910 + x8709 - x8710 <= 65 e63962: 10.401408 b3911 + x8710 - x8711 <= 65 e63963: 10.401408 b3912 + x8711 - x8712 <= 65 e63964: 10.401408 b3913 + x8712 - x8713 <= 65 e63965: 2.23173 b3914 - x8714 <= -21.675305 e63966: 2.23173 b3915 + x8714 - x8715 <= 58 e63967: 2.23173 b3916 + x8715 - x8716 <= 58 e63968: 2.23173 b3917 + x8716 - x8717 <= 58 e63969: 2.23173 b3918 + x8717 - x8718 <= 58 e63970: 2.23173 b3919 + x8718 - x8719 <= 58 e63971: 2.23173 b3920 + x8719 - x8720 <= 58 e63972: 2.23173 b3921 + x8720 - x8721 <= 58 e63973: 2.23173 b3922 + x8721 - x8722 <= 58 e63974: 2.23173 b3923 + x8722 - x8723 <= 58 e63975: 2.23173 b3924 + x8723 - x8724 <= 58 e63976: 2.23173 b3925 + x8724 - x8725 <= 58 e63977: 2.23173 b3926 + x8725 - x8726 <= 58 e63978: 2.23173 b3927 + x8726 - x8727 <= 58 e63979: 2.23173 b3928 + x8727 - x8728 <= 58 e63980: 2.23173 b3929 + x8728 - x8729 <= 58 e63981: 2.23173 b3930 + x8729 - x8730 <= 58 e63982: 2.23173 b3931 + x8730 - x8731 <= 58 e63983: 2.23173 b3932 + x8731 - x8732 <= 58 e63984: 2.23173 b3933 + x8732 - x8733 <= 58 e63985: 2.23173 b3934 + x8733 - x8734 <= 58 e63986: 2.23173 b3935 + x8734 - x8735 <= 58 e63987: 2.23173 b3936 + x8735 - x8736 <= 58 e63988: 2.23173 b3937 + x8736 - x8737 <= 58 e63989: - 6.390867 b3938 - x8738 <= 62 e63990: - 6.390867 b3939 + x8738 - x8739 <= 62 e63991: - 6.390867 b3940 + x8739 - x8740 <= 62 e63992: - 6.390867 b3941 + x8740 - x8741 <= 62 e63993: - 6.390867 b3942 + x8741 - x8742 <= 62 e63994: - 6.390867 b3943 + x8742 - x8743 <= 62 e63995: - 6.390867 b3944 + x8743 - x8744 <= 62 e63996: - 6.390867 b3945 + x8744 - x8745 <= 62 e63997: - 6.390867 b3946 + x8745 - x8746 <= 62 e63998: - 6.390867 b3947 + x8746 - x8747 <= 62 e63999: - 6.390867 b3948 + x8747 - x8748 <= 62 e64000: - 6.390867 b3949 + x8748 - x8749 <= 62 e64001: - 6.390867 b3950 + x8749 - x8750 <= 62 e64002: - 6.390867 b3951 + x8750 - x8751 <= 62 e64003: - 6.390867 b3952 + x8751 - x8752 <= 62 e64004: - 6.390867 b3953 + x8752 - x8753 <= 62 e64005: - 6.390867 b3954 + x8753 - x8754 <= 62 e64006: - 6.390867 b3955 + x8754 - x8755 <= 62 e64007: - 6.390867 b3956 + x8755 - x8756 <= 62 e64008: - 6.390867 b3957 + x8756 - x8757 <= 62 e64009: - 6.390867 b3958 + x8757 - x8758 <= 62 e64010: - 6.390867 b3959 + x8758 - x8759 <= 62 e64011: - 6.390867 b3960 + x8759 - x8760 <= 62 e64012: - 6.390867 b3961 + x8760 - x8761 <= 62 e64013: 28.919557 b3962 - x8762 <= -24.628918 e64014: 28.919557 b3963 + x8762 - x8763 <= 67 e64015: 28.919557 b3964 + x8763 - x8764 <= 67 e64016: 28.919557 b3965 + x8764 - x8765 <= 67 e64017: 28.919557 b3966 + x8765 - x8766 <= 67 e64018: 28.919557 b3967 + x8766 - x8767 <= 67 e64019: 28.919557 b3968 + x8767 - x8768 <= 67 e64020: 28.919557 b3969 + x8768 - x8769 <= 67 e64021: 28.919557 b3970 + x8769 - x8770 <= 67 e64022: 28.919557 b3971 + x8770 - x8771 <= 67 e64023: 28.919557 b3972 + x8771 - x8772 <= 67 e64024: 28.919557 b3973 + x8772 - x8773 <= 67 e64025: 28.919557 b3974 + x8773 - x8774 <= 67 e64026: 28.919557 b3975 + x8774 - x8775 <= 67 e64027: 28.919557 b3976 + x8775 - x8776 <= 67 e64028: 28.919557 b3977 + x8776 - x8777 <= 67 e64029: 28.919557 b3978 + x8777 - x8778 <= 67 e64030: 28.919557 b3979 + x8778 - x8779 <= 67 e64031: 28.919557 b3980 + x8779 - x8780 <= 67 e64032: 28.919557 b3981 + x8780 - x8781 <= 67 e64033: 28.919557 b3982 + x8781 - x8782 <= 67 e64034: 28.919557 b3983 + x8782 - x8783 <= 67 e64035: 28.919557 b3984 + x8783 - x8784 <= 67 e64036: 28.919557 b3985 + x8784 - x8785 <= 67 e64037: - 18.304911 b3986 - x8786 <= 51 e64038: - 18.304911 b3987 + x8786 - x8787 <= 51 e64039: - 18.304911 b3988 + x8787 - x8788 <= 51 e64040: - 18.304911 b3989 + x8788 - x8789 <= 51 e64041: - 18.304911 b3990 + x8789 - x8790 <= 51 e64042: - 18.304911 b3991 + x8790 - x8791 <= 51 e64043: - 18.304911 b3992 + x8791 - x8792 <= 51 e64044: - 18.304911 b3993 + x8792 - x8793 <= 51 e64045: - 18.304911 b3994 + x8793 - x8794 <= 51 e64046: - 18.304911 b3995 + x8794 - x8795 <= 51 e64047: - 18.304911 b3996 + x8795 - x8796 <= 51 e64048: - 18.304911 b3997 + x8796 - x8797 <= 51 e64049: - 18.304911 b3998 + x8797 - x8798 <= 51 e64050: - 18.304911 b3999 + x8798 - x8799 <= 51 e64051: - 18.304911 b4000 + x8799 - x8800 <= 51 e64052: - 18.304911 b4001 + x8800 - x8801 <= 51 e64053: - 18.304911 b4002 + x8801 - x8802 <= 51 e64054: - 18.304911 b4003 + x8802 - x8803 <= 51 e64055: - 18.304911 b4004 + x8803 - x8804 <= 51 e64056: - 18.304911 b4005 + x8804 - x8805 <= 51 e64057: - 18.304911 b4006 + x8805 - x8806 <= 51 e64058: - 18.304911 b4007 + x8806 - x8807 <= 51 e64059: - 18.304911 b4008 + x8807 - x8808 <= 51 e64060: - 18.304911 b4009 + x8808 - x8809 <= 51 e64061: 15.526289 b4010 - x8810 <= -136.673933 e64062: 15.526289 b4011 + x8810 - x8811 <= 89 e64063: 15.526289 b4012 + x8811 - x8812 <= 89 e64064: 15.526289 b4013 + x8812 - x8813 <= 89 e64065: 15.526289 b4014 + x8813 - x8814 <= 89 e64066: 15.526289 b4015 + x8814 - x8815 <= 89 e64067: 15.526289 b4016 + x8815 - x8816 <= 89 e64068: 15.526289 b4017 + x8816 - x8817 <= 89 e64069: 15.526289 b4018 + x8817 - x8818 <= 89 e64070: 15.526289 b4019 + x8818 - x8819 <= 89 e64071: 15.526289 b4020 + x8819 - x8820 <= 89 e64072: 15.526289 b4021 + x8820 - x8821 <= 89 e64073: 15.526289 b4022 + x8821 - x8822 <= 89 e64074: 15.526289 b4023 + x8822 - x8823 <= 89 e64075: 15.526289 b4024 + x8823 - x8824 <= 89 e64076: 15.526289 b4025 + x8824 - x8825 <= 89 e64077: 15.526289 b4026 + x8825 - x8826 <= 89 e64078: 15.526289 b4027 + x8826 - x8827 <= 89 e64079: 15.526289 b4028 + x8827 - x8828 <= 89 e64080: 15.526289 b4029 + x8828 - x8829 <= 89 e64081: 15.526289 b4030 + x8829 - x8830 <= 89 e64082: 15.526289 b4031 + x8830 - x8831 <= 89 e64083: 15.526289 b4032 + x8831 - x8832 <= 89 e64084: 15.526289 b4033 + x8832 - x8833 <= 89 e64085: - 25.261051 b4034 - x8834 <= -102.344242 e64086: - 25.261051 b4035 + x8834 - x8835 <= 79 e64087: - 25.261051 b4036 + x8835 - x8836 <= 79 e64088: - 25.261051 b4037 + x8836 - x8837 <= 79 e64089: - 25.261051 b4038 + x8837 - x8838 <= 79 e64090: - 25.261051 b4039 + x8838 - x8839 <= 79 e64091: - 25.261051 b4040 + x8839 - x8840 <= 79 e64092: - 25.261051 b4041 + x8840 - x8841 <= 79 e64093: - 25.261051 b4042 + x8841 - x8842 <= 79 e64094: - 25.261051 b4043 + x8842 - x8843 <= 79 e64095: - 25.261051 b4044 + x8843 - x8844 <= 79 e64096: - 25.261051 b4045 + x8844 - x8845 <= 79 e64097: - 25.261051 b4046 + x8845 - x8846 <= 79 e64098: - 25.261051 b4047 + x8846 - x8847 <= 79 e64099: - 25.261051 b4048 + x8847 - x8848 <= 79 e64100: - 25.261051 b4049 + x8848 - x8849 <= 79 e64101: - 25.261051 b4050 + x8849 - x8850 <= 79 e64102: - 25.261051 b4051 + x8850 - x8851 <= 79 e64103: - 25.261051 b4052 + x8851 - x8852 <= 79 e64104: - 25.261051 b4053 + x8852 - x8853 <= 79 e64105: - 25.261051 b4054 + x8853 - x8854 <= 79 e64106: - 25.261051 b4055 + x8854 - x8855 <= 79 e64107: - 25.261051 b4056 + x8855 - x8856 <= 79 e64108: - 25.261051 b4057 + x8856 - x8857 <= 79 e64109: 5.429734 b4058 - x8858 <= 89 e64110: 5.429734 b4059 + x8858 - x8859 <= 89 e64111: 5.429734 b4060 + x8859 - x8860 <= 89 e64112: 5.429734 b4061 + x8860 - x8861 <= 89 e64113: 5.429734 b4062 + x8861 - x8862 <= 89 e64114: 5.429734 b4063 + x8862 - x8863 <= 89 e64115: 5.429734 b4064 + x8863 - x8864 <= 89 e64116: 5.429734 b4065 + x8864 - x8865 <= 89 e64117: 5.429734 b4066 + x8865 - x8866 <= 89 e64118: 5.429734 b4067 + x8866 - x8867 <= 89 e64119: 5.429734 b4068 + x8867 - x8868 <= 89 e64120: 5.429734 b4069 + x8868 - x8869 <= 89 e64121: 5.429734 b4070 + x8869 - x8870 <= 89 e64122: 5.429734 b4071 + x8870 - x8871 <= 89 e64123: 5.429734 b4072 + x8871 - x8872 <= 89 e64124: 5.429734 b4073 + x8872 - x8873 <= 89 e64125: 5.429734 b4074 + x8873 - x8874 <= 89 e64126: 5.429734 b4075 + x8874 - x8875 <= 89 e64127: 5.429734 b4076 + x8875 - x8876 <= 89 e64128: 5.429734 b4077 + x8876 - x8877 <= 89 e64129: 5.429734 b4078 + x8877 - x8878 <= 89 e64130: 5.429734 b4079 + x8878 - x8879 <= 89 e64131: 5.429734 b4080 + x8879 - x8880 <= 89 e64132: 5.429734 b4081 + x8880 - x8881 <= 89 e64133: - 11.125326 b4082 - x8882 <= -130.591076 e64134: - 11.125326 b4083 + x8882 - x8883 <= 86 e64135: - 11.125326 b4084 + x8883 - x8884 <= 86 e64136: - 11.125326 b4085 + x8884 - x8885 <= 86 e64137: - 11.125326 b4086 + x8885 - x8886 <= 86 e64138: - 11.125326 b4087 + x8886 - x8887 <= 86 e64139: - 11.125326 b4088 + x8887 - x8888 <= 86 e64140: - 11.125326 b4089 + x8888 - x8889 <= 86 e64141: - 11.125326 b4090 + x8889 - x8890 <= 86 e64142: - 11.125326 b4091 + x8890 - x8891 <= 86 e64143: - 11.125326 b4092 + x8891 - x8892 <= 86 e64144: - 11.125326 b4093 + x8892 - x8893 <= 86 e64145: - 11.125326 b4094 + x8893 - x8894 <= 86 e64146: - 11.125326 b4095 + x8894 - x8895 <= 86 e64147: - 11.125326 b4096 + x8895 - x8896 <= 86 e64148: - 11.125326 b4097 + x8896 - x8897 <= 86 e64149: - 11.125326 b4098 + x8897 - x8898 <= 86 e64150: - 11.125326 b4099 + x8898 - x8899 <= 86 e64151: - 11.125326 b4100 + x8899 - x8900 <= 86 e64152: - 11.125326 b4101 + x8900 - x8901 <= 86 e64153: - 11.125326 b4102 + x8901 - x8902 <= 86 e64154: - 11.125326 b4103 + x8902 - x8903 <= 86 e64155: - 11.125326 b4104 + x8903 - x8904 <= 86 e64156: - 11.125326 b4105 + x8904 - x8905 <= 86 e64157: 14.476254 b4106 - x8906 <= -164.879456 e64158: 14.476254 b4107 + x8906 - x8907 <= 96 e64159: 14.476254 b4108 + x8907 - x8908 <= 96 e64160: 14.476254 b4109 + x8908 - x8909 <= 96 e64161: 14.476254 b4110 + x8909 - x8910 <= 96 e64162: 14.476254 b4111 + x8910 - x8911 <= 96 e64163: 14.476254 b4112 + x8911 - x8912 <= 96 e64164: 14.476254 b4113 + x8912 - x8913 <= 96 e64165: 14.476254 b4114 + x8913 - x8914 <= 96 e64166: 14.476254 b4115 + x8914 - x8915 <= 96 e64167: 14.476254 b4116 + x8915 - x8916 <= 96 e64168: 14.476254 b4117 + x8916 - x8917 <= 96 e64169: 14.476254 b4118 + x8917 - x8918 <= 96 e64170: 14.476254 b4119 + x8918 - x8919 <= 96 e64171: 14.476254 b4120 + x8919 - x8920 <= 96 e64172: 14.476254 b4121 + x8920 - x8921 <= 96 e64173: 14.476254 b4122 + x8921 - x8922 <= 96 e64174: 14.476254 b4123 + x8922 - x8923 <= 96 e64175: 14.476254 b4124 + x8923 - x8924 <= 96 e64176: 14.476254 b4125 + x8924 - x8925 <= 96 e64177: 14.476254 b4126 + x8925 - x8926 <= 96 e64178: 14.476254 b4127 + x8926 - x8927 <= 96 e64179: 14.476254 b4128 + x8927 - x8928 <= 96 e64180: 14.476254 b4129 + x8928 - x8929 <= 96 e64181: 29.240003 b4130 - x8930 <= -161.643176 e64182: 29.240003 b4131 + x8930 - x8931 <= 94 e64183: 29.240003 b4132 + x8931 - x8932 <= 94 e64184: 29.240003 b4133 + x8932 - x8933 <= 94 e64185: 29.240003 b4134 + x8933 - x8934 <= 94 e64186: 29.240003 b4135 + x8934 - x8935 <= 94 e64187: 29.240003 b4136 + x8935 - x8936 <= 94 e64188: 29.240003 b4137 + x8936 - x8937 <= 94 e64189: 29.240003 b4138 + x8937 - x8938 <= 94 e64190: 29.240003 b4139 + x8938 - x8939 <= 94 e64191: 29.240003 b4140 + x8939 - x8940 <= 94 e64192: 29.240003 b4141 + x8940 - x8941 <= 94 e64193: 29.240003 b4142 + x8941 - x8942 <= 94 e64194: 29.240003 b4143 + x8942 - x8943 <= 94 e64195: 29.240003 b4144 + x8943 - x8944 <= 94 e64196: 29.240003 b4145 + x8944 - x8945 <= 94 e64197: 29.240003 b4146 + x8945 - x8946 <= 94 e64198: 29.240003 b4147 + x8946 - x8947 <= 94 e64199: 29.240003 b4148 + x8947 - x8948 <= 94 e64200: 29.240003 b4149 + x8948 - x8949 <= 94 e64201: 29.240003 b4150 + x8949 - x8950 <= 94 e64202: 29.240003 b4151 + x8950 - x8951 <= 94 e64203: 29.240003 b4152 + x8951 - x8952 <= 94 e64204: 29.240003 b4153 + x8952 - x8953 <= 94 e64205: 4.539246 b4154 - x8954 <= -48.255624 e64206: 4.539246 b4155 + x8954 - x8955 <= 97 e64207: 4.539246 b4156 + x8955 - x8956 <= 97 e64208: 4.539246 b4157 + x8956 - x8957 <= 97 e64209: 4.539246 b4158 + x8957 - x8958 <= 97 e64210: 4.539246 b4159 + x8958 - x8959 <= 97 e64211: 4.539246 b4160 + x8959 - x8960 <= 97 e64212: 4.539246 b4161 + x8960 - x8961 <= 97 e64213: 4.539246 b4162 + x8961 - x8962 <= 97 e64214: 4.539246 b4163 + x8962 - x8963 <= 97 e64215: 4.539246 b4164 + x8963 - x8964 <= 97 e64216: 4.539246 b4165 + x8964 - x8965 <= 97 e64217: 4.539246 b4166 + x8965 - x8966 <= 97 e64218: 4.539246 b4167 + x8966 - x8967 <= 97 e64219: 4.539246 b4168 + x8967 - x8968 <= 97 e64220: 4.539246 b4169 + x8968 - x8969 <= 97 e64221: 4.539246 b4170 + x8969 - x8970 <= 97 e64222: 4.539246 b4171 + x8970 - x8971 <= 97 e64223: 4.539246 b4172 + x8971 - x8972 <= 97 e64224: 4.539246 b4173 + x8972 - x8973 <= 97 e64225: 4.539246 b4174 + x8973 - x8974 <= 97 e64226: 4.539246 b4175 + x8974 - x8975 <= 97 e64227: 4.539246 b4176 + x8975 - x8976 <= 97 e64228: 4.539246 b4177 + x8976 - x8977 <= 97 e64229: - 11.057193 b4178 - x8978 <= 76 e64230: - 11.057193 b4179 + x8978 - x8979 <= 76 e64231: - 11.057193 b4180 + x8979 - x8980 <= 76 e64232: - 11.057193 b4181 + x8980 - x8981 <= 76 e64233: - 11.057193 b4182 + x8981 - x8982 <= 76 e64234: - 11.057193 b4183 + x8982 - x8983 <= 76 e64235: - 11.057193 b4184 + x8983 - x8984 <= 76 e64236: - 11.057193 b4185 + x8984 - x8985 <= 76 e64237: - 11.057193 b4186 + x8985 - x8986 <= 76 e64238: - 11.057193 b4187 + x8986 - x8987 <= 76 e64239: - 11.057193 b4188 + x8987 - x8988 <= 76 e64240: - 11.057193 b4189 + x8988 - x8989 <= 76 e64241: - 11.057193 b4190 + x8989 - x8990 <= 76 e64242: - 11.057193 b4191 + x8990 - x8991 <= 76 e64243: - 11.057193 b4192 + x8991 - x8992 <= 76 e64244: - 11.057193 b4193 + x8992 - x8993 <= 76 e64245: - 11.057193 b4194 + x8993 - x8994 <= 76 e64246: - 11.057193 b4195 + x8994 - x8995 <= 76 e64247: - 11.057193 b4196 + x8995 - x8996 <= 76 e64248: - 11.057193 b4197 + x8996 - x8997 <= 76 e64249: - 11.057193 b4198 + x8997 - x8998 <= 76 e64250: - 11.057193 b4199 + x8998 - x8999 <= 76 e64251: - 11.057193 b4200 + x8999 - x9000 <= 76 e64252: - 11.057193 b4201 + x9000 - x9001 <= 76 e64253: - 42.2149 b4202 - x9002 <= 76 e64254: - 42.2149 b4203 + x9002 - x9003 <= 76 e64255: - 42.2149 b4204 + x9003 - x9004 <= 76 e64256: - 42.2149 b4205 + x9004 - x9005 <= 76 e64257: - 42.2149 b4206 + x9005 - x9006 <= 76 e64258: - 42.2149 b4207 + x9006 - x9007 <= 76 e64259: - 42.2149 b4208 + x9007 - x9008 <= 76 e64260: - 42.2149 b4209 + x9008 - x9009 <= 76 e64261: - 42.2149 b4210 + x9009 - x9010 <= 76 e64262: - 42.2149 b4211 + x9010 - x9011 <= 76 e64263: - 42.2149 b4212 + x9011 - x9012 <= 76 e64264: - 42.2149 b4213 + x9012 - x9013 <= 76 e64265: - 42.2149 b4214 + x9013 - x9014 <= 76 e64266: - 42.2149 b4215 + x9014 - x9015 <= 76 e64267: - 42.2149 b4216 + x9015 - x9016 <= 76 e64268: - 42.2149 b4217 + x9016 - x9017 <= 76 e64269: - 42.2149 b4218 + x9017 - x9018 <= 76 e64270: - 42.2149 b4219 + x9018 - x9019 <= 76 e64271: - 42.2149 b4220 + x9019 - x9020 <= 76 e64272: - 42.2149 b4221 + x9020 - x9021 <= 76 e64273: - 42.2149 b4222 + x9021 - x9022 <= 76 e64274: - 42.2149 b4223 + x9022 - x9023 <= 76 e64275: - 42.2149 b4224 + x9023 - x9024 <= 76 e64276: - 42.2149 b4225 + x9024 - x9025 <= 76 e64277: - 15.840748 b4226 - x9026 <= 80 e64278: - 15.840748 b4227 + x9026 - x9027 <= 80 e64279: - 15.840748 b4228 + x9027 - x9028 <= 80 e64280: - 15.840748 b4229 + x9028 - x9029 <= 80 e64281: - 15.840748 b4230 + x9029 - x9030 <= 80 e64282: - 15.840748 b4231 + x9030 - x9031 <= 80 e64283: - 15.840748 b4232 + x9031 - x9032 <= 80 e64284: - 15.840748 b4233 + x9032 - x9033 <= 80 e64285: - 15.840748 b4234 + x9033 - x9034 <= 80 e64286: - 15.840748 b4235 + x9034 - x9035 <= 80 e64287: - 15.840748 b4236 + x9035 - x9036 <= 80 e64288: - 15.840748 b4237 + x9036 - x9037 <= 80 e64289: - 15.840748 b4238 + x9037 - x9038 <= 80 e64290: - 15.840748 b4239 + x9038 - x9039 <= 80 e64291: - 15.840748 b4240 + x9039 - x9040 <= 80 e64292: - 15.840748 b4241 + x9040 - x9041 <= 80 e64293: - 15.840748 b4242 + x9041 - x9042 <= 80 e64294: - 15.840748 b4243 + x9042 - x9043 <= 80 e64295: - 15.840748 b4244 + x9043 - x9044 <= 80 e64296: - 15.840748 b4245 + x9044 - x9045 <= 80 e64297: - 15.840748 b4246 + x9045 - x9046 <= 80 e64298: - 15.840748 b4247 + x9046 - x9047 <= 80 e64299: - 15.840748 b4248 + x9047 - x9048 <= 80 e64300: - 15.840748 b4249 + x9048 - x9049 <= 80 e64301: - 21.034676 b4250 - x9050 <= 70 e64302: - 21.034676 b4251 + x9050 - x9051 <= 70 e64303: - 21.034676 b4252 + x9051 - x9052 <= 70 e64304: - 21.034676 b4253 + x9052 - x9053 <= 70 e64305: - 21.034676 b4254 + x9053 - x9054 <= 70 e64306: - 21.034676 b4255 + x9054 - x9055 <= 70 e64307: - 21.034676 b4256 + x9055 - x9056 <= 70 e64308: - 21.034676 b4257 + x9056 - x9057 <= 70 e64309: - 21.034676 b4258 + x9057 - x9058 <= 70 e64310: - 21.034676 b4259 + x9058 - x9059 <= 70 e64311: - 21.034676 b4260 + x9059 - x9060 <= 70 e64312: - 21.034676 b4261 + x9060 - x9061 <= 70 e64313: - 21.034676 b4262 + x9061 - x9062 <= 70 e64314: - 21.034676 b4263 + x9062 - x9063 <= 70 e64315: - 21.034676 b4264 + x9063 - x9064 <= 70 e64316: - 21.034676 b4265 + x9064 - x9065 <= 70 e64317: - 21.034676 b4266 + x9065 - x9066 <= 70 e64318: - 21.034676 b4267 + x9066 - x9067 <= 70 e64319: - 21.034676 b4268 + x9067 - x9068 <= 70 e64320: - 21.034676 b4269 + x9068 - x9069 <= 70 e64321: - 21.034676 b4270 + x9069 - x9070 <= 70 e64322: - 21.034676 b4271 + x9070 - x9071 <= 70 e64323: - 21.034676 b4272 + x9071 - x9072 <= 70 e64324: - 21.034676 b4273 + x9072 - x9073 <= 70 e64325: - 1.987919 b4274 - x9074 <= -185.711505 e64326: - 1.987919 b4275 + x9074 - x9075 <= 91 e64327: - 1.987919 b4276 + x9075 - x9076 <= 91 e64328: - 1.987919 b4277 + x9076 - x9077 <= 91 e64329: - 1.987919 b4278 + x9077 - x9078 <= 91 e64330: - 1.987919 b4279 + x9078 - x9079 <= 91 e64331: - 1.987919 b4280 + x9079 - x9080 <= 91 e64332: - 1.987919 b4281 + x9080 - x9081 <= 91 e64333: - 1.987919 b4282 + x9081 - x9082 <= 91 e64334: - 1.987919 b4283 + x9082 - x9083 <= 91 e64335: - 1.987919 b4284 + x9083 - x9084 <= 91 e64336: - 1.987919 b4285 + x9084 - x9085 <= 91 e64337: - 1.987919 b4286 + x9085 - x9086 <= 91 e64338: - 1.987919 b4287 + x9086 - x9087 <= 91 e64339: - 1.987919 b4288 + x9087 - x9088 <= 91 e64340: - 1.987919 b4289 + x9088 - x9089 <= 91 e64341: - 1.987919 b4290 + x9089 - x9090 <= 91 e64342: - 1.987919 b4291 + x9090 - x9091 <= 91 e64343: - 1.987919 b4292 + x9091 - x9092 <= 91 e64344: - 1.987919 b4293 + x9092 - x9093 <= 91 e64345: - 1.987919 b4294 + x9093 - x9094 <= 91 e64346: - 1.987919 b4295 + x9094 - x9095 <= 91 e64347: - 1.987919 b4296 + x9095 - x9096 <= 91 e64348: - 1.987919 b4297 + x9096 - x9097 <= 91 e64349: - 13.910945 b4298 - x9098 <= 96 e64350: - 13.910945 b4299 + x9098 - x9099 <= 96 e64351: - 13.910945 b4300 + x9099 - x9100 <= 96 e64352: - 13.910945 b4301 + x9100 - x9101 <= 96 e64353: - 13.910945 b4302 + x9101 - x9102 <= 96 e64354: - 13.910945 b4303 + x9102 - x9103 <= 96 e64355: - 13.910945 b4304 + x9103 - x9104 <= 96 e64356: - 13.910945 b4305 + x9104 - x9105 <= 96 e64357: - 13.910945 b4306 + x9105 - x9106 <= 96 e64358: - 13.910945 b4307 + x9106 - x9107 <= 96 e64359: - 13.910945 b4308 + x9107 - x9108 <= 96 e64360: - 13.910945 b4309 + x9108 - x9109 <= 96 e64361: - 13.910945 b4310 + x9109 - x9110 <= 96 e64362: - 13.910945 b4311 + x9110 - x9111 <= 96 e64363: - 13.910945 b4312 + x9111 - x9112 <= 96 e64364: - 13.910945 b4313 + x9112 - x9113 <= 96 e64365: - 13.910945 b4314 + x9113 - x9114 <= 96 e64366: - 13.910945 b4315 + x9114 - x9115 <= 96 e64367: - 13.910945 b4316 + x9115 - x9116 <= 96 e64368: - 13.910945 b4317 + x9116 - x9117 <= 96 e64369: - 13.910945 b4318 + x9117 - x9118 <= 96 e64370: - 13.910945 b4319 + x9118 - x9119 <= 96 e64371: - 13.910945 b4320 + x9119 - x9120 <= 96 e64372: - 13.910945 b4321 + x9120 - x9121 <= 96 e64373: - 28.90402 b4322 - x9122 <= -204.626151 e64374: - 28.90402 b4323 + x9122 - x9123 <= 74 e64375: - 28.90402 b4324 + x9123 - x9124 <= 74 e64376: - 28.90402 b4325 + x9124 - x9125 <= 74 e64377: - 28.90402 b4326 + x9125 - x9126 <= 74 e64378: - 28.90402 b4327 + x9126 - x9127 <= 74 e64379: - 28.90402 b4328 + x9127 - x9128 <= 74 e64380: - 28.90402 b4329 + x9128 - x9129 <= 74 e64381: - 28.90402 b4330 + x9129 - x9130 <= 74 e64382: - 28.90402 b4331 + x9130 - x9131 <= 74 e64383: - 28.90402 b4332 + x9131 - x9132 <= 74 e64384: - 28.90402 b4333 + x9132 - x9133 <= 74 e64385: - 28.90402 b4334 + x9133 - x9134 <= 74 e64386: - 28.90402 b4335 + x9134 - x9135 <= 74 e64387: - 28.90402 b4336 + x9135 - x9136 <= 74 e64388: - 28.90402 b4337 + x9136 - x9137 <= 74 e64389: - 28.90402 b4338 + x9137 - x9138 <= 74 e64390: - 28.90402 b4339 + x9138 - x9139 <= 74 e64391: - 28.90402 b4340 + x9139 - x9140 <= 74 e64392: - 28.90402 b4341 + x9140 - x9141 <= 74 e64393: - 28.90402 b4342 + x9141 - x9142 <= 74 e64394: - 28.90402 b4343 + x9142 - x9143 <= 74 e64395: - 28.90402 b4344 + x9143 - x9144 <= 74 e64396: - 28.90402 b4345 + x9144 - x9145 <= 74 e64397: - 11.24941 b4346 - x9146 <= 70 e64398: - 11.24941 b4347 + x9146 - x9147 <= 70 e64399: - 11.24941 b4348 + x9147 - x9148 <= 70 e64400: - 11.24941 b4349 + x9148 - x9149 <= 70 e64401: - 11.24941 b4350 + x9149 - x9150 <= 70 e64402: - 11.24941 b4351 + x9150 - x9151 <= 70 e64403: - 11.24941 b4352 + x9151 - x9152 <= 70 e64404: - 11.24941 b4353 + x9152 - x9153 <= 70 e64405: - 11.24941 b4354 + x9153 - x9154 <= 70 e64406: - 11.24941 b4355 + x9154 - x9155 <= 70 e64407: - 11.24941 b4356 + x9155 - x9156 <= 70 e64408: - 11.24941 b4357 + x9156 - x9157 <= 70 e64409: - 11.24941 b4358 + x9157 - x9158 <= 70 e64410: - 11.24941 b4359 + x9158 - x9159 <= 70 e64411: - 11.24941 b4360 + x9159 - x9160 <= 70 e64412: - 11.24941 b4361 + x9160 - x9161 <= 70 e64413: - 11.24941 b4362 + x9161 - x9162 <= 70 e64414: - 11.24941 b4363 + x9162 - x9163 <= 70 e64415: - 11.24941 b4364 + x9163 - x9164 <= 70 e64416: - 11.24941 b4365 + x9164 - x9165 <= 70 e64417: - 11.24941 b4366 + x9165 - x9166 <= 70 e64418: - 11.24941 b4367 + x9166 - x9167 <= 70 e64419: - 11.24941 b4368 + x9167 - x9168 <= 70 e64420: - 11.24941 b4369 + x9168 - x9169 <= 70 e64421: 0.560396 b4370 - x9170 <= 88 e64422: 0.560396 b4371 + x9170 - x9171 <= 88 e64423: 0.560396 b4372 + x9171 - x9172 <= 88 e64424: 0.560396 b4373 + x9172 - x9173 <= 88 e64425: 0.560396 b4374 + x9173 - x9174 <= 88 e64426: 0.560396 b4375 + x9174 - x9175 <= 88 e64427: 0.560396 b4376 + x9175 - x9176 <= 88 e64428: 0.560396 b4377 + x9176 - x9177 <= 88 e64429: 0.560396 b4378 + x9177 - x9178 <= 88 e64430: 0.560396 b4379 + x9178 - x9179 <= 88 e64431: 0.560396 b4380 + x9179 - x9180 <= 88 e64432: 0.560396 b4381 + x9180 - x9181 <= 88 e64433: 0.560396 b4382 + x9181 - x9182 <= 88 e64434: 0.560396 b4383 + x9182 - x9183 <= 88 e64435: 0.560396 b4384 + x9183 - x9184 <= 88 e64436: 0.560396 b4385 + x9184 - x9185 <= 88 e64437: 0.560396 b4386 + x9185 - x9186 <= 88 e64438: 0.560396 b4387 + x9186 - x9187 <= 88 e64439: 0.560396 b4388 + x9187 - x9188 <= 88 e64440: 0.560396 b4389 + x9188 - x9189 <= 88 e64441: 0.560396 b4390 + x9189 - x9190 <= 88 e64442: 0.560396 b4391 + x9190 - x9191 <= 88 e64443: 0.560396 b4392 + x9191 - x9192 <= 88 e64444: 0.560396 b4393 + x9192 - x9193 <= 88 e64445: 2.949821 b4394 - x9194 <= -137.398614 e64446: 2.949821 b4395 + x9194 - x9195 <= 96 e64447: 2.949821 b4396 + x9195 - x9196 <= 96 e64448: 2.949821 b4397 + x9196 - x9197 <= 96 e64449: 2.949821 b4398 + x9197 - x9198 <= 96 e64450: 2.949821 b4399 + x9198 - x9199 <= 96 e64451: 2.949821 b4400 + x9199 - x9200 <= 96 e64452: 2.949821 b4401 + x9200 - x9201 <= 96 e64453: 2.949821 b4402 + x9201 - x9202 <= 96 e64454: 2.949821 b4403 + x9202 - x9203 <= 96 e64455: 2.949821 b4404 + x9203 - x9204 <= 96 e64456: 2.949821 b4405 + x9204 - x9205 <= 96 e64457: 2.949821 b4406 + x9205 - x9206 <= 96 e64458: 2.949821 b4407 + x9206 - x9207 <= 96 e64459: 2.949821 b4408 + x9207 - x9208 <= 96 e64460: 2.949821 b4409 + x9208 - x9209 <= 96 e64461: 2.949821 b4410 + x9209 - x9210 <= 96 e64462: 2.949821 b4411 + x9210 - x9211 <= 96 e64463: 2.949821 b4412 + x9211 - x9212 <= 96 e64464: 2.949821 b4413 + x9212 - x9213 <= 96 e64465: 2.949821 b4414 + x9213 - x9214 <= 96 e64466: 2.949821 b4415 + x9214 - x9215 <= 96 e64467: 2.949821 b4416 + x9215 - x9216 <= 96 e64468: 2.949821 b4417 + x9216 - x9217 <= 96 e64469: - 29.064478 b4418 - x9218 <= -98.179498 e64470: - 29.064478 b4419 + x9218 - x9219 <= 76 e64471: - 29.064478 b4420 + x9219 - x9220 <= 76 e64472: - 29.064478 b4421 + x9220 - x9221 <= 76 e64473: - 29.064478 b4422 + x9221 - x9222 <= 76 e64474: - 29.064478 b4423 + x9222 - x9223 <= 76 e64475: - 29.064478 b4424 + x9223 - x9224 <= 76 e64476: - 29.064478 b4425 + x9224 - x9225 <= 76 e64477: - 29.064478 b4426 + x9225 - x9226 <= 76 e64478: - 29.064478 b4427 + x9226 - x9227 <= 76 e64479: - 29.064478 b4428 + x9227 - x9228 <= 76 e64480: - 29.064478 b4429 + x9228 - x9229 <= 76 e64481: - 29.064478 b4430 + x9229 - x9230 <= 76 e64482: - 29.064478 b4431 + x9230 - x9231 <= 76 e64483: - 29.064478 b4432 + x9231 - x9232 <= 76 e64484: - 29.064478 b4433 + x9232 - x9233 <= 76 e64485: - 29.064478 b4434 + x9233 - x9234 <= 76 e64486: - 29.064478 b4435 + x9234 - x9235 <= 76 e64487: - 29.064478 b4436 + x9235 - x9236 <= 76 e64488: - 29.064478 b4437 + x9236 - x9237 <= 76 e64489: - 29.064478 b4438 + x9237 - x9238 <= 76 e64490: - 29.064478 b4439 + x9238 - x9239 <= 76 e64491: - 29.064478 b4440 + x9239 - x9240 <= 76 e64492: - 29.064478 b4441 + x9240 - x9241 <= 76 e64493: - 10.11396 b4442 - x9242 <= 74 e64494: - 10.11396 b4443 + x9242 - x9243 <= 74 e64495: - 10.11396 b4444 + x9243 - x9244 <= 74 e64496: - 10.11396 b4445 + x9244 - x9245 <= 74 e64497: - 10.11396 b4446 + x9245 - x9246 <= 74 e64498: - 10.11396 b4447 + x9246 - x9247 <= 74 e64499: - 10.11396 b4448 + x9247 - x9248 <= 74 e64500: - 10.11396 b4449 + x9248 - x9249 <= 74 e64501: - 10.11396 b4450 + x9249 - x9250 <= 74 e64502: - 10.11396 b4451 + x9250 - x9251 <= 74 e64503: - 10.11396 b4452 + x9251 - x9252 <= 74 e64504: - 10.11396 b4453 + x9252 - x9253 <= 74 e64505: - 10.11396 b4454 + x9253 - x9254 <= 74 e64506: - 10.11396 b4455 + x9254 - x9255 <= 74 e64507: - 10.11396 b4456 + x9255 - x9256 <= 74 e64508: - 10.11396 b4457 + x9256 - x9257 <= 74 e64509: - 10.11396 b4458 + x9257 - x9258 <= 74 e64510: - 10.11396 b4459 + x9258 - x9259 <= 74 e64511: - 10.11396 b4460 + x9259 - x9260 <= 74 e64512: - 10.11396 b4461 + x9260 - x9261 <= 74 e64513: - 10.11396 b4462 + x9261 - x9262 <= 74 e64514: - 10.11396 b4463 + x9262 - x9263 <= 74 e64515: - 10.11396 b4464 + x9263 - x9264 <= 74 e64516: - 10.11396 b4465 + x9264 - x9265 <= 74 e64517: - 25.37917 b4466 - x9266 <= 73 e64518: - 25.37917 b4467 + x9266 - x9267 <= 73 e64519: - 25.37917 b4468 + x9267 - x9268 <= 73 e64520: - 25.37917 b4469 + x9268 - x9269 <= 73 e64521: - 25.37917 b4470 + x9269 - x9270 <= 73 e64522: - 25.37917 b4471 + x9270 - x9271 <= 73 e64523: - 25.37917 b4472 + x9271 - x9272 <= 73 e64524: - 25.37917 b4473 + x9272 - x9273 <= 73 e64525: - 25.37917 b4474 + x9273 - x9274 <= 73 e64526: - 25.37917 b4475 + x9274 - x9275 <= 73 e64527: - 25.37917 b4476 + x9275 - x9276 <= 73 e64528: - 25.37917 b4477 + x9276 - x9277 <= 73 e64529: - 25.37917 b4478 + x9277 - x9278 <= 73 e64530: - 25.37917 b4479 + x9278 - x9279 <= 73 e64531: - 25.37917 b4480 + x9279 - x9280 <= 73 e64532: - 25.37917 b4481 + x9280 - x9281 <= 73 e64533: - 25.37917 b4482 + x9281 - x9282 <= 73 e64534: - 25.37917 b4483 + x9282 - x9283 <= 73 e64535: - 25.37917 b4484 + x9283 - x9284 <= 73 e64536: - 25.37917 b4485 + x9284 - x9285 <= 73 e64537: - 25.37917 b4486 + x9285 - x9286 <= 73 e64538: - 25.37917 b4487 + x9286 - x9287 <= 73 e64539: - 25.37917 b4488 + x9287 - x9288 <= 73 e64540: - 25.37917 b4489 + x9288 - x9289 <= 73 e64541: 6.824978 b4490 - x9290 <= 95 e64542: 6.824978 b4491 + x9290 - x9291 <= 95 e64543: 6.824978 b4492 + x9291 - x9292 <= 95 e64544: 6.824978 b4493 + x9292 - x9293 <= 95 e64545: 6.824978 b4494 + x9293 - x9294 <= 95 e64546: 6.824978 b4495 + x9294 - x9295 <= 95 e64547: 6.824978 b4496 + x9295 - x9296 <= 95 e64548: 6.824978 b4497 + x9296 - x9297 <= 95 e64549: 6.824978 b4498 + x9297 - x9298 <= 95 e64550: 6.824978 b4499 + x9298 - x9299 <= 95 e64551: 6.824978 b4500 + x9299 - x9300 <= 95 e64552: 6.824978 b4501 + x9300 - x9301 <= 95 e64553: 6.824978 b4502 + x9301 - x9302 <= 95 e64554: 6.824978 b4503 + x9302 - x9303 <= 95 e64555: 6.824978 b4504 + x9303 - x9304 <= 95 e64556: 6.824978 b4505 + x9304 - x9305 <= 95 e64557: 6.824978 b4506 + x9305 - x9306 <= 95 e64558: 6.824978 b4507 + x9306 - x9307 <= 95 e64559: 6.824978 b4508 + x9307 - x9308 <= 95 e64560: 6.824978 b4509 + x9308 - x9309 <= 95 e64561: 6.824978 b4510 + x9309 - x9310 <= 95 e64562: 6.824978 b4511 + x9310 - x9311 <= 95 e64563: 6.824978 b4512 + x9311 - x9312 <= 95 e64564: 6.824978 b4513 + x9312 - x9313 <= 95 e64565: 3.908579 b4514 - x9314 <= 97 e64566: 3.908579 b4515 + x9314 - x9315 <= 97 e64567: 3.908579 b4516 + x9315 - x9316 <= 97 e64568: 3.908579 b4517 + x9316 - x9317 <= 97 e64569: 3.908579 b4518 + x9317 - x9318 <= 97 e64570: 3.908579 b4519 + x9318 - x9319 <= 97 e64571: 3.908579 b4520 + x9319 - x9320 <= 97 e64572: 3.908579 b4521 + x9320 - x9321 <= 97 e64573: 3.908579 b4522 + x9321 - x9322 <= 97 e64574: 3.908579 b4523 + x9322 - x9323 <= 97 e64575: 3.908579 b4524 + x9323 - x9324 <= 97 e64576: 3.908579 b4525 + x9324 - x9325 <= 97 e64577: 3.908579 b4526 + x9325 - x9326 <= 97 e64578: 3.908579 b4527 + x9326 - x9327 <= 97 e64579: 3.908579 b4528 + x9327 - x9328 <= 97 e64580: 3.908579 b4529 + x9328 - x9329 <= 97 e64581: 3.908579 b4530 + x9329 - x9330 <= 97 e64582: 3.908579 b4531 + x9330 - x9331 <= 97 e64583: 3.908579 b4532 + x9331 - x9332 <= 97 e64584: 3.908579 b4533 + x9332 - x9333 <= 97 e64585: 3.908579 b4534 + x9333 - x9334 <= 97 e64586: 3.908579 b4535 + x9334 - x9335 <= 97 e64587: 3.908579 b4536 + x9335 - x9336 <= 97 e64588: 3.908579 b4537 + x9336 - x9337 <= 97 e64589: - 21.173891 b4538 - x9338 <= -164.854745 e64590: - 21.173891 b4539 + x9338 - x9339 <= 74 e64591: - 21.173891 b4540 + x9339 - x9340 <= 74 e64592: - 21.173891 b4541 + x9340 - x9341 <= 74 e64593: - 21.173891 b4542 + x9341 - x9342 <= 74 e64594: - 21.173891 b4543 + x9342 - x9343 <= 74 e64595: - 21.173891 b4544 + x9343 - x9344 <= 74 e64596: - 21.173891 b4545 + x9344 - x9345 <= 74 e64597: - 21.173891 b4546 + x9345 - x9346 <= 74 e64598: - 21.173891 b4547 + x9346 - x9347 <= 74 e64599: - 21.173891 b4548 + x9347 - x9348 <= 74 e64600: - 21.173891 b4549 + x9348 - x9349 <= 74 e64601: - 21.173891 b4550 + x9349 - x9350 <= 74 e64602: - 21.173891 b4551 + x9350 - x9351 <= 74 e64603: - 21.173891 b4552 + x9351 - x9352 <= 74 e64604: - 21.173891 b4553 + x9352 - x9353 <= 74 e64605: - 21.173891 b4554 + x9353 - x9354 <= 74 e64606: - 21.173891 b4555 + x9354 - x9355 <= 74 e64607: - 21.173891 b4556 + x9355 - x9356 <= 74 e64608: - 21.173891 b4557 + x9356 - x9357 <= 74 e64609: - 21.173891 b4558 + x9357 - x9358 <= 74 e64610: - 21.173891 b4559 + x9358 - x9359 <= 74 e64611: - 21.173891 b4560 + x9359 - x9360 <= 74 e64612: - 21.173891 b4561 + x9360 - x9361 <= 74 e64613: - 0.140162 b4562 - x9362 <= 96 e64614: - 0.140162 b4563 + x9362 - x9363 <= 96 e64615: - 0.140162 b4564 + x9363 - x9364 <= 96 e64616: - 0.140162 b4565 + x9364 - x9365 <= 96 e64617: - 0.140162 b4566 + x9365 - x9366 <= 96 e64618: - 0.140162 b4567 + x9366 - x9367 <= 96 e64619: - 0.140162 b4568 + x9367 - x9368 <= 96 e64620: - 0.140162 b4569 + x9368 - x9369 <= 96 e64621: - 0.140162 b4570 + x9369 - x9370 <= 96 e64622: - 0.140162 b4571 + x9370 - x9371 <= 96 e64623: - 0.140162 b4572 + x9371 - x9372 <= 96 e64624: - 0.140162 b4573 + x9372 - x9373 <= 96 e64625: - 0.140162 b4574 + x9373 - x9374 <= 96 e64626: - 0.140162 b4575 + x9374 - x9375 <= 96 e64627: - 0.140162 b4576 + x9375 - x9376 <= 96 e64628: - 0.140162 b4577 + x9376 - x9377 <= 96 e64629: - 0.140162 b4578 + x9377 - x9378 <= 96 e64630: - 0.140162 b4579 + x9378 - x9379 <= 96 e64631: - 0.140162 b4580 + x9379 - x9380 <= 96 e64632: - 0.140162 b4581 + x9380 - x9381 <= 96 e64633: - 0.140162 b4582 + x9381 - x9382 <= 96 e64634: - 0.140162 b4583 + x9382 - x9383 <= 96 e64635: - 0.140162 b4584 + x9383 - x9384 <= 96 e64636: - 0.140162 b4585 + x9384 - x9385 <= 96 e64637: - 2.465832 b4586 - x9386 <= -107.449978 e64638: - 2.465832 b4587 + x9386 - x9387 <= 78 e64639: - 2.465832 b4588 + x9387 - x9388 <= 78 e64640: - 2.465832 b4589 + x9388 - x9389 <= 78 e64641: - 2.465832 b4590 + x9389 - x9390 <= 78 e64642: - 2.465832 b4591 + x9390 - x9391 <= 78 e64643: - 2.465832 b4592 + x9391 - x9392 <= 78 e64644: - 2.465832 b4593 + x9392 - x9393 <= 78 e64645: - 2.465832 b4594 + x9393 - x9394 <= 78 e64646: - 2.465832 b4595 + x9394 - x9395 <= 78 e64647: - 2.465832 b4596 + x9395 - x9396 <= 78 e64648: - 2.465832 b4597 + x9396 - x9397 <= 78 e64649: - 2.465832 b4598 + x9397 - x9398 <= 78 e64650: - 2.465832 b4599 + x9398 - x9399 <= 78 e64651: - 2.465832 b4600 + x9399 - x9400 <= 78 e64652: - 2.465832 b4601 + x9400 - x9401 <= 78 e64653: - 2.465832 b4602 + x9401 - x9402 <= 78 e64654: - 2.465832 b4603 + x9402 - x9403 <= 78 e64655: - 2.465832 b4604 + x9403 - x9404 <= 78 e64656: - 2.465832 b4605 + x9404 - x9405 <= 78 e64657: - 2.465832 b4606 + x9405 - x9406 <= 78 e64658: - 2.465832 b4607 + x9406 - x9407 <= 78 e64659: - 2.465832 b4608 + x9407 - x9408 <= 78 e64660: - 2.465832 b4609 + x9408 - x9409 <= 78 e64661: - 1.758043 b4610 - x9410 <= -98.438035 e64662: - 1.758043 b4611 + x9410 - x9411 <= 94 e64663: - 1.758043 b4612 + x9411 - x9412 <= 94 e64664: - 1.758043 b4613 + x9412 - x9413 <= 94 e64665: - 1.758043 b4614 + x9413 - x9414 <= 94 e64666: - 1.758043 b4615 + x9414 - x9415 <= 94 e64667: - 1.758043 b4616 + x9415 - x9416 <= 94 e64668: - 1.758043 b4617 + x9416 - x9417 <= 94 e64669: - 1.758043 b4618 + x9417 - x9418 <= 94 e64670: - 1.758043 b4619 + x9418 - x9419 <= 94 e64671: - 1.758043 b4620 + x9419 - x9420 <= 94 e64672: - 1.758043 b4621 + x9420 - x9421 <= 94 e64673: - 1.758043 b4622 + x9421 - x9422 <= 94 e64674: - 1.758043 b4623 + x9422 - x9423 <= 94 e64675: - 1.758043 b4624 + x9423 - x9424 <= 94 e64676: - 1.758043 b4625 + x9424 - x9425 <= 94 e64677: - 1.758043 b4626 + x9425 - x9426 <= 94 e64678: - 1.758043 b4627 + x9426 - x9427 <= 94 e64679: - 1.758043 b4628 + x9427 - x9428 <= 94 e64680: - 1.758043 b4629 + x9428 - x9429 <= 94 e64681: - 1.758043 b4630 + x9429 - x9430 <= 94 e64682: - 1.758043 b4631 + x9430 - x9431 <= 94 e64683: - 1.758043 b4632 + x9431 - x9432 <= 94 e64684: - 1.758043 b4633 + x9432 - x9433 <= 94 e64685: - 6.143873 b4634 - x9434 <= 85 e64686: - 6.143873 b4635 + x9434 - x9435 <= 85 e64687: - 6.143873 b4636 + x9435 - x9436 <= 85 e64688: - 6.143873 b4637 + x9436 - x9437 <= 85 e64689: - 6.143873 b4638 + x9437 - x9438 <= 85 e64690: - 6.143873 b4639 + x9438 - x9439 <= 85 e64691: - 6.143873 b4640 + x9439 - x9440 <= 85 e64692: - 6.143873 b4641 + x9440 - x9441 <= 85 e64693: - 6.143873 b4642 + x9441 - x9442 <= 85 e64694: - 6.143873 b4643 + x9442 - x9443 <= 85 e64695: - 6.143873 b4644 + x9443 - x9444 <= 85 e64696: - 6.143873 b4645 + x9444 - x9445 <= 85 e64697: - 6.143873 b4646 + x9445 - x9446 <= 85 e64698: - 6.143873 b4647 + x9446 - x9447 <= 85 e64699: - 6.143873 b4648 + x9447 - x9448 <= 85 e64700: - 6.143873 b4649 + x9448 - x9449 <= 85 e64701: - 6.143873 b4650 + x9449 - x9450 <= 85 e64702: - 6.143873 b4651 + x9450 - x9451 <= 85 e64703: - 6.143873 b4652 + x9451 - x9452 <= 85 e64704: - 6.143873 b4653 + x9452 - x9453 <= 85 e64705: - 6.143873 b4654 + x9453 - x9454 <= 85 e64706: - 6.143873 b4655 + x9454 - x9455 <= 85 e64707: - 6.143873 b4656 + x9455 - x9456 <= 85 e64708: - 6.143873 b4657 + x9456 - x9457 <= 85 e64709: - 18.624837 b4658 - x9458 <= 83 e64710: - 18.624837 b4659 + x9458 - x9459 <= 83 e64711: - 18.624837 b4660 + x9459 - x9460 <= 83 e64712: - 18.624837 b4661 + x9460 - x9461 <= 83 e64713: - 18.624837 b4662 + x9461 - x9462 <= 83 e64714: - 18.624837 b4663 + x9462 - x9463 <= 83 e64715: - 18.624837 b4664 + x9463 - x9464 <= 83 e64716: - 18.624837 b4665 + x9464 - x9465 <= 83 e64717: - 18.624837 b4666 + x9465 - x9466 <= 83 e64718: - 18.624837 b4667 + x9466 - x9467 <= 83 e64719: - 18.624837 b4668 + x9467 - x9468 <= 83 e64720: - 18.624837 b4669 + x9468 - x9469 <= 83 e64721: - 18.624837 b4670 + x9469 - x9470 <= 83 e64722: - 18.624837 b4671 + x9470 - x9471 <= 83 e64723: - 18.624837 b4672 + x9471 - x9472 <= 83 e64724: - 18.624837 b4673 + x9472 - x9473 <= 83 e64725: - 18.624837 b4674 + x9473 - x9474 <= 83 e64726: - 18.624837 b4675 + x9474 - x9475 <= 83 e64727: - 18.624837 b4676 + x9475 - x9476 <= 83 e64728: - 18.624837 b4677 + x9476 - x9477 <= 83 e64729: - 18.624837 b4678 + x9477 - x9478 <= 83 e64730: - 18.624837 b4679 + x9478 - x9479 <= 83 e64731: - 18.624837 b4680 + x9479 - x9480 <= 83 e64732: - 18.624837 b4681 + x9480 - x9481 <= 83 e64733: 2.037601 b4682 - x9482 <= 75 e64734: 2.037601 b4683 + x9482 - x9483 <= 75 e64735: 2.037601 b4684 + x9483 - x9484 <= 75 e64736: 2.037601 b4685 + x9484 - x9485 <= 75 e64737: 2.037601 b4686 + x9485 - x9486 <= 75 e64738: 2.037601 b4687 + x9486 - x9487 <= 75 e64739: 2.037601 b4688 + x9487 - x9488 <= 75 e64740: 2.037601 b4689 + x9488 - x9489 <= 75 e64741: 2.037601 b4690 + x9489 - x9490 <= 75 e64742: 2.037601 b4691 + x9490 - x9491 <= 75 e64743: 2.037601 b4692 + x9491 - x9492 <= 75 e64744: 2.037601 b4693 + x9492 - x9493 <= 75 e64745: 2.037601 b4694 + x9493 - x9494 <= 75 e64746: 2.037601 b4695 + x9494 - x9495 <= 75 e64747: 2.037601 b4696 + x9495 - x9496 <= 75 e64748: 2.037601 b4697 + x9496 - x9497 <= 75 e64749: 2.037601 b4698 + x9497 - x9498 <= 75 e64750: 2.037601 b4699 + x9498 - x9499 <= 75 e64751: 2.037601 b4700 + x9499 - x9500 <= 75 e64752: 2.037601 b4701 + x9500 - x9501 <= 75 e64753: 2.037601 b4702 + x9501 - x9502 <= 75 e64754: 2.037601 b4703 + x9502 - x9503 <= 75 e64755: 2.037601 b4704 + x9503 - x9504 <= 75 e64756: 2.037601 b4705 + x9504 - x9505 <= 75 e64757: 2.013219 b4706 - x9506 <= 94 e64758: 2.013219 b4707 + x9506 - x9507 <= 94 e64759: 2.013219 b4708 + x9507 - x9508 <= 94 e64760: 2.013219 b4709 + x9508 - x9509 <= 94 e64761: 2.013219 b4710 + x9509 - x9510 <= 94 e64762: 2.013219 b4711 + x9510 - x9511 <= 94 e64763: 2.013219 b4712 + x9511 - x9512 <= 94 e64764: 2.013219 b4713 + x9512 - x9513 <= 94 e64765: 2.013219 b4714 + x9513 - x9514 <= 94 e64766: 2.013219 b4715 + x9514 - x9515 <= 94 e64767: 2.013219 b4716 + x9515 - x9516 <= 94 e64768: 2.013219 b4717 + x9516 - x9517 <= 94 e64769: 2.013219 b4718 + x9517 - x9518 <= 94 e64770: 2.013219 b4719 + x9518 - x9519 <= 94 e64771: 2.013219 b4720 + x9519 - x9520 <= 94 e64772: 2.013219 b4721 + x9520 - x9521 <= 94 e64773: 2.013219 b4722 + x9521 - x9522 <= 94 e64774: 2.013219 b4723 + x9522 - x9523 <= 94 e64775: 2.013219 b4724 + x9523 - x9524 <= 94 e64776: 2.013219 b4725 + x9524 - x9525 <= 94 e64777: 2.013219 b4726 + x9525 - x9526 <= 94 e64778: 2.013219 b4727 + x9526 - x9527 <= 94 e64779: 2.013219 b4728 + x9527 - x9528 <= 94 e64780: 2.013219 b4729 + x9528 - x9529 <= 94 e64781: - 22.975848 b4730 - x9530 <= -15.957696 e64782: - 22.975848 b4731 + x9530 - x9531 <= 73 e64783: - 22.975848 b4732 + x9531 - x9532 <= 73 e64784: - 22.975848 b4733 + x9532 - x9533 <= 73 e64785: - 22.975848 b4734 + x9533 - x9534 <= 73 e64786: - 22.975848 b4735 + x9534 - x9535 <= 73 e64787: - 22.975848 b4736 + x9535 - x9536 <= 73 e64788: - 22.975848 b4737 + x9536 - x9537 <= 73 e64789: - 22.975848 b4738 + x9537 - x9538 <= 73 e64790: - 22.975848 b4739 + x9538 - x9539 <= 73 e64791: - 22.975848 b4740 + x9539 - x9540 <= 73 e64792: - 22.975848 b4741 + x9540 - x9541 <= 73 e64793: - 22.975848 b4742 + x9541 - x9542 <= 73 e64794: - 22.975848 b4743 + x9542 - x9543 <= 73 e64795: - 22.975848 b4744 + x9543 - x9544 <= 73 e64796: - 22.975848 b4745 + x9544 - x9545 <= 73 e64797: - 22.975848 b4746 + x9545 - x9546 <= 73 e64798: - 22.975848 b4747 + x9546 - x9547 <= 73 e64799: - 22.975848 b4748 + x9547 - x9548 <= 73 e64800: - 22.975848 b4749 + x9548 - x9549 <= 73 e64801: - 22.975848 b4750 + x9549 - x9550 <= 73 e64802: - 22.975848 b4751 + x9550 - x9551 <= 73 e64803: - 22.975848 b4752 + x9551 - x9552 <= 73 e64804: - 22.975848 b4753 + x9552 - x9553 <= 73 e64805: 9.774613 b4754 - x9554 <= -40.861065 e64806: 9.774613 b4755 + x9554 - x9555 <= 96 e64807: 9.774613 b4756 + x9555 - x9556 <= 96 e64808: 9.774613 b4757 + x9556 - x9557 <= 96 e64809: 9.774613 b4758 + x9557 - x9558 <= 96 e64810: 9.774613 b4759 + x9558 - x9559 <= 96 e64811: 9.774613 b4760 + x9559 - x9560 <= 96 e64812: 9.774613 b4761 + x9560 - x9561 <= 96 e64813: 9.774613 b4762 + x9561 - x9562 <= 96 e64814: 9.774613 b4763 + x9562 - x9563 <= 96 e64815: 9.774613 b4764 + x9563 - x9564 <= 96 e64816: 9.774613 b4765 + x9564 - x9565 <= 96 e64817: 9.774613 b4766 + x9565 - x9566 <= 96 e64818: 9.774613 b4767 + x9566 - x9567 <= 96 e64819: 9.774613 b4768 + x9567 - x9568 <= 96 e64820: 9.774613 b4769 + x9568 - x9569 <= 96 e64821: 9.774613 b4770 + x9569 - x9570 <= 96 e64822: 9.774613 b4771 + x9570 - x9571 <= 96 e64823: 9.774613 b4772 + x9571 - x9572 <= 96 e64824: 9.774613 b4773 + x9572 - x9573 <= 96 e64825: 9.774613 b4774 + x9573 - x9574 <= 96 e64826: 9.774613 b4775 + x9574 - x9575 <= 96 e64827: 9.774613 b4776 + x9575 - x9576 <= 96 e64828: 9.774613 b4777 + x9576 - x9577 <= 96 e64829: - 30.292982 b4778 - x9578 <= 75 e64830: - 30.292982 b4779 + x9578 - x9579 <= 75 e64831: - 30.292982 b4780 + x9579 - x9580 <= 75 e64832: - 30.292982 b4781 + x9580 - x9581 <= 75 e64833: - 30.292982 b4782 + x9581 - x9582 <= 75 e64834: - 30.292982 b4783 + x9582 - x9583 <= 75 e64835: - 30.292982 b4784 + x9583 - x9584 <= 75 e64836: - 30.292982 b4785 + x9584 - x9585 <= 75 e64837: - 30.292982 b4786 + x9585 - x9586 <= 75 e64838: - 30.292982 b4787 + x9586 - x9587 <= 75 e64839: - 30.292982 b4788 + x9587 - x9588 <= 75 e64840: - 30.292982 b4789 + x9588 - x9589 <= 75 e64841: - 30.292982 b4790 + x9589 - x9590 <= 75 e64842: - 30.292982 b4791 + x9590 - x9591 <= 75 e64843: - 30.292982 b4792 + x9591 - x9592 <= 75 e64844: - 30.292982 b4793 + x9592 - x9593 <= 75 e64845: - 30.292982 b4794 + x9593 - x9594 <= 75 e64846: - 30.292982 b4795 + x9594 - x9595 <= 75 e64847: - 30.292982 b4796 + x9595 - x9596 <= 75 e64848: - 30.292982 b4797 + x9596 - x9597 <= 75 e64849: - 30.292982 b4798 + x9597 - x9598 <= 75 e64850: - 30.292982 b4799 + x9598 - x9599 <= 75 e64851: - 30.292982 b4800 + x9599 - x9600 <= 75 e64852: - 30.292982 b4801 + x9600 - x9601 <= 75 e64853: - 0.5 b2 + 0.5 x14402 - x19351 = 0 e64854: - 0.5 b3 + 0.5 x14403 - x19352 = 0 e64855: - 0.5 b4 + 0.5 x14404 - x19353 = 0 e64856: - 0.5 b5 + 0.5 x14405 - x19354 = 0 e64857: - 0.5 b6 + 0.5 x14406 - x19355 = 0 e64858: - 0.5 b7 + 0.5 x14407 - x19356 = 0 e64859: - 0.5 b8 + 0.5 x14408 - x19357 = 0 e64860: - 0.5 b9 + 0.5 x14409 - x19358 = 0 e64861: - 0.5 b10 + 0.5 x14410 - x19359 = 0 e64862: - 0.5 b11 + 0.5 x14411 - x19360 = 0 e64863: - 0.5 b12 + 0.5 x14412 - x19361 = 0 e64864: - 0.5 b13 + 0.5 x14413 - x19362 = 0 e64865: - 0.5 b14 + 0.5 x14414 - x19363 = 0 e64866: - 0.5 b15 + 0.5 x14415 - x19364 = 0 e64867: - 0.5 b16 + 0.5 x14416 - x19365 = 0 e64868: - 0.5 b17 + 0.5 x14417 - x19366 = 0 e64869: - 0.5 b18 + 0.5 x14418 - x19367 = 0 e64870: - 0.5 b19 + 0.5 x14419 - x19368 = 0 e64871: - 0.5 b20 + 0.5 x14420 - x19369 = 0 e64872: - 0.5 b21 + 0.5 x14421 - x19370 = 0 e64873: - 0.5 b22 + 0.5 x14422 - x19371 = 0 e64874: - 0.5 b23 + 0.5 x14423 - x19372 = 0 e64875: - 0.5 b24 + 0.5 x14424 - x19373 = 0 e64876: - 0.5 b25 + 0.5 x14425 - x19374 = 0 e64877: - 0.5 b26 + 0.5 x14426 - x19375 = 0 e64878: - 0.5 b27 + 0.5 x14427 - x19376 = 0 e64879: - 0.5 b28 + 0.5 x14428 - x19377 = 0 e64880: - 0.5 b29 + 0.5 x14429 - x19378 = 0 e64881: - 0.5 b30 + 0.5 x14430 - x19379 = 0 e64882: - 0.5 b31 + 0.5 x14431 - x19380 = 0 e64883: - 0.5 b32 + 0.5 x14432 - x19381 = 0 e64884: - 0.5 b33 + 0.5 x14433 - x19382 = 0 e64885: - 0.5 b34 + 0.5 x14434 - x19383 = 0 e64886: - 0.5 b35 + 0.5 x14435 - x19384 = 0 e64887: - 0.5 b36 + 0.5 x14436 - x19385 = 0 e64888: - 0.5 b37 + 0.5 x14437 - x19386 = 0 e64889: - 0.5 b38 + 0.5 x14438 - x19387 = 0 e64890: - 0.5 b39 + 0.5 x14439 - x19388 = 0 e64891: - 0.5 b40 + 0.5 x14440 - x19389 = 0 e64892: - 0.5 b41 + 0.5 x14441 - x19390 = 0 e64893: - 0.5 b42 + 0.5 x14442 - x19391 = 0 e64894: - 0.5 b43 + 0.5 x14443 - x19392 = 0 e64895: - 0.5 b44 + 0.5 x14444 - x19393 = 0 e64896: - 0.5 b45 + 0.5 x14445 - x19394 = 0 e64897: - 0.5 b46 + 0.5 x14446 - x19395 = 0 e64898: - 0.5 b47 + 0.5 x14447 - x19396 = 0 e64899: - 0.5 b48 + 0.5 x14448 - x19397 = 0 e64900: - 0.5 b49 + 0.5 x14449 - x19398 = 0 e64901: - 0.5 b50 + 0.5 x14450 - x19399 = 0 e64902: - 0.5 b51 + 0.5 x14451 - x19400 = 0 e64903: - 0.5 b52 + 0.5 x14452 - x19401 = 0 e64904: - 0.5 b53 + 0.5 x14453 - x19402 = 0 e64905: - 0.5 b54 + 0.5 x14454 - x19403 = 0 e64906: - 0.5 b55 + 0.5 x14455 - x19404 = 0 e64907: - 0.5 b56 + 0.5 x14456 - x19405 = 0 e64908: - 0.5 b57 + 0.5 x14457 - x19406 = 0 e64909: - 0.5 b58 + 0.5 x14458 - x19407 = 0 e64910: - 0.5 b59 + 0.5 x14459 - x19408 = 0 e64911: - 0.5 b60 + 0.5 x14460 - x19409 = 0 e64912: - 0.5 b61 + 0.5 x14461 - x19410 = 0 e64913: - 0.5 b62 + 0.5 x14462 - x19411 = 0 e64914: - 0.5 b63 + 0.5 x14463 - x19412 = 0 e64915: - 0.5 b64 + 0.5 x14464 - x19413 = 0 e64916: - 0.5 b65 + 0.5 x14465 - x19414 = 0 e64917: - 0.5 b66 + 0.5 x14466 - x19415 = 0 e64918: - 0.5 b67 + 0.5 x14467 - x19416 = 0 e64919: - 0.5 b68 + 0.5 x14468 - x19417 = 0 e64920: - 0.5 b69 + 0.5 x14469 - x19418 = 0 e64921: - 0.5 b70 + 0.5 x14470 - x19419 = 0 e64922: - 0.5 b71 + 0.5 x14471 - x19420 = 0 e64923: - 0.5 b72 + 0.5 x14472 - x19421 = 0 e64924: - 0.5 b73 + 0.5 x14473 - x19422 = 0 e64925: - 0.5 b74 + 0.5 x14474 - x19423 = 0 e64926: - 0.5 b75 + 0.5 x14475 - x19424 = 0 e64927: - 0.5 b76 + 0.5 x14476 - x19425 = 0 e64928: - 0.5 b77 + 0.5 x14477 - x19426 = 0 e64929: - 0.5 b78 + 0.5 x14478 - x19427 = 0 e64930: - 0.5 b79 + 0.5 x14479 - x19428 = 0 e64931: - 0.5 b80 + 0.5 x14480 - x19429 = 0 e64932: - 0.5 b81 + 0.5 x14481 - x19430 = 0 e64933: - 0.5 b82 + 0.5 x14482 - x19431 = 0 e64934: - 0.5 b83 + 0.5 x14483 - x19432 = 0 e64935: - 0.5 b84 + 0.5 x14484 - x19433 = 0 e64936: - 0.5 b85 + 0.5 x14485 - x19434 = 0 e64937: - 0.5 b86 + 0.5 x14486 - x19435 = 0 e64938: - 0.5 b87 + 0.5 x14487 - x19436 = 0 e64939: - 0.5 b88 + 0.5 x14488 - x19437 = 0 e64940: - 0.5 b89 + 0.5 x14489 - x19438 = 0 e64941: - 0.5 b90 + 0.5 x14490 - x19439 = 0 e64942: - 0.5 b91 + 0.5 x14491 - x19440 = 0 e64943: - 0.5 b92 + 0.5 x14492 - x19441 = 0 e64944: - 0.5 b93 + 0.5 x14493 - x19442 = 0 e64945: - 0.5 b94 + 0.5 x14494 - x19443 = 0 e64946: - 0.5 b95 + 0.5 x14495 - x19444 = 0 e64947: - 0.5 b96 + 0.5 x14496 - x19445 = 0 e64948: - 0.5 b97 + 0.5 x14497 - x19446 = 0 e64949: - 0.5 b98 + 0.5 x14498 - x19447 = 0 e64950: - 0.5 b99 + 0.5 x14499 - x19448 = 0 e64951: - 0.5 b100 + 0.5 x14500 - x19449 = 0 e64952: - 0.5 b101 + 0.5 x14501 - x19450 = 0 e64953: - 0.5 b102 + 0.5 x14502 - x19451 = 0 e64954: - 0.5 b103 + 0.5 x14503 - x19452 = 0 e64955: - 0.5 b104 + 0.5 x14504 - x19453 = 0 e64956: - 0.5 b105 + 0.5 x14505 - x19454 = 0 e64957: - 0.5 b106 + 0.5 x14506 - x19455 = 0 e64958: - 0.5 b107 + 0.5 x14507 - x19456 = 0 e64959: - 0.5 b108 + 0.5 x14508 - x19457 = 0 e64960: - 0.5 b109 + 0.5 x14509 - x19458 = 0 e64961: - 0.5 b110 + 0.5 x14510 - x19459 = 0 e64962: - 0.5 b111 + 0.5 x14511 - x19460 = 0 e64963: - 0.5 b112 + 0.5 x14512 - x19461 = 0 e64964: - 0.5 b113 + 0.5 x14513 - x19462 = 0 e64965: - 0.5 b114 + 0.5 x14514 - x19463 = 0 e64966: - 0.5 b115 + 0.5 x14515 - x19464 = 0 e64967: - 0.5 b116 + 0.5 x14516 - x19465 = 0 e64968: - 0.5 b117 + 0.5 x14517 - x19466 = 0 e64969: - 0.5 b118 + 0.5 x14518 - x19467 = 0 e64970: - 0.5 b119 + 0.5 x14519 - x19468 = 0 e64971: - 0.5 b120 + 0.5 x14520 - x19469 = 0 e64972: - 0.5 b121 + 0.5 x14521 - x19470 = 0 e64973: - 0.5 b122 + 0.5 x14522 - x19471 = 0 e64974: - 0.5 b123 + 0.5 x14523 - x19472 = 0 e64975: - 0.5 b124 + 0.5 x14524 - x19473 = 0 e64976: - 0.5 b125 + 0.5 x14525 - x19474 = 0 e64977: - 0.5 b126 + 0.5 x14526 - x19475 = 0 e64978: - 0.5 b127 + 0.5 x14527 - x19476 = 0 e64979: - 0.5 b128 + 0.5 x14528 - x19477 = 0 e64980: - 0.5 b129 + 0.5 x14529 - x19478 = 0 e64981: - 0.5 b130 + 0.5 x14530 - x19479 = 0 e64982: - 0.5 b131 + 0.5 x14531 - x19480 = 0 e64983: - 0.5 b132 + 0.5 x14532 - x19481 = 0 e64984: - 0.5 b133 + 0.5 x14533 - x19482 = 0 e64985: - 0.5 b134 + 0.5 x14534 - x19483 = 0 e64986: - 0.5 b135 + 0.5 x14535 - x19484 = 0 e64987: - 0.5 b136 + 0.5 x14536 - x19485 = 0 e64988: - 0.5 b137 + 0.5 x14537 - x19486 = 0 e64989: - 0.5 b138 + 0.5 x14538 - x19487 = 0 e64990: - 0.5 b139 + 0.5 x14539 - x19488 = 0 e64991: - 0.5 b140 + 0.5 x14540 - x19489 = 0 e64992: - 0.5 b141 + 0.5 x14541 - x19490 = 0 e64993: - 0.5 b142 + 0.5 x14542 - x19491 = 0 e64994: - 0.5 b143 + 0.5 x14543 - x19492 = 0 e64995: - 0.5 b144 + 0.5 x14544 - x19493 = 0 e64996: - 0.5 b145 + 0.5 x14545 - x19494 = 0 e64997: - 0.5 b146 + 0.5 x14546 - x19495 = 0 e64998: - 0.5 b147 + 0.5 x14547 - x19496 = 0 e64999: - 0.5 b148 + 0.5 x14548 - x19497 = 0 e65000: - 0.5 b149 + 0.5 x14549 - x19498 = 0 e65001: - 0.5 b150 + 0.5 x14550 - x19499 = 0 e65002: - 0.5 b151 + 0.5 x14551 - x19500 = 0 e65003: - 0.5 b152 + 0.5 x14552 - x19501 = 0 e65004: - 0.5 b153 + 0.5 x14553 - x19502 = 0 e65005: - 0.5 b154 + 0.5 x14554 - x19503 = 0 e65006: - 0.5 b155 + 0.5 x14555 - x19504 = 0 e65007: - 0.5 b156 + 0.5 x14556 - x19505 = 0 e65008: - 0.5 b157 + 0.5 x14557 - x19506 = 0 e65009: - 0.5 b158 + 0.5 x14558 - x19507 = 0 e65010: - 0.5 b159 + 0.5 x14559 - x19508 = 0 e65011: - 0.5 b160 + 0.5 x14560 - x19509 = 0 e65012: - 0.5 b161 + 0.5 x14561 - x19510 = 0 e65013: - 0.5 b162 + 0.5 x14562 - x19511 = 0 e65014: - 0.5 b163 + 0.5 x14563 - x19512 = 0 e65015: - 0.5 b164 + 0.5 x14564 - x19513 = 0 e65016: - 0.5 b165 + 0.5 x14565 - x19514 = 0 e65017: - 0.5 b166 + 0.5 x14566 - x19515 = 0 e65018: - 0.5 b167 + 0.5 x14567 - x19516 = 0 e65019: - 0.5 b168 + 0.5 x14568 - x19517 = 0 e65020: - 0.5 b169 + 0.5 x14569 - x19518 = 0 e65021: - 0.5 b170 + 0.5 x14570 - x19519 = 0 e65022: - 0.5 b171 + 0.5 x14571 - x19520 = 0 e65023: - 0.5 b172 + 0.5 x14572 - x19521 = 0 e65024: - 0.5 b173 + 0.5 x14573 - x19522 = 0 e65025: - 0.5 b174 + 0.5 x14574 - x19523 = 0 e65026: - 0.5 b175 + 0.5 x14575 - x19524 = 0 e65027: - 0.5 b176 + 0.5 x14576 - x19525 = 0 e65028: - 0.5 b177 + 0.5 x14577 - x19526 = 0 e65029: - 0.5 b178 + 0.5 x14578 - x19527 = 0 e65030: - 0.5 b179 + 0.5 x14579 - x19528 = 0 e65031: - 0.5 b180 + 0.5 x14580 - x19529 = 0 e65032: - 0.5 b181 + 0.5 x14581 - x19530 = 0 e65033: - 0.5 b182 + 0.5 x14582 - x19531 = 0 e65034: - 0.5 b183 + 0.5 x14583 - x19532 = 0 e65035: - 0.5 b184 + 0.5 x14584 - x19533 = 0 e65036: - 0.5 b185 + 0.5 x14585 - x19534 = 0 e65037: - 0.5 b186 + 0.5 x14586 - x19535 = 0 e65038: - 0.5 b187 + 0.5 x14587 - x19536 = 0 e65039: - 0.5 b188 + 0.5 x14588 - x19537 = 0 e65040: - 0.5 b189 + 0.5 x14589 - x19538 = 0 e65041: - 0.5 b190 + 0.5 x14590 - x19539 = 0 e65042: - 0.5 b191 + 0.5 x14591 - x19540 = 0 e65043: - 0.5 b192 + 0.5 x14592 - x19541 = 0 e65044: - 0.5 b193 + 0.5 x14593 - x19542 = 0 e65045: - 0.5 b194 + 0.5 x14594 - x19543 = 0 e65046: - 0.5 b195 + 0.5 x14595 - x19544 = 0 e65047: - 0.5 b196 + 0.5 x14596 - x19545 = 0 e65048: - 0.5 b197 + 0.5 x14597 - x19546 = 0 e65049: - 0.5 b198 + 0.5 x14598 - x19547 = 0 e65050: - 0.5 b199 + 0.5 x14599 - x19548 = 0 e65051: - 0.5 b200 + 0.5 x14600 - x19549 = 0 e65052: - 0.5 b201 + 0.5 x14601 - x19550 = 0 e65053: - 0.5 b202 + 0.5 x14602 - x19551 = 0 e65054: - 0.5 b203 + 0.5 x14603 - x19552 = 0 e65055: - 0.5 b204 + 0.5 x14604 - x19553 = 0 e65056: - 0.5 b205 + 0.5 x14605 - x19554 = 0 e65057: - 0.5 b206 + 0.5 x14606 - x19555 = 0 e65058: - 0.5 b207 + 0.5 x14607 - x19556 = 0 e65059: - 0.5 b208 + 0.5 x14608 - x19557 = 0 e65060: - 0.5 b209 + 0.5 x14609 - x19558 = 0 e65061: - 0.5 b210 + 0.5 x14610 - x19559 = 0 e65062: - 0.5 b211 + 0.5 x14611 - x19560 = 0 e65063: - 0.5 b212 + 0.5 x14612 - x19561 = 0 e65064: - 0.5 b213 + 0.5 x14613 - x19562 = 0 e65065: - 0.5 b214 + 0.5 x14614 - x19563 = 0 e65066: - 0.5 b215 + 0.5 x14615 - x19564 = 0 e65067: - 0.5 b216 + 0.5 x14616 - x19565 = 0 e65068: - 0.5 b217 + 0.5 x14617 - x19566 = 0 e65069: - 0.5 b218 + 0.5 x14618 - x19567 = 0 e65070: - 0.5 b219 + 0.5 x14619 - x19568 = 0 e65071: - 0.5 b220 + 0.5 x14620 - x19569 = 0 e65072: - 0.5 b221 + 0.5 x14621 - x19570 = 0 e65073: - 0.5 b222 + 0.5 x14622 - x19571 = 0 e65074: - 0.5 b223 + 0.5 x14623 - x19572 = 0 e65075: - 0.5 b224 + 0.5 x14624 - x19573 = 0 e65076: - 0.5 b225 + 0.5 x14625 - x19574 = 0 e65077: - 0.5 b226 + 0.5 x14626 - x19575 = 0 e65078: - 0.5 b227 + 0.5 x14627 - x19576 = 0 e65079: - 0.5 b228 + 0.5 x14628 - x19577 = 0 e65080: - 0.5 b229 + 0.5 x14629 - x19578 = 0 e65081: - 0.5 b230 + 0.5 x14630 - x19579 = 0 e65082: - 0.5 b231 + 0.5 x14631 - x19580 = 0 e65083: - 0.5 b232 + 0.5 x14632 - x19581 = 0 e65084: - 0.5 b233 + 0.5 x14633 - x19582 = 0 e65085: - 0.5 b234 + 0.5 x14634 - x19583 = 0 e65086: - 0.5 b235 + 0.5 x14635 - x19584 = 0 e65087: - 0.5 b236 + 0.5 x14636 - x19585 = 0 e65088: - 0.5 b237 + 0.5 x14637 - x19586 = 0 e65089: - 0.5 b238 + 0.5 x14638 - x19587 = 0 e65090: - 0.5 b239 + 0.5 x14639 - x19588 = 0 e65091: - 0.5 b240 + 0.5 x14640 - x19589 = 0 e65092: - 0.5 b241 + 0.5 x14641 - x19590 = 0 e65093: - 0.5 b242 + 0.5 x14642 - x19591 = 0 e65094: - 0.5 b243 + 0.5 x14643 - x19592 = 0 e65095: - 0.5 b244 + 0.5 x14644 - x19593 = 0 e65096: - 0.5 b245 + 0.5 x14645 - x19594 = 0 e65097: - 0.5 b246 + 0.5 x14646 - x19595 = 0 e65098: - 0.5 b247 + 0.5 x14647 - x19596 = 0 e65099: - 0.5 b248 + 0.5 x14648 - x19597 = 0 e65100: - 0.5 b249 + 0.5 x14649 - x19598 = 0 e65101: - 0.5 b250 + 0.5 x14650 - x19599 = 0 e65102: - 0.5 b251 + 0.5 x14651 - x19600 = 0 e65103: - 0.5 b252 + 0.5 x14652 - x19601 = 0 e65104: - 0.5 b253 + 0.5 x14653 - x19602 = 0 e65105: - 0.5 b254 + 0.5 x14654 - x19603 = 0 e65106: - 0.5 b255 + 0.5 x14655 - x19604 = 0 e65107: - 0.5 b256 + 0.5 x14656 - x19605 = 0 e65108: - 0.5 b257 + 0.5 x14657 - x19606 = 0 e65109: - 0.5 b258 + 0.5 x14658 - x19607 = 0 e65110: - 0.5 b259 + 0.5 x14659 - x19608 = 0 e65111: - 0.5 b260 + 0.5 x14660 - x19609 = 0 e65112: - 0.5 b261 + 0.5 x14661 - x19610 = 0 e65113: - 0.5 b262 + 0.5 x14662 - x19611 = 0 e65114: - 0.5 b263 + 0.5 x14663 - x19612 = 0 e65115: - 0.5 b264 + 0.5 x14664 - x19613 = 0 e65116: - 0.5 b265 + 0.5 x14665 - x19614 = 0 e65117: - 0.5 b266 + 0.5 x14666 - x19615 = 0 e65118: - 0.5 b267 + 0.5 x14667 - x19616 = 0 e65119: - 0.5 b268 + 0.5 x14668 - x19617 = 0 e65120: - 0.5 b269 + 0.5 x14669 - x19618 = 0 e65121: - 0.5 b270 + 0.5 x14670 - x19619 = 0 e65122: - 0.5 b271 + 0.5 x14671 - x19620 = 0 e65123: - 0.5 b272 + 0.5 x14672 - x19621 = 0 e65124: - 0.5 b273 + 0.5 x14673 - x19622 = 0 e65125: - 0.5 b274 + 0.5 x14674 - x19623 = 0 e65126: - 0.5 b275 + 0.5 x14675 - x19624 = 0 e65127: - 0.5 b276 + 0.5 x14676 - x19625 = 0 e65128: - 0.5 b277 + 0.5 x14677 - x19626 = 0 e65129: - 0.5 b278 + 0.5 x14678 - x19627 = 0 e65130: - 0.5 b279 + 0.5 x14679 - x19628 = 0 e65131: - 0.5 b280 + 0.5 x14680 - x19629 = 0 e65132: - 0.5 b281 + 0.5 x14681 - x19630 = 0 e65133: - 0.5 b282 + 0.5 x14682 - x19631 = 0 e65134: - 0.5 b283 + 0.5 x14683 - x19632 = 0 e65135: - 0.5 b284 + 0.5 x14684 - x19633 = 0 e65136: - 0.5 b285 + 0.5 x14685 - x19634 = 0 e65137: - 0.5 b286 + 0.5 x14686 - x19635 = 0 e65138: - 0.5 b287 + 0.5 x14687 - x19636 = 0 e65139: - 0.5 b288 + 0.5 x14688 - x19637 = 0 e65140: - 0.5 b289 + 0.5 x14689 - x19638 = 0 e65141: - 0.5 b290 + 0.5 x14690 - x19639 = 0 e65142: - 0.5 b291 + 0.5 x14691 - x19640 = 0 e65143: - 0.5 b292 + 0.5 x14692 - x19641 = 0 e65144: - 0.5 b293 + 0.5 x14693 - x19642 = 0 e65145: - 0.5 b294 + 0.5 x14694 - x19643 = 0 e65146: - 0.5 b295 + 0.5 x14695 - x19644 = 0 e65147: - 0.5 b296 + 0.5 x14696 - x19645 = 0 e65148: - 0.5 b297 + 0.5 x14697 - x19646 = 0 e65149: - 0.5 b298 + 0.5 x14698 - x19647 = 0 e65150: - 0.5 b299 + 0.5 x14699 - x19648 = 0 e65151: - 0.5 b300 + 0.5 x14700 - x19649 = 0 e65152: - 0.5 b301 + 0.5 x14701 - x19650 = 0 e65153: - 0.5 b302 + 0.5 x14702 - x19651 = 0 e65154: - 0.5 b303 + 0.5 x14703 - x19652 = 0 e65155: - 0.5 b304 + 0.5 x14704 - x19653 = 0 e65156: - 0.5 b305 + 0.5 x14705 - x19654 = 0 e65157: - 0.5 b306 + 0.5 x14706 - x19655 = 0 e65158: - 0.5 b307 + 0.5 x14707 - x19656 = 0 e65159: - 0.5 b308 + 0.5 x14708 - x19657 = 0 e65160: - 0.5 b309 + 0.5 x14709 - x19658 = 0 e65161: - 0.5 b310 + 0.5 x14710 - x19659 = 0 e65162: - 0.5 b311 + 0.5 x14711 - x19660 = 0 e65163: - 0.5 b312 + 0.5 x14712 - x19661 = 0 e65164: - 0.5 b313 + 0.5 x14713 - x19662 = 0 e65165: - 0.5 b314 + 0.5 x14714 - x19663 = 0 e65166: - 0.5 b315 + 0.5 x14715 - x19664 = 0 e65167: - 0.5 b316 + 0.5 x14716 - x19665 = 0 e65168: - 0.5 b317 + 0.5 x14717 - x19666 = 0 e65169: - 0.5 b318 + 0.5 x14718 - x19667 = 0 e65170: - 0.5 b319 + 0.5 x14719 - x19668 = 0 e65171: - 0.5 b320 + 0.5 x14720 - x19669 = 0 e65172: - 0.5 b321 + 0.5 x14721 - x19670 = 0 e65173: - 0.5 b322 + 0.5 x14722 - x19671 = 0 e65174: - 0.5 b323 + 0.5 x14723 - x19672 = 0 e65175: - 0.5 b324 + 0.5 x14724 - x19673 = 0 e65176: - 0.5 b325 + 0.5 x14725 - x19674 = 0 e65177: - 0.5 b326 + 0.5 x14726 - x19675 = 0 e65178: - 0.5 b327 + 0.5 x14727 - x19676 = 0 e65179: - 0.5 b328 + 0.5 x14728 - x19677 = 0 e65180: - 0.5 b329 + 0.5 x14729 - x19678 = 0 e65181: - 0.5 b330 + 0.5 x14730 - x19679 = 0 e65182: - 0.5 b331 + 0.5 x14731 - x19680 = 0 e65183: - 0.5 b332 + 0.5 x14732 - x19681 = 0 e65184: - 0.5 b333 + 0.5 x14733 - x19682 = 0 e65185: - 0.5 b334 + 0.5 x14734 - x19683 = 0 e65186: - 0.5 b335 + 0.5 x14735 - x19684 = 0 e65187: - 0.5 b336 + 0.5 x14736 - x19685 = 0 e65188: - 0.5 b337 + 0.5 x14737 - x19686 = 0 e65189: - 0.5 b338 + 0.5 x14738 - x19687 = 0 e65190: - 0.5 b339 + 0.5 x14739 - x19688 = 0 e65191: - 0.5 b340 + 0.5 x14740 - x19689 = 0 e65192: - 0.5 b341 + 0.5 x14741 - x19690 = 0 e65193: - 0.5 b342 + 0.5 x14742 - x19691 = 0 e65194: - 0.5 b343 + 0.5 x14743 - x19692 = 0 e65195: - 0.5 b344 + 0.5 x14744 - x19693 = 0 e65196: - 0.5 b345 + 0.5 x14745 - x19694 = 0 e65197: - 0.5 b346 + 0.5 x14746 - x19695 = 0 e65198: - 0.5 b347 + 0.5 x14747 - x19696 = 0 e65199: - 0.5 b348 + 0.5 x14748 - x19697 = 0 e65200: - 0.5 b349 + 0.5 x14749 - x19698 = 0 e65201: - 0.5 b350 + 0.5 x14750 - x19699 = 0 e65202: - 0.5 b351 + 0.5 x14751 - x19700 = 0 e65203: - 0.5 b352 + 0.5 x14752 - x19701 = 0 e65204: - 0.5 b353 + 0.5 x14753 - x19702 = 0 e65205: - 0.5 b354 + 0.5 x14754 - x19703 = 0 e65206: - 0.5 b355 + 0.5 x14755 - x19704 = 0 e65207: - 0.5 b356 + 0.5 x14756 - x19705 = 0 e65208: - 0.5 b357 + 0.5 x14757 - x19706 = 0 e65209: - 0.5 b358 + 0.5 x14758 - x19707 = 0 e65210: - 0.5 b359 + 0.5 x14759 - x19708 = 0 e65211: - 0.5 b360 + 0.5 x14760 - x19709 = 0 e65212: - 0.5 b361 + 0.5 x14761 - x19710 = 0 e65213: - 0.5 b362 + 0.5 x14762 - x19711 = 0 e65214: - 0.5 b363 + 0.5 x14763 - x19712 = 0 e65215: - 0.5 b364 + 0.5 x14764 - x19713 = 0 e65216: - 0.5 b365 + 0.5 x14765 - x19714 = 0 e65217: - 0.5 b366 + 0.5 x14766 - x19715 = 0 e65218: - 0.5 b367 + 0.5 x14767 - x19716 = 0 e65219: - 0.5 b368 + 0.5 x14768 - x19717 = 0 e65220: - 0.5 b369 + 0.5 x14769 - x19718 = 0 e65221: - 0.5 b370 + 0.5 x14770 - x19719 = 0 e65222: - 0.5 b371 + 0.5 x14771 - x19720 = 0 e65223: - 0.5 b372 + 0.5 x14772 - x19721 = 0 e65224: - 0.5 b373 + 0.5 x14773 - x19722 = 0 e65225: - 0.5 b374 + 0.5 x14774 - x19723 = 0 e65226: - 0.5 b375 + 0.5 x14775 - x19724 = 0 e65227: - 0.5 b376 + 0.5 x14776 - x19725 = 0 e65228: - 0.5 b377 + 0.5 x14777 - x19726 = 0 e65229: - 0.5 b378 + 0.5 x14778 - x19727 = 0 e65230: - 0.5 b379 + 0.5 x14779 - x19728 = 0 e65231: - 0.5 b380 + 0.5 x14780 - x19729 = 0 e65232: - 0.5 b381 + 0.5 x14781 - x19730 = 0 e65233: - 0.5 b382 + 0.5 x14782 - x19731 = 0 e65234: - 0.5 b383 + 0.5 x14783 - x19732 = 0 e65235: - 0.5 b384 + 0.5 x14784 - x19733 = 0 e65236: - 0.5 b385 + 0.5 x14785 - x19734 = 0 e65237: - 0.5 b386 + 0.5 x14786 - x19735 = 0 e65238: - 0.5 b387 + 0.5 x14787 - x19736 = 0 e65239: - 0.5 b388 + 0.5 x14788 - x19737 = 0 e65240: - 0.5 b389 + 0.5 x14789 - x19738 = 0 e65241: - 0.5 b390 + 0.5 x14790 - x19739 = 0 e65242: - 0.5 b391 + 0.5 x14791 - x19740 = 0 e65243: - 0.5 b392 + 0.5 x14792 - x19741 = 0 e65244: - 0.5 b393 + 0.5 x14793 - x19742 = 0 e65245: - 0.5 b394 + 0.5 x14794 - x19743 = 0 e65246: - 0.5 b395 + 0.5 x14795 - x19744 = 0 e65247: - 0.5 b396 + 0.5 x14796 - x19745 = 0 e65248: - 0.5 b397 + 0.5 x14797 - x19746 = 0 e65249: - 0.5 b398 + 0.5 x14798 - x19747 = 0 e65250: - 0.5 b399 + 0.5 x14799 - x19748 = 0 e65251: - 0.5 b400 + 0.5 x14800 - x19749 = 0 e65252: - 0.5 b401 + 0.5 x14801 - x19750 = 0 e65253: - 0.5 b402 + 0.5 x14802 - x19751 = 0 e65254: - 0.5 b403 + 0.5 x14803 - x19752 = 0 e65255: - 0.5 b404 + 0.5 x14804 - x19753 = 0 e65256: - 0.5 b405 + 0.5 x14805 - x19754 = 0 e65257: - 0.5 b406 + 0.5 x14806 - x19755 = 0 e65258: - 0.5 b407 + 0.5 x14807 - x19756 = 0 e65259: - 0.5 b408 + 0.5 x14808 - x19757 = 0 e65260: - 0.5 b409 + 0.5 x14809 - x19758 = 0 e65261: - 0.5 b410 + 0.5 x14810 - x19759 = 0 e65262: - 0.5 b411 + 0.5 x14811 - x19760 = 0 e65263: - 0.5 b412 + 0.5 x14812 - x19761 = 0 e65264: - 0.5 b413 + 0.5 x14813 - x19762 = 0 e65265: - 0.5 b414 + 0.5 x14814 - x19763 = 0 e65266: - 0.5 b415 + 0.5 x14815 - x19764 = 0 e65267: - 0.5 b416 + 0.5 x14816 - x19765 = 0 e65268: - 0.5 b417 + 0.5 x14817 - x19766 = 0 e65269: - 0.5 b418 + 0.5 x14818 - x19767 = 0 e65270: - 0.5 b419 + 0.5 x14819 - x19768 = 0 e65271: - 0.5 b420 + 0.5 x14820 - x19769 = 0 e65272: - 0.5 b421 + 0.5 x14821 - x19770 = 0 e65273: - 0.5 b422 + 0.5 x14822 - x19771 = 0 e65274: - 0.5 b423 + 0.5 x14823 - x19772 = 0 e65275: - 0.5 b424 + 0.5 x14824 - x19773 = 0 e65276: - 0.5 b425 + 0.5 x14825 - x19774 = 0 e65277: - 0.5 b426 + 0.5 x14826 - x19775 = 0 e65278: - 0.5 b427 + 0.5 x14827 - x19776 = 0 e65279: - 0.5 b428 + 0.5 x14828 - x19777 = 0 e65280: - 0.5 b429 + 0.5 x14829 - x19778 = 0 e65281: - 0.5 b430 + 0.5 x14830 - x19779 = 0 e65282: - 0.5 b431 + 0.5 x14831 - x19780 = 0 e65283: - 0.5 b432 + 0.5 x14832 - x19781 = 0 e65284: - 0.5 b433 + 0.5 x14833 - x19782 = 0 e65285: - 0.5 b434 + 0.5 x14834 - x19783 = 0 e65286: - 0.5 b435 + 0.5 x14835 - x19784 = 0 e65287: - 0.5 b436 + 0.5 x14836 - x19785 = 0 e65288: - 0.5 b437 + 0.5 x14837 - x19786 = 0 e65289: - 0.5 b438 + 0.5 x14838 - x19787 = 0 e65290: - 0.5 b439 + 0.5 x14839 - x19788 = 0 e65291: - 0.5 b440 + 0.5 x14840 - x19789 = 0 e65292: - 0.5 b441 + 0.5 x14841 - x19790 = 0 e65293: - 0.5 b442 + 0.5 x14842 - x19791 = 0 e65294: - 0.5 b443 + 0.5 x14843 - x19792 = 0 e65295: - 0.5 b444 + 0.5 x14844 - x19793 = 0 e65296: - 0.5 b445 + 0.5 x14845 - x19794 = 0 e65297: - 0.5 b446 + 0.5 x14846 - x19795 = 0 e65298: - 0.5 b447 + 0.5 x14847 - x19796 = 0 e65299: - 0.5 b448 + 0.5 x14848 - x19797 = 0 e65300: - 0.5 b449 + 0.5 x14849 - x19798 = 0 e65301: - 0.5 b450 + 0.5 x14850 - x19799 = 0 e65302: - 0.5 b451 + 0.5 x14851 - x19800 = 0 e65303: - 0.5 b452 + 0.5 x14852 - x19801 = 0 e65304: - 0.5 b453 + 0.5 x14853 - x19802 = 0 e65305: - 0.5 b454 + 0.5 x14854 - x19803 = 0 e65306: - 0.5 b455 + 0.5 x14855 - x19804 = 0 e65307: - 0.5 b456 + 0.5 x14856 - x19805 = 0 e65308: - 0.5 b457 + 0.5 x14857 - x19806 = 0 e65309: - 0.5 b458 + 0.5 x14858 - x19807 = 0 e65310: - 0.5 b459 + 0.5 x14859 - x19808 = 0 e65311: - 0.5 b460 + 0.5 x14860 - x19809 = 0 e65312: - 0.5 b461 + 0.5 x14861 - x19810 = 0 e65313: - 0.5 b462 + 0.5 x14862 - x19811 = 0 e65314: - 0.5 b463 + 0.5 x14863 - x19812 = 0 e65315: - 0.5 b464 + 0.5 x14864 - x19813 = 0 e65316: - 0.5 b465 + 0.5 x14865 - x19814 = 0 e65317: - 0.5 b466 + 0.5 x14866 - x19815 = 0 e65318: - 0.5 b467 + 0.5 x14867 - x19816 = 0 e65319: - 0.5 b468 + 0.5 x14868 - x19817 = 0 e65320: - 0.5 b469 + 0.5 x14869 - x19818 = 0 e65321: - 0.5 b470 + 0.5 x14870 - x19819 = 0 e65322: - 0.5 b471 + 0.5 x14871 - x19820 = 0 e65323: - 0.5 b472 + 0.5 x14872 - x19821 = 0 e65324: - 0.5 b473 + 0.5 x14873 - x19822 = 0 e65325: - 0.5 b474 + 0.5 x14874 - x19823 = 0 e65326: - 0.5 b475 + 0.5 x14875 - x19824 = 0 e65327: - 0.5 b476 + 0.5 x14876 - x19825 = 0 e65328: - 0.5 b477 + 0.5 x14877 - x19826 = 0 e65329: - 0.5 b478 + 0.5 x14878 - x19827 = 0 e65330: - 0.5 b479 + 0.5 x14879 - x19828 = 0 e65331: - 0.5 b480 + 0.5 x14880 - x19829 = 0 e65332: - 0.5 b481 + 0.5 x14881 - x19830 = 0 e65333: - 0.5 b482 + 0.5 x14882 - x19831 = 0 e65334: - 0.5 b483 + 0.5 x14883 - x19832 = 0 e65335: - 0.5 b484 + 0.5 x14884 - x19833 = 0 e65336: - 0.5 b485 + 0.5 x14885 - x19834 = 0 e65337: - 0.5 b486 + 0.5 x14886 - x19835 = 0 e65338: - 0.5 b487 + 0.5 x14887 - x19836 = 0 e65339: - 0.5 b488 + 0.5 x14888 - x19837 = 0 e65340: - 0.5 b489 + 0.5 x14889 - x19838 = 0 e65341: - 0.5 b490 + 0.5 x14890 - x19839 = 0 e65342: - 0.5 b491 + 0.5 x14891 - x19840 = 0 e65343: - 0.5 b492 + 0.5 x14892 - x19841 = 0 e65344: - 0.5 b493 + 0.5 x14893 - x19842 = 0 e65345: - 0.5 b494 + 0.5 x14894 - x19843 = 0 e65346: - 0.5 b495 + 0.5 x14895 - x19844 = 0 e65347: - 0.5 b496 + 0.5 x14896 - x19845 = 0 e65348: - 0.5 b497 + 0.5 x14897 - x19846 = 0 e65349: - 0.5 b498 + 0.5 x14898 - x19847 = 0 e65350: - 0.5 b499 + 0.5 x14899 - x19848 = 0 e65351: - 0.5 b500 + 0.5 x14900 - x19849 = 0 e65352: - 0.5 b501 + 0.5 x14901 - x19850 = 0 e65353: - 0.5 b502 + 0.5 x14902 - x19851 = 0 e65354: - 0.5 b503 + 0.5 x14903 - x19852 = 0 e65355: - 0.5 b504 + 0.5 x14904 - x19853 = 0 e65356: - 0.5 b505 + 0.5 x14905 - x19854 = 0 e65357: - 0.5 b506 + 0.5 x14906 - x19855 = 0 e65358: - 0.5 b507 + 0.5 x14907 - x19856 = 0 e65359: - 0.5 b508 + 0.5 x14908 - x19857 = 0 e65360: - 0.5 b509 + 0.5 x14909 - x19858 = 0 e65361: - 0.5 b510 + 0.5 x14910 - x19859 = 0 e65362: - 0.5 b511 + 0.5 x14911 - x19860 = 0 e65363: - 0.5 b512 + 0.5 x14912 - x19861 = 0 e65364: - 0.5 b513 + 0.5 x14913 - x19862 = 0 e65365: - 0.5 b514 + 0.5 x14914 - x19863 = 0 e65366: - 0.5 b515 + 0.5 x14915 - x19864 = 0 e65367: - 0.5 b516 + 0.5 x14916 - x19865 = 0 e65368: - 0.5 b517 + 0.5 x14917 - x19866 = 0 e65369: - 0.5 b518 + 0.5 x14918 - x19867 = 0 e65370: - 0.5 b519 + 0.5 x14919 - x19868 = 0 e65371: - 0.5 b520 + 0.5 x14920 - x19869 = 0 e65372: - 0.5 b521 + 0.5 x14921 - x19870 = 0 e65373: - 0.5 b522 + 0.5 x14922 - x19871 = 0 e65374: - 0.5 b523 + 0.5 x14923 - x19872 = 0 e65375: - 0.5 b524 + 0.5 x14924 - x19873 = 0 e65376: - 0.5 b525 + 0.5 x14925 - x19874 = 0 e65377: - 0.5 b526 + 0.5 x14926 - x19875 = 0 e65378: - 0.5 b527 + 0.5 x14927 - x19876 = 0 e65379: - 0.5 b528 + 0.5 x14928 - x19877 = 0 e65380: - 0.5 b529 + 0.5 x14929 - x19878 = 0 e65381: - 0.5 b530 + 0.5 x14930 - x19879 = 0 e65382: - 0.5 b531 + 0.5 x14931 - x19880 = 0 e65383: - 0.5 b532 + 0.5 x14932 - x19881 = 0 e65384: - 0.5 b533 + 0.5 x14933 - x19882 = 0 e65385: - 0.5 b534 + 0.5 x14934 - x19883 = 0 e65386: - 0.5 b535 + 0.5 x14935 - x19884 = 0 e65387: - 0.5 b536 + 0.5 x14936 - x19885 = 0 e65388: - 0.5 b537 + 0.5 x14937 - x19886 = 0 e65389: - 0.5 b538 + 0.5 x14938 - x19887 = 0 e65390: - 0.5 b539 + 0.5 x14939 - x19888 = 0 e65391: - 0.5 b540 + 0.5 x14940 - x19889 = 0 e65392: - 0.5 b541 + 0.5 x14941 - x19890 = 0 e65393: - 0.5 b542 + 0.5 x14942 - x19891 = 0 e65394: - 0.5 b543 + 0.5 x14943 - x19892 = 0 e65395: - 0.5 b544 + 0.5 x14944 - x19893 = 0 e65396: - 0.5 b545 + 0.5 x14945 - x19894 = 0 e65397: - 0.5 b546 + 0.5 x14946 - x19895 = 0 e65398: - 0.5 b547 + 0.5 x14947 - x19896 = 0 e65399: - 0.5 b548 + 0.5 x14948 - x19897 = 0 e65400: - 0.5 b549 + 0.5 x14949 - x19898 = 0 e65401: - 0.5 b550 + 0.5 x14950 - x19899 = 0 e65402: - 0.5 b551 + 0.5 x14951 - x19900 = 0 e65403: - 0.5 b552 + 0.5 x14952 - x19901 = 0 e65404: - 0.5 b553 + 0.5 x14953 - x19902 = 0 e65405: - 0.5 b554 + 0.5 x14954 - x19903 = 0 e65406: - 0.5 b555 + 0.5 x14955 - x19904 = 0 e65407: - 0.5 b556 + 0.5 x14956 - x19905 = 0 e65408: - 0.5 b557 + 0.5 x14957 - x19906 = 0 e65409: - 0.5 b558 + 0.5 x14958 - x19907 = 0 e65410: - 0.5 b559 + 0.5 x14959 - x19908 = 0 e65411: - 0.5 b560 + 0.5 x14960 - x19909 = 0 e65412: - 0.5 b561 + 0.5 x14961 - x19910 = 0 e65413: - 0.5 b562 + 0.5 x14962 - x19911 = 0 e65414: - 0.5 b563 + 0.5 x14963 - x19912 = 0 e65415: - 0.5 b564 + 0.5 x14964 - x19913 = 0 e65416: - 0.5 b565 + 0.5 x14965 - x19914 = 0 e65417: - 0.5 b566 + 0.5 x14966 - x19915 = 0 e65418: - 0.5 b567 + 0.5 x14967 - x19916 = 0 e65419: - 0.5 b568 + 0.5 x14968 - x19917 = 0 e65420: - 0.5 b569 + 0.5 x14969 - x19918 = 0 e65421: - 0.5 b570 + 0.5 x14970 - x19919 = 0 e65422: - 0.5 b571 + 0.5 x14971 - x19920 = 0 e65423: - 0.5 b572 + 0.5 x14972 - x19921 = 0 e65424: - 0.5 b573 + 0.5 x14973 - x19922 = 0 e65425: - 0.5 b574 + 0.5 x14974 - x19923 = 0 e65426: - 0.5 b575 + 0.5 x14975 - x19924 = 0 e65427: - 0.5 b576 + 0.5 x14976 - x19925 = 0 e65428: - 0.5 b577 + 0.5 x14977 - x19926 = 0 e65429: - 0.5 b578 + 0.5 x14978 - x19927 = 0 e65430: - 0.5 b579 + 0.5 x14979 - x19928 = 0 e65431: - 0.5 b580 + 0.5 x14980 - x19929 = 0 e65432: - 0.5 b581 + 0.5 x14981 - x19930 = 0 e65433: - 0.5 b582 + 0.5 x14982 - x19931 = 0 e65434: - 0.5 b583 + 0.5 x14983 - x19932 = 0 e65435: - 0.5 b584 + 0.5 x14984 - x19933 = 0 e65436: - 0.5 b585 + 0.5 x14985 - x19934 = 0 e65437: - 0.5 b586 + 0.5 x14986 - x19935 = 0 e65438: - 0.5 b587 + 0.5 x14987 - x19936 = 0 e65439: - 0.5 b588 + 0.5 x14988 - x19937 = 0 e65440: - 0.5 b589 + 0.5 x14989 - x19938 = 0 e65441: - 0.5 b590 + 0.5 x14990 - x19939 = 0 e65442: - 0.5 b591 + 0.5 x14991 - x19940 = 0 e65443: - 0.5 b592 + 0.5 x14992 - x19941 = 0 e65444: - 0.5 b593 + 0.5 x14993 - x19942 = 0 e65445: - 0.5 b594 + 0.5 x14994 - x19943 = 0 e65446: - 0.5 b595 + 0.5 x14995 - x19944 = 0 e65447: - 0.5 b596 + 0.5 x14996 - x19945 = 0 e65448: - 0.5 b597 + 0.5 x14997 - x19946 = 0 e65449: - 0.5 b598 + 0.5 x14998 - x19947 = 0 e65450: - 0.5 b599 + 0.5 x14999 - x19948 = 0 e65451: - 0.5 b600 + 0.5 x15000 - x19949 = 0 e65452: - 0.5 b601 + 0.5 x15001 - x19950 = 0 e65453: - 0.5 b602 + 0.5 x15002 - x19951 = 0 e65454: - 0.5 b603 + 0.5 x15003 - x19952 = 0 e65455: - 0.5 b604 + 0.5 x15004 - x19953 = 0 e65456: - 0.5 b605 + 0.5 x15005 - x19954 = 0 e65457: - 0.5 b606 + 0.5 x15006 - x19955 = 0 e65458: - 0.5 b607 + 0.5 x15007 - x19956 = 0 e65459: - 0.5 b608 + 0.5 x15008 - x19957 = 0 e65460: - 0.5 b609 + 0.5 x15009 - x19958 = 0 e65461: - 0.5 b610 + 0.5 x15010 - x19959 = 0 e65462: - 0.5 b611 + 0.5 x15011 - x19960 = 0 e65463: - 0.5 b612 + 0.5 x15012 - x19961 = 0 e65464: - 0.5 b613 + 0.5 x15013 - x19962 = 0 e65465: - 0.5 b614 + 0.5 x15014 - x19963 = 0 e65466: - 0.5 b615 + 0.5 x15015 - x19964 = 0 e65467: - 0.5 b616 + 0.5 x15016 - x19965 = 0 e65468: - 0.5 b617 + 0.5 x15017 - x19966 = 0 e65469: - 0.5 b618 + 0.5 x15018 - x19967 = 0 e65470: - 0.5 b619 + 0.5 x15019 - x19968 = 0 e65471: - 0.5 b620 + 0.5 x15020 - x19969 = 0 e65472: - 0.5 b621 + 0.5 x15021 - x19970 = 0 e65473: - 0.5 b622 + 0.5 x15022 - x19971 = 0 e65474: - 0.5 b623 + 0.5 x15023 - x19972 = 0 e65475: - 0.5 b624 + 0.5 x15024 - x19973 = 0 e65476: - 0.5 b625 + 0.5 x15025 - x19974 = 0 e65477: - 0.5 b626 + 0.5 x15026 - x19975 = 0 e65478: - 0.5 b627 + 0.5 x15027 - x19976 = 0 e65479: - 0.5 b628 + 0.5 x15028 - x19977 = 0 e65480: - 0.5 b629 + 0.5 x15029 - x19978 = 0 e65481: - 0.5 b630 + 0.5 x15030 - x19979 = 0 e65482: - 0.5 b631 + 0.5 x15031 - x19980 = 0 e65483: - 0.5 b632 + 0.5 x15032 - x19981 = 0 e65484: - 0.5 b633 + 0.5 x15033 - x19982 = 0 e65485: - 0.5 b634 + 0.5 x15034 - x19983 = 0 e65486: - 0.5 b635 + 0.5 x15035 - x19984 = 0 e65487: - 0.5 b636 + 0.5 x15036 - x19985 = 0 e65488: - 0.5 b637 + 0.5 x15037 - x19986 = 0 e65489: - 0.5 b638 + 0.5 x15038 - x19987 = 0 e65490: - 0.5 b639 + 0.5 x15039 - x19988 = 0 e65491: - 0.5 b640 + 0.5 x15040 - x19989 = 0 e65492: - 0.5 b641 + 0.5 x15041 - x19990 = 0 e65493: - 0.5 b642 + 0.5 x15042 - x19991 = 0 e65494: - 0.5 b643 + 0.5 x15043 - x19992 = 0 e65495: - 0.5 b644 + 0.5 x15044 - x19993 = 0 e65496: - 0.5 b645 + 0.5 x15045 - x19994 = 0 e65497: - 0.5 b646 + 0.5 x15046 - x19995 = 0 e65498: - 0.5 b647 + 0.5 x15047 - x19996 = 0 e65499: - 0.5 b648 + 0.5 x15048 - x19997 = 0 e65500: - 0.5 b649 + 0.5 x15049 - x19998 = 0 e65501: - 0.5 b650 + 0.5 x15050 - x19999 = 0 e65502: - 0.5 b651 + 0.5 x15051 - x20000 = 0 e65503: - 0.5 b652 + 0.5 x15052 - x20001 = 0 e65504: - 0.5 b653 + 0.5 x15053 - x20002 = 0 e65505: - 0.5 b654 + 0.5 x15054 - x20003 = 0 e65506: - 0.5 b655 + 0.5 x15055 - x20004 = 0 e65507: - 0.5 b656 + 0.5 x15056 - x20005 = 0 e65508: - 0.5 b657 + 0.5 x15057 - x20006 = 0 e65509: - 0.5 b658 + 0.5 x15058 - x20007 = 0 e65510: - 0.5 b659 + 0.5 x15059 - x20008 = 0 e65511: - 0.5 b660 + 0.5 x15060 - x20009 = 0 e65512: - 0.5 b661 + 0.5 x15061 - x20010 = 0 e65513: - 0.5 b662 + 0.5 x15062 - x20011 = 0 e65514: - 0.5 b663 + 0.5 x15063 - x20012 = 0 e65515: - 0.5 b664 + 0.5 x15064 - x20013 = 0 e65516: - 0.5 b665 + 0.5 x15065 - x20014 = 0 e65517: - 0.5 b666 + 0.5 x15066 - x20015 = 0 e65518: - 0.5 b667 + 0.5 x15067 - x20016 = 0 e65519: - 0.5 b668 + 0.5 x15068 - x20017 = 0 e65520: - 0.5 b669 + 0.5 x15069 - x20018 = 0 e65521: - 0.5 b670 + 0.5 x15070 - x20019 = 0 e65522: - 0.5 b671 + 0.5 x15071 - x20020 = 0 e65523: - 0.5 b672 + 0.5 x15072 - x20021 = 0 e65524: - 0.5 b673 + 0.5 x15073 - x20022 = 0 e65525: - 0.5 b674 + 0.5 x15074 - x20023 = 0 e65526: - 0.5 b675 + 0.5 x15075 - x20024 = 0 e65527: - 0.5 b676 + 0.5 x15076 - x20025 = 0 e65528: - 0.5 b677 + 0.5 x15077 - x20026 = 0 e65529: - 0.5 b678 + 0.5 x15078 - x20027 = 0 e65530: - 0.5 b679 + 0.5 x15079 - x20028 = 0 e65531: - 0.5 b680 + 0.5 x15080 - x20029 = 0 e65532: - 0.5 b681 + 0.5 x15081 - x20030 = 0 e65533: - 0.5 b682 + 0.5 x15082 - x20031 = 0 e65534: - 0.5 b683 + 0.5 x15083 - x20032 = 0 e65535: - 0.5 b684 + 0.5 x15084 - x20033 = 0 e65536: - 0.5 b685 + 0.5 x15085 - x20034 = 0 e65537: - 0.5 b686 + 0.5 x15086 - x20035 = 0 e65538: - 0.5 b687 + 0.5 x15087 - x20036 = 0 e65539: - 0.5 b688 + 0.5 x15088 - x20037 = 0 e65540: - 0.5 b689 + 0.5 x15089 - x20038 = 0 e65541: - 0.5 b690 + 0.5 x15090 - x20039 = 0 e65542: - 0.5 b691 + 0.5 x15091 - x20040 = 0 e65543: - 0.5 b692 + 0.5 x15092 - x20041 = 0 e65544: - 0.5 b693 + 0.5 x15093 - x20042 = 0 e65545: - 0.5 b694 + 0.5 x15094 - x20043 = 0 e65546: - 0.5 b695 + 0.5 x15095 - x20044 = 0 e65547: - 0.5 b696 + 0.5 x15096 - x20045 = 0 e65548: - 0.5 b697 + 0.5 x15097 - x20046 = 0 e65549: - 0.5 b698 + 0.5 x15098 - x20047 = 0 e65550: - 0.5 b699 + 0.5 x15099 - x20048 = 0 e65551: - 0.5 b700 + 0.5 x15100 - x20049 = 0 e65552: - 0.5 b701 + 0.5 x15101 - x20050 = 0 e65553: - 0.5 b702 + 0.5 x15102 - x20051 = 0 e65554: - 0.5 b703 + 0.5 x15103 - x20052 = 0 e65555: - 0.5 b704 + 0.5 x15104 - x20053 = 0 e65556: - 0.5 b705 + 0.5 x15105 - x20054 = 0 e65557: - 0.5 b706 + 0.5 x15106 - x20055 = 0 e65558: - 0.5 b707 + 0.5 x15107 - x20056 = 0 e65559: - 0.5 b708 + 0.5 x15108 - x20057 = 0 e65560: - 0.5 b709 + 0.5 x15109 - x20058 = 0 e65561: - 0.5 b710 + 0.5 x15110 - x20059 = 0 e65562: - 0.5 b711 + 0.5 x15111 - x20060 = 0 e65563: - 0.5 b712 + 0.5 x15112 - x20061 = 0 e65564: - 0.5 b713 + 0.5 x15113 - x20062 = 0 e65565: - 0.5 b714 + 0.5 x15114 - x20063 = 0 e65566: - 0.5 b715 + 0.5 x15115 - x20064 = 0 e65567: - 0.5 b716 + 0.5 x15116 - x20065 = 0 e65568: - 0.5 b717 + 0.5 x15117 - x20066 = 0 e65569: - 0.5 b718 + 0.5 x15118 - x20067 = 0 e65570: - 0.5 b719 + 0.5 x15119 - x20068 = 0 e65571: - 0.5 b720 + 0.5 x15120 - x20069 = 0 e65572: - 0.5 b721 + 0.5 x15121 - x20070 = 0 e65573: - 0.5 b722 + 0.5 x15122 - x20071 = 0 e65574: - 0.5 b723 + 0.5 x15123 - x20072 = 0 e65575: - 0.5 b724 + 0.5 x15124 - x20073 = 0 e65576: - 0.5 b725 + 0.5 x15125 - x20074 = 0 e65577: - 0.5 b726 + 0.5 x15126 - x20075 = 0 e65578: - 0.5 b727 + 0.5 x15127 - x20076 = 0 e65579: - 0.5 b728 + 0.5 x15128 - x20077 = 0 e65580: - 0.5 b729 + 0.5 x15129 - x20078 = 0 e65581: - 0.5 b730 + 0.5 x15130 - x20079 = 0 e65582: - 0.5 b731 + 0.5 x15131 - x20080 = 0 e65583: - 0.5 b732 + 0.5 x15132 - x20081 = 0 e65584: - 0.5 b733 + 0.5 x15133 - x20082 = 0 e65585: - 0.5 b734 + 0.5 x15134 - x20083 = 0 e65586: - 0.5 b735 + 0.5 x15135 - x20084 = 0 e65587: - 0.5 b736 + 0.5 x15136 - x20085 = 0 e65588: - 0.5 b737 + 0.5 x15137 - x20086 = 0 e65589: - 0.5 b738 + 0.5 x15138 - x20087 = 0 e65590: - 0.5 b739 + 0.5 x15139 - x20088 = 0 e65591: - 0.5 b740 + 0.5 x15140 - x20089 = 0 e65592: - 0.5 b741 + 0.5 x15141 - x20090 = 0 e65593: - 0.5 b742 + 0.5 x15142 - x20091 = 0 e65594: - 0.5 b743 + 0.5 x15143 - x20092 = 0 e65595: - 0.5 b744 + 0.5 x15144 - x20093 = 0 e65596: - 0.5 b745 + 0.5 x15145 - x20094 = 0 e65597: - 0.5 b746 + 0.5 x15146 - x20095 = 0 e65598: - 0.5 b747 + 0.5 x15147 - x20096 = 0 e65599: - 0.5 b748 + 0.5 x15148 - x20097 = 0 e65600: - 0.5 b749 + 0.5 x15149 - x20098 = 0 e65601: - 0.5 b750 + 0.5 x15150 - x20099 = 0 e65602: - 0.5 b751 + 0.5 x15151 - x20100 = 0 e65603: - 0.5 b752 + 0.5 x15152 - x20101 = 0 e65604: - 0.5 b753 + 0.5 x15153 - x20102 = 0 e65605: - 0.5 b754 + 0.5 x15154 - x20103 = 0 e65606: - 0.5 b755 + 0.5 x15155 - x20104 = 0 e65607: - 0.5 b756 + 0.5 x15156 - x20105 = 0 e65608: - 0.5 b757 + 0.5 x15157 - x20106 = 0 e65609: - 0.5 b758 + 0.5 x15158 - x20107 = 0 e65610: - 0.5 b759 + 0.5 x15159 - x20108 = 0 e65611: - 0.5 b760 + 0.5 x15160 - x20109 = 0 e65612: - 0.5 b761 + 0.5 x15161 - x20110 = 0 e65613: - 0.5 b762 + 0.5 x15162 - x20111 = 0 e65614: - 0.5 b763 + 0.5 x15163 - x20112 = 0 e65615: - 0.5 b764 + 0.5 x15164 - x20113 = 0 e65616: - 0.5 b765 + 0.5 x15165 - x20114 = 0 e65617: - 0.5 b766 + 0.5 x15166 - x20115 = 0 e65618: - 0.5 b767 + 0.5 x15167 - x20116 = 0 e65619: - 0.5 b768 + 0.5 x15168 - x20117 = 0 e65620: - 0.5 b769 + 0.5 x15169 - x20118 = 0 e65621: - 0.5 b770 + 0.5 x15170 - x20119 = 0 e65622: - 0.5 b771 + 0.5 x15171 - x20120 = 0 e65623: - 0.5 b772 + 0.5 x15172 - x20121 = 0 e65624: - 0.5 b773 + 0.5 x15173 - x20122 = 0 e65625: - 0.5 b774 + 0.5 x15174 - x20123 = 0 e65626: - 0.5 b775 + 0.5 x15175 - x20124 = 0 e65627: - 0.5 b776 + 0.5 x15176 - x20125 = 0 e65628: - 0.5 b777 + 0.5 x15177 - x20126 = 0 e65629: - 0.5 b778 + 0.5 x15178 - x20127 = 0 e65630: - 0.5 b779 + 0.5 x15179 - x20128 = 0 e65631: - 0.5 b780 + 0.5 x15180 - x20129 = 0 e65632: - 0.5 b781 + 0.5 x15181 - x20130 = 0 e65633: - 0.5 b782 + 0.5 x15182 - x20131 = 0 e65634: - 0.5 b783 + 0.5 x15183 - x20132 = 0 e65635: - 0.5 b784 + 0.5 x15184 - x20133 = 0 e65636: - 0.5 b785 + 0.5 x15185 - x20134 = 0 e65637: - 0.5 b786 + 0.5 x15186 - x20135 = 0 e65638: - 0.5 b787 + 0.5 x15187 - x20136 = 0 e65639: - 0.5 b788 + 0.5 x15188 - x20137 = 0 e65640: - 0.5 b789 + 0.5 x15189 - x20138 = 0 e65641: - 0.5 b790 + 0.5 x15190 - x20139 = 0 e65642: - 0.5 b791 + 0.5 x15191 - x20140 = 0 e65643: - 0.5 b792 + 0.5 x15192 - x20141 = 0 e65644: - 0.5 b793 + 0.5 x15193 - x20142 = 0 e65645: - 0.5 b794 + 0.5 x15194 - x20143 = 0 e65646: - 0.5 b795 + 0.5 x15195 - x20144 = 0 e65647: - 0.5 b796 + 0.5 x15196 - x20145 = 0 e65648: - 0.5 b797 + 0.5 x15197 - x20146 = 0 e65649: - 0.5 b798 + 0.5 x15198 - x20147 = 0 e65650: - 0.5 b799 + 0.5 x15199 - x20148 = 0 e65651: - 0.5 b800 + 0.5 x15200 - x20149 = 0 e65652: - 0.5 b801 + 0.5 x15201 - x20150 = 0 e65653: - 0.5 b802 + 0.5 x15202 - x20151 = 0 e65654: - 0.5 b803 + 0.5 x15203 - x20152 = 0 e65655: - 0.5 b804 + 0.5 x15204 - x20153 = 0 e65656: - 0.5 b805 + 0.5 x15205 - x20154 = 0 e65657: - 0.5 b806 + 0.5 x15206 - x20155 = 0 e65658: - 0.5 b807 + 0.5 x15207 - x20156 = 0 e65659: - 0.5 b808 + 0.5 x15208 - x20157 = 0 e65660: - 0.5 b809 + 0.5 x15209 - x20158 = 0 e65661: - 0.5 b810 + 0.5 x15210 - x20159 = 0 e65662: - 0.5 b811 + 0.5 x15211 - x20160 = 0 e65663: - 0.5 b812 + 0.5 x15212 - x20161 = 0 e65664: - 0.5 b813 + 0.5 x15213 - x20162 = 0 e65665: - 0.5 b814 + 0.5 x15214 - x20163 = 0 e65666: - 0.5 b815 + 0.5 x15215 - x20164 = 0 e65667: - 0.5 b816 + 0.5 x15216 - x20165 = 0 e65668: - 0.5 b817 + 0.5 x15217 - x20166 = 0 e65669: - 0.5 b818 + 0.5 x15218 - x20167 = 0 e65670: - 0.5 b819 + 0.5 x15219 - x20168 = 0 e65671: - 0.5 b820 + 0.5 x15220 - x20169 = 0 e65672: - 0.5 b821 + 0.5 x15221 - x20170 = 0 e65673: - 0.5 b822 + 0.5 x15222 - x20171 = 0 e65674: - 0.5 b823 + 0.5 x15223 - x20172 = 0 e65675: - 0.5 b824 + 0.5 x15224 - x20173 = 0 e65676: - 0.5 b825 + 0.5 x15225 - x20174 = 0 e65677: - 0.5 b826 + 0.5 x15226 - x20175 = 0 e65678: - 0.5 b827 + 0.5 x15227 - x20176 = 0 e65679: - 0.5 b828 + 0.5 x15228 - x20177 = 0 e65680: - 0.5 b829 + 0.5 x15229 - x20178 = 0 e65681: - 0.5 b830 + 0.5 x15230 - x20179 = 0 e65682: - 0.5 b831 + 0.5 x15231 - x20180 = 0 e65683: - 0.5 b832 + 0.5 x15232 - x20181 = 0 e65684: - 0.5 b833 + 0.5 x15233 - x20182 = 0 e65685: - 0.5 b834 + 0.5 x15234 - x20183 = 0 e65686: - 0.5 b835 + 0.5 x15235 - x20184 = 0 e65687: - 0.5 b836 + 0.5 x15236 - x20185 = 0 e65688: - 0.5 b837 + 0.5 x15237 - x20186 = 0 e65689: - 0.5 b838 + 0.5 x15238 - x20187 = 0 e65690: - 0.5 b839 + 0.5 x15239 - x20188 = 0 e65691: - 0.5 b840 + 0.5 x15240 - x20189 = 0 e65692: - 0.5 b841 + 0.5 x15241 - x20190 = 0 e65693: - 0.5 b842 + 0.5 x15242 - x20191 = 0 e65694: - 0.5 b843 + 0.5 x15243 - x20192 = 0 e65695: - 0.5 b844 + 0.5 x15244 - x20193 = 0 e65696: - 0.5 b845 + 0.5 x15245 - x20194 = 0 e65697: - 0.5 b846 + 0.5 x15246 - x20195 = 0 e65698: - 0.5 b847 + 0.5 x15247 - x20196 = 0 e65699: - 0.5 b848 + 0.5 x15248 - x20197 = 0 e65700: - 0.5 b849 + 0.5 x15249 - x20198 = 0 e65701: - 0.5 b850 + 0.5 x15250 - x20199 = 0 e65702: - 0.5 b851 + 0.5 x15251 - x20200 = 0 e65703: - 0.5 b852 + 0.5 x15252 - x20201 = 0 e65704: - 0.5 b853 + 0.5 x15253 - x20202 = 0 e65705: - 0.5 b854 + 0.5 x15254 - x20203 = 0 e65706: - 0.5 b855 + 0.5 x15255 - x20204 = 0 e65707: - 0.5 b856 + 0.5 x15256 - x20205 = 0 e65708: - 0.5 b857 + 0.5 x15257 - x20206 = 0 e65709: - 0.5 b858 + 0.5 x15258 - x20207 = 0 e65710: - 0.5 b859 + 0.5 x15259 - x20208 = 0 e65711: - 0.5 b860 + 0.5 x15260 - x20209 = 0 e65712: - 0.5 b861 + 0.5 x15261 - x20210 = 0 e65713: - 0.5 b862 + 0.5 x15262 - x20211 = 0 e65714: - 0.5 b863 + 0.5 x15263 - x20212 = 0 e65715: - 0.5 b864 + 0.5 x15264 - x20213 = 0 e65716: - 0.5 b865 + 0.5 x15265 - x20214 = 0 e65717: - 0.5 b866 + 0.5 x15266 - x20215 = 0 e65718: - 0.5 b867 + 0.5 x15267 - x20216 = 0 e65719: - 0.5 b868 + 0.5 x15268 - x20217 = 0 e65720: - 0.5 b869 + 0.5 x15269 - x20218 = 0 e65721: - 0.5 b870 + 0.5 x15270 - x20219 = 0 e65722: - 0.5 b871 + 0.5 x15271 - x20220 = 0 e65723: - 0.5 b872 + 0.5 x15272 - x20221 = 0 e65724: - 0.5 b873 + 0.5 x15273 - x20222 = 0 e65725: - 0.5 b874 + 0.5 x15274 - x20223 = 0 e65726: - 0.5 b875 + 0.5 x15275 - x20224 = 0 e65727: - 0.5 b876 + 0.5 x15276 - x20225 = 0 e65728: - 0.5 b877 + 0.5 x15277 - x20226 = 0 e65729: - 0.5 b878 + 0.5 x15278 - x20227 = 0 e65730: - 0.5 b879 + 0.5 x15279 - x20228 = 0 e65731: - 0.5 b880 + 0.5 x15280 - x20229 = 0 e65732: - 0.5 b881 + 0.5 x15281 - x20230 = 0 e65733: - 0.5 b882 + 0.5 x15282 - x20231 = 0 e65734: - 0.5 b883 + 0.5 x15283 - x20232 = 0 e65735: - 0.5 b884 + 0.5 x15284 - x20233 = 0 e65736: - 0.5 b885 + 0.5 x15285 - x20234 = 0 e65737: - 0.5 b886 + 0.5 x15286 - x20235 = 0 e65738: - 0.5 b887 + 0.5 x15287 - x20236 = 0 e65739: - 0.5 b888 + 0.5 x15288 - x20237 = 0 e65740: - 0.5 b889 + 0.5 x15289 - x20238 = 0 e65741: - 0.5 b890 + 0.5 x15290 - x20239 = 0 e65742: - 0.5 b891 + 0.5 x15291 - x20240 = 0 e65743: - 0.5 b892 + 0.5 x15292 - x20241 = 0 e65744: - 0.5 b893 + 0.5 x15293 - x20242 = 0 e65745: - 0.5 b894 + 0.5 x15294 - x20243 = 0 e65746: - 0.5 b895 + 0.5 x15295 - x20244 = 0 e65747: - 0.5 b896 + 0.5 x15296 - x20245 = 0 e65748: - 0.5 b897 + 0.5 x15297 - x20246 = 0 e65749: - 0.5 b898 + 0.5 x15298 - x20247 = 0 e65750: - 0.5 b899 + 0.5 x15299 - x20248 = 0 e65751: - 0.5 b900 + 0.5 x15300 - x20249 = 0 e65752: - 0.5 b901 + 0.5 x15301 - x20250 = 0 e65753: - 0.5 b902 + 0.5 x15302 - x20251 = 0 e65754: - 0.5 b903 + 0.5 x15303 - x20252 = 0 e65755: - 0.5 b904 + 0.5 x15304 - x20253 = 0 e65756: - 0.5 b905 + 0.5 x15305 - x20254 = 0 e65757: - 0.5 b906 + 0.5 x15306 - x20255 = 0 e65758: - 0.5 b907 + 0.5 x15307 - x20256 = 0 e65759: - 0.5 b908 + 0.5 x15308 - x20257 = 0 e65760: - 0.5 b909 + 0.5 x15309 - x20258 = 0 e65761: - 0.5 b910 + 0.5 x15310 - x20259 = 0 e65762: - 0.5 b911 + 0.5 x15311 - x20260 = 0 e65763: - 0.5 b912 + 0.5 x15312 - x20261 = 0 e65764: - 0.5 b913 + 0.5 x15313 - x20262 = 0 e65765: - 0.5 b914 + 0.5 x15314 - x20263 = 0 e65766: - 0.5 b915 + 0.5 x15315 - x20264 = 0 e65767: - 0.5 b916 + 0.5 x15316 - x20265 = 0 e65768: - 0.5 b917 + 0.5 x15317 - x20266 = 0 e65769: - 0.5 b918 + 0.5 x15318 - x20267 = 0 e65770: - 0.5 b919 + 0.5 x15319 - x20268 = 0 e65771: - 0.5 b920 + 0.5 x15320 - x20269 = 0 e65772: - 0.5 b921 + 0.5 x15321 - x20270 = 0 e65773: - 0.5 b922 + 0.5 x15322 - x20271 = 0 e65774: - 0.5 b923 + 0.5 x15323 - x20272 = 0 e65775: - 0.5 b924 + 0.5 x15324 - x20273 = 0 e65776: - 0.5 b925 + 0.5 x15325 - x20274 = 0 e65777: - 0.5 b926 + 0.5 x15326 - x20275 = 0 e65778: - 0.5 b927 + 0.5 x15327 - x20276 = 0 e65779: - 0.5 b928 + 0.5 x15328 - x20277 = 0 e65780: - 0.5 b929 + 0.5 x15329 - x20278 = 0 e65781: - 0.5 b930 + 0.5 x15330 - x20279 = 0 e65782: - 0.5 b931 + 0.5 x15331 - x20280 = 0 e65783: - 0.5 b932 + 0.5 x15332 - x20281 = 0 e65784: - 0.5 b933 + 0.5 x15333 - x20282 = 0 e65785: - 0.5 b934 + 0.5 x15334 - x20283 = 0 e65786: - 0.5 b935 + 0.5 x15335 - x20284 = 0 e65787: - 0.5 b936 + 0.5 x15336 - x20285 = 0 e65788: - 0.5 b937 + 0.5 x15337 - x20286 = 0 e65789: - 0.5 b938 + 0.5 x15338 - x20287 = 0 e65790: - 0.5 b939 + 0.5 x15339 - x20288 = 0 e65791: - 0.5 b940 + 0.5 x15340 - x20289 = 0 e65792: - 0.5 b941 + 0.5 x15341 - x20290 = 0 e65793: - 0.5 b942 + 0.5 x15342 - x20291 = 0 e65794: - 0.5 b943 + 0.5 x15343 - x20292 = 0 e65795: - 0.5 b944 + 0.5 x15344 - x20293 = 0 e65796: - 0.5 b945 + 0.5 x15345 - x20294 = 0 e65797: - 0.5 b946 + 0.5 x15346 - x20295 = 0 e65798: - 0.5 b947 + 0.5 x15347 - x20296 = 0 e65799: - 0.5 b948 + 0.5 x15348 - x20297 = 0 e65800: - 0.5 b949 + 0.5 x15349 - x20298 = 0 e65801: - 0.5 b950 + 0.5 x15350 - x20299 = 0 e65802: - 0.5 b951 + 0.5 x15351 - x20300 = 0 e65803: - 0.5 b952 + 0.5 x15352 - x20301 = 0 e65804: - 0.5 b953 + 0.5 x15353 - x20302 = 0 e65805: - 0.5 b954 + 0.5 x15354 - x20303 = 0 e65806: - 0.5 b955 + 0.5 x15355 - x20304 = 0 e65807: - 0.5 b956 + 0.5 x15356 - x20305 = 0 e65808: - 0.5 b957 + 0.5 x15357 - x20306 = 0 e65809: - 0.5 b958 + 0.5 x15358 - x20307 = 0 e65810: - 0.5 b959 + 0.5 x15359 - x20308 = 0 e65811: - 0.5 b960 + 0.5 x15360 - x20309 = 0 e65812: - 0.5 b961 + 0.5 x15361 - x20310 = 0 e65813: - 0.5 b962 + 0.5 x15362 - x20311 = 0 e65814: - 0.5 b963 + 0.5 x15363 - x20312 = 0 e65815: - 0.5 b964 + 0.5 x15364 - x20313 = 0 e65816: - 0.5 b965 + 0.5 x15365 - x20314 = 0 e65817: - 0.5 b966 + 0.5 x15366 - x20315 = 0 e65818: - 0.5 b967 + 0.5 x15367 - x20316 = 0 e65819: - 0.5 b968 + 0.5 x15368 - x20317 = 0 e65820: - 0.5 b969 + 0.5 x15369 - x20318 = 0 e65821: - 0.5 b970 + 0.5 x15370 - x20319 = 0 e65822: - 0.5 b971 + 0.5 x15371 - x20320 = 0 e65823: - 0.5 b972 + 0.5 x15372 - x20321 = 0 e65824: - 0.5 b973 + 0.5 x15373 - x20322 = 0 e65825: - 0.5 b974 + 0.5 x15374 - x20323 = 0 e65826: - 0.5 b975 + 0.5 x15375 - x20324 = 0 e65827: - 0.5 b976 + 0.5 x15376 - x20325 = 0 e65828: - 0.5 b977 + 0.5 x15377 - x20326 = 0 e65829: - 0.5 b978 + 0.5 x15378 - x20327 = 0 e65830: - 0.5 b979 + 0.5 x15379 - x20328 = 0 e65831: - 0.5 b980 + 0.5 x15380 - x20329 = 0 e65832: - 0.5 b981 + 0.5 x15381 - x20330 = 0 e65833: - 0.5 b982 + 0.5 x15382 - x20331 = 0 e65834: - 0.5 b983 + 0.5 x15383 - x20332 = 0 e65835: - 0.5 b984 + 0.5 x15384 - x20333 = 0 e65836: - 0.5 b985 + 0.5 x15385 - x20334 = 0 e65837: - 0.5 b986 + 0.5 x15386 - x20335 = 0 e65838: - 0.5 b987 + 0.5 x15387 - x20336 = 0 e65839: - 0.5 b988 + 0.5 x15388 - x20337 = 0 e65840: - 0.5 b989 + 0.5 x15389 - x20338 = 0 e65841: - 0.5 b990 + 0.5 x15390 - x20339 = 0 e65842: - 0.5 b991 + 0.5 x15391 - x20340 = 0 e65843: - 0.5 b992 + 0.5 x15392 - x20341 = 0 e65844: - 0.5 b993 + 0.5 x15393 - x20342 = 0 e65845: - 0.5 b994 + 0.5 x15394 - x20343 = 0 e65846: - 0.5 b995 + 0.5 x15395 - x20344 = 0 e65847: - 0.5 b996 + 0.5 x15396 - x20345 = 0 e65848: - 0.5 b997 + 0.5 x15397 - x20346 = 0 e65849: - 0.5 b998 + 0.5 x15398 - x20347 = 0 e65850: - 0.5 b999 + 0.5 x15399 - x20348 = 0 e65851: - 0.5 b1000 + 0.5 x15400 - x20349 = 0 e65852: - 0.5 b1001 + 0.5 x15401 - x20350 = 0 e65853: - 0.5 b1002 + 0.5 x15402 - x20351 = 0 e65854: - 0.5 b1003 + 0.5 x15403 - x20352 = 0 e65855: - 0.5 b1004 + 0.5 x15404 - x20353 = 0 e65856: - 0.5 b1005 + 0.5 x15405 - x20354 = 0 e65857: - 0.5 b1006 + 0.5 x15406 - x20355 = 0 e65858: - 0.5 b1007 + 0.5 x15407 - x20356 = 0 e65859: - 0.5 b1008 + 0.5 x15408 - x20357 = 0 e65860: - 0.5 b1009 + 0.5 x15409 - x20358 = 0 e65861: - 0.5 b1010 + 0.5 x15410 - x20359 = 0 e65862: - 0.5 b1011 + 0.5 x15411 - x20360 = 0 e65863: - 0.5 b1012 + 0.5 x15412 - x20361 = 0 e65864: - 0.5 b1013 + 0.5 x15413 - x20362 = 0 e65865: - 0.5 b1014 + 0.5 x15414 - x20363 = 0 e65866: - 0.5 b1015 + 0.5 x15415 - x20364 = 0 e65867: - 0.5 b1016 + 0.5 x15416 - x20365 = 0 e65868: - 0.5 b1017 + 0.5 x15417 - x20366 = 0 e65869: - 0.5 b1018 + 0.5 x15418 - x20367 = 0 e65870: - 0.5 b1019 + 0.5 x15419 - x20368 = 0 e65871: - 0.5 b1020 + 0.5 x15420 - x20369 = 0 e65872: - 0.5 b1021 + 0.5 x15421 - x20370 = 0 e65873: - 0.5 b1022 + 0.5 x15422 - x20371 = 0 e65874: - 0.5 b1023 + 0.5 x15423 - x20372 = 0 e65875: - 0.5 b1024 + 0.5 x15424 - x20373 = 0 e65876: - 0.5 b1025 + 0.5 x15425 - x20374 = 0 e65877: - 0.5 b1026 + 0.5 x15426 - x20375 = 0 e65878: - 0.5 b1027 + 0.5 x15427 - x20376 = 0 e65879: - 0.5 b1028 + 0.5 x15428 - x20377 = 0 e65880: - 0.5 b1029 + 0.5 x15429 - x20378 = 0 e65881: - 0.5 b1030 + 0.5 x15430 - x20379 = 0 e65882: - 0.5 b1031 + 0.5 x15431 - x20380 = 0 e65883: - 0.5 b1032 + 0.5 x15432 - x20381 = 0 e65884: - 0.5 b1033 + 0.5 x15433 - x20382 = 0 e65885: - 0.5 b1034 + 0.5 x15434 - x20383 = 0 e65886: - 0.5 b1035 + 0.5 x15435 - x20384 = 0 e65887: - 0.5 b1036 + 0.5 x15436 - x20385 = 0 e65888: - 0.5 b1037 + 0.5 x15437 - x20386 = 0 e65889: - 0.5 b1038 + 0.5 x15438 - x20387 = 0 e65890: - 0.5 b1039 + 0.5 x15439 - x20388 = 0 e65891: - 0.5 b1040 + 0.5 x15440 - x20389 = 0 e65892: - 0.5 b1041 + 0.5 x15441 - x20390 = 0 e65893: - 0.5 b1042 + 0.5 x15442 - x20391 = 0 e65894: - 0.5 b1043 + 0.5 x15443 - x20392 = 0 e65895: - 0.5 b1044 + 0.5 x15444 - x20393 = 0 e65896: - 0.5 b1045 + 0.5 x15445 - x20394 = 0 e65897: - 0.5 b1046 + 0.5 x15446 - x20395 = 0 e65898: - 0.5 b1047 + 0.5 x15447 - x20396 = 0 e65899: - 0.5 b1048 + 0.5 x15448 - x20397 = 0 e65900: - 0.5 b1049 + 0.5 x15449 - x20398 = 0 e65901: - 0.5 b1050 + 0.5 x15450 - x20399 = 0 e65902: - 0.5 b1051 + 0.5 x15451 - x20400 = 0 e65903: - 0.5 b1052 + 0.5 x15452 - x20401 = 0 e65904: - 0.5 b1053 + 0.5 x15453 - x20402 = 0 e65905: - 0.5 b1054 + 0.5 x15454 - x20403 = 0 e65906: - 0.5 b1055 + 0.5 x15455 - x20404 = 0 e65907: - 0.5 b1056 + 0.5 x15456 - x20405 = 0 e65908: - 0.5 b1057 + 0.5 x15457 - x20406 = 0 e65909: - 0.5 b1058 + 0.5 x15458 - x20407 = 0 e65910: - 0.5 b1059 + 0.5 x15459 - x20408 = 0 e65911: - 0.5 b1060 + 0.5 x15460 - x20409 = 0 e65912: - 0.5 b1061 + 0.5 x15461 - x20410 = 0 e65913: - 0.5 b1062 + 0.5 x15462 - x20411 = 0 e65914: - 0.5 b1063 + 0.5 x15463 - x20412 = 0 e65915: - 0.5 b1064 + 0.5 x15464 - x20413 = 0 e65916: - 0.5 b1065 + 0.5 x15465 - x20414 = 0 e65917: - 0.5 b1066 + 0.5 x15466 - x20415 = 0 e65918: - 0.5 b1067 + 0.5 x15467 - x20416 = 0 e65919: - 0.5 b1068 + 0.5 x15468 - x20417 = 0 e65920: - 0.5 b1069 + 0.5 x15469 - x20418 = 0 e65921: - 0.5 b1070 + 0.5 x15470 - x20419 = 0 e65922: - 0.5 b1071 + 0.5 x15471 - x20420 = 0 e65923: - 0.5 b1072 + 0.5 x15472 - x20421 = 0 e65924: - 0.5 b1073 + 0.5 x15473 - x20422 = 0 e65925: - 0.5 b1074 + 0.5 x15474 - x20423 = 0 e65926: - 0.5 b1075 + 0.5 x15475 - x20424 = 0 e65927: - 0.5 b1076 + 0.5 x15476 - x20425 = 0 e65928: - 0.5 b1077 + 0.5 x15477 - x20426 = 0 e65929: - 0.5 b1078 + 0.5 x15478 - x20427 = 0 e65930: - 0.5 b1079 + 0.5 x15479 - x20428 = 0 e65931: - 0.5 b1080 + 0.5 x15480 - x20429 = 0 e65932: - 0.5 b1081 + 0.5 x15481 - x20430 = 0 e65933: - 0.5 b1082 + 0.5 x15482 - x20431 = 0 e65934: - 0.5 b1083 + 0.5 x15483 - x20432 = 0 e65935: - 0.5 b1084 + 0.5 x15484 - x20433 = 0 e65936: - 0.5 b1085 + 0.5 x15485 - x20434 = 0 e65937: - 0.5 b1086 + 0.5 x15486 - x20435 = 0 e65938: - 0.5 b1087 + 0.5 x15487 - x20436 = 0 e65939: - 0.5 b1088 + 0.5 x15488 - x20437 = 0 e65940: - 0.5 b1089 + 0.5 x15489 - x20438 = 0 e65941: - 0.5 b1090 + 0.5 x15490 - x20439 = 0 e65942: - 0.5 b1091 + 0.5 x15491 - x20440 = 0 e65943: - 0.5 b1092 + 0.5 x15492 - x20441 = 0 e65944: - 0.5 b1093 + 0.5 x15493 - x20442 = 0 e65945: - 0.5 b1094 + 0.5 x15494 - x20443 = 0 e65946: - 0.5 b1095 + 0.5 x15495 - x20444 = 0 e65947: - 0.5 b1096 + 0.5 x15496 - x20445 = 0 e65948: - 0.5 b1097 + 0.5 x15497 - x20446 = 0 e65949: - 0.5 b1098 + 0.5 x15498 - x20447 = 0 e65950: - 0.5 b1099 + 0.5 x15499 - x20448 = 0 e65951: - 0.5 b1100 + 0.5 x15500 - x20449 = 0 e65952: - 0.5 b1101 + 0.5 x15501 - x20450 = 0 e65953: - 0.5 b1102 + 0.5 x15502 - x20451 = 0 e65954: - 0.5 b1103 + 0.5 x15503 - x20452 = 0 e65955: - 0.5 b1104 + 0.5 x15504 - x20453 = 0 e65956: - 0.5 b1105 + 0.5 x15505 - x20454 = 0 e65957: - 0.5 b1106 + 0.5 x15506 - x20455 = 0 e65958: - 0.5 b1107 + 0.5 x15507 - x20456 = 0 e65959: - 0.5 b1108 + 0.5 x15508 - x20457 = 0 e65960: - 0.5 b1109 + 0.5 x15509 - x20458 = 0 e65961: - 0.5 b1110 + 0.5 x15510 - x20459 = 0 e65962: - 0.5 b1111 + 0.5 x15511 - x20460 = 0 e65963: - 0.5 b1112 + 0.5 x15512 - x20461 = 0 e65964: - 0.5 b1113 + 0.5 x15513 - x20462 = 0 e65965: - 0.5 b1114 + 0.5 x15514 - x20463 = 0 e65966: - 0.5 b1115 + 0.5 x15515 - x20464 = 0 e65967: - 0.5 b1116 + 0.5 x15516 - x20465 = 0 e65968: - 0.5 b1117 + 0.5 x15517 - x20466 = 0 e65969: - 0.5 b1118 + 0.5 x15518 - x20467 = 0 e65970: - 0.5 b1119 + 0.5 x15519 - x20468 = 0 e65971: - 0.5 b1120 + 0.5 x15520 - x20469 = 0 e65972: - 0.5 b1121 + 0.5 x15521 - x20470 = 0 e65973: - 0.5 b1122 + 0.5 x15522 - x20471 = 0 e65974: - 0.5 b1123 + 0.5 x15523 - x20472 = 0 e65975: - 0.5 b1124 + 0.5 x15524 - x20473 = 0 e65976: - 0.5 b1125 + 0.5 x15525 - x20474 = 0 e65977: - 0.5 b1126 + 0.5 x15526 - x20475 = 0 e65978: - 0.5 b1127 + 0.5 x15527 - x20476 = 0 e65979: - 0.5 b1128 + 0.5 x15528 - x20477 = 0 e65980: - 0.5 b1129 + 0.5 x15529 - x20478 = 0 e65981: - 0.5 b1130 + 0.5 x15530 - x20479 = 0 e65982: - 0.5 b1131 + 0.5 x15531 - x20480 = 0 e65983: - 0.5 b1132 + 0.5 x15532 - x20481 = 0 e65984: - 0.5 b1133 + 0.5 x15533 - x20482 = 0 e65985: - 0.5 b1134 + 0.5 x15534 - x20483 = 0 e65986: - 0.5 b1135 + 0.5 x15535 - x20484 = 0 e65987: - 0.5 b1136 + 0.5 x15536 - x20485 = 0 e65988: - 0.5 b1137 + 0.5 x15537 - x20486 = 0 e65989: - 0.5 b1138 + 0.5 x15538 - x20487 = 0 e65990: - 0.5 b1139 + 0.5 x15539 - x20488 = 0 e65991: - 0.5 b1140 + 0.5 x15540 - x20489 = 0 e65992: - 0.5 b1141 + 0.5 x15541 - x20490 = 0 e65993: - 0.5 b1142 + 0.5 x15542 - x20491 = 0 e65994: - 0.5 b1143 + 0.5 x15543 - x20492 = 0 e65995: - 0.5 b1144 + 0.5 x15544 - x20493 = 0 e65996: - 0.5 b1145 + 0.5 x15545 - x20494 = 0 e65997: - 0.5 b1146 + 0.5 x15546 - x20495 = 0 e65998: - 0.5 b1147 + 0.5 x15547 - x20496 = 0 e65999: - 0.5 b1148 + 0.5 x15548 - x20497 = 0 e66000: - 0.5 b1149 + 0.5 x15549 - x20498 = 0 e66001: - 0.5 b1150 + 0.5 x15550 - x20499 = 0 e66002: - 0.5 b1151 + 0.5 x15551 - x20500 = 0 e66003: - 0.5 b1152 + 0.5 x15552 - x20501 = 0 e66004: - 0.5 b1153 + 0.5 x15553 - x20502 = 0 e66005: - 0.5 b1154 + 0.5 x15554 - x20503 = 0 e66006: - 0.5 b1155 + 0.5 x15555 - x20504 = 0 e66007: - 0.5 b1156 + 0.5 x15556 - x20505 = 0 e66008: - 0.5 b1157 + 0.5 x15557 - x20506 = 0 e66009: - 0.5 b1158 + 0.5 x15558 - x20507 = 0 e66010: - 0.5 b1159 + 0.5 x15559 - x20508 = 0 e66011: - 0.5 b1160 + 0.5 x15560 - x20509 = 0 e66012: - 0.5 b1161 + 0.5 x15561 - x20510 = 0 e66013: - 0.5 b1162 + 0.5 x15562 - x20511 = 0 e66014: - 0.5 b1163 + 0.5 x15563 - x20512 = 0 e66015: - 0.5 b1164 + 0.5 x15564 - x20513 = 0 e66016: - 0.5 b1165 + 0.5 x15565 - x20514 = 0 e66017: - 0.5 b1166 + 0.5 x15566 - x20515 = 0 e66018: - 0.5 b1167 + 0.5 x15567 - x20516 = 0 e66019: - 0.5 b1168 + 0.5 x15568 - x20517 = 0 e66020: - 0.5 b1169 + 0.5 x15569 - x20518 = 0 e66021: - 0.5 b1170 + 0.5 x15570 - x20519 = 0 e66022: - 0.5 b1171 + 0.5 x15571 - x20520 = 0 e66023: - 0.5 b1172 + 0.5 x15572 - x20521 = 0 e66024: - 0.5 b1173 + 0.5 x15573 - x20522 = 0 e66025: - 0.5 b1174 + 0.5 x15574 - x20523 = 0 e66026: - 0.5 b1175 + 0.5 x15575 - x20524 = 0 e66027: - 0.5 b1176 + 0.5 x15576 - x20525 = 0 e66028: - 0.5 b1177 + 0.5 x15577 - x20526 = 0 e66029: - 0.5 b1178 + 0.5 x15578 - x20527 = 0 e66030: - 0.5 b1179 + 0.5 x15579 - x20528 = 0 e66031: - 0.5 b1180 + 0.5 x15580 - x20529 = 0 e66032: - 0.5 b1181 + 0.5 x15581 - x20530 = 0 e66033: - 0.5 b1182 + 0.5 x15582 - x20531 = 0 e66034: - 0.5 b1183 + 0.5 x15583 - x20532 = 0 e66035: - 0.5 b1184 + 0.5 x15584 - x20533 = 0 e66036: - 0.5 b1185 + 0.5 x15585 - x20534 = 0 e66037: - 0.5 b1186 + 0.5 x15586 - x20535 = 0 e66038: - 0.5 b1187 + 0.5 x15587 - x20536 = 0 e66039: - 0.5 b1188 + 0.5 x15588 - x20537 = 0 e66040: - 0.5 b1189 + 0.5 x15589 - x20538 = 0 e66041: - 0.5 b1190 + 0.5 x15590 - x20539 = 0 e66042: - 0.5 b1191 + 0.5 x15591 - x20540 = 0 e66043: - 0.5 b1192 + 0.5 x15592 - x20541 = 0 e66044: - 0.5 b1193 + 0.5 x15593 - x20542 = 0 e66045: - 0.5 b1194 + 0.5 x15594 - x20543 = 0 e66046: - 0.5 b1195 + 0.5 x15595 - x20544 = 0 e66047: - 0.5 b1196 + 0.5 x15596 - x20545 = 0 e66048: - 0.5 b1197 + 0.5 x15597 - x20546 = 0 e66049: - 0.5 b1198 + 0.5 x15598 - x20547 = 0 e66050: - 0.5 b1199 + 0.5 x15599 - x20548 = 0 e66051: - 0.5 b1200 + 0.5 x15600 - x20549 = 0 e66052: - 0.5 b1201 + 0.5 x15601 - x20550 = 0 e66053: - 0.5 b1202 + 0.5 x15602 - x20551 = 0 e66054: - 0.5 b1203 + 0.5 x15603 - x20552 = 0 e66055: - 0.5 b1204 + 0.5 x15604 - x20553 = 0 e66056: - 0.5 b1205 + 0.5 x15605 - x20554 = 0 e66057: - 0.5 b1206 + 0.5 x15606 - x20555 = 0 e66058: - 0.5 b1207 + 0.5 x15607 - x20556 = 0 e66059: - 0.5 b1208 + 0.5 x15608 - x20557 = 0 e66060: - 0.5 b1209 + 0.5 x15609 - x20558 = 0 e66061: - 0.5 b1210 + 0.5 x15610 - x20559 = 0 e66062: - 0.5 b1211 + 0.5 x15611 - x20560 = 0 e66063: - 0.5 b1212 + 0.5 x15612 - x20561 = 0 e66064: - 0.5 b1213 + 0.5 x15613 - x20562 = 0 e66065: - 0.5 b1214 + 0.5 x15614 - x20563 = 0 e66066: - 0.5 b1215 + 0.5 x15615 - x20564 = 0 e66067: - 0.5 b1216 + 0.5 x15616 - x20565 = 0 e66068: - 0.5 b1217 + 0.5 x15617 - x20566 = 0 e66069: - 0.5 b1218 + 0.5 x15618 - x20567 = 0 e66070: - 0.5 b1219 + 0.5 x15619 - x20568 = 0 e66071: - 0.5 b1220 + 0.5 x15620 - x20569 = 0 e66072: - 0.5 b1221 + 0.5 x15621 - x20570 = 0 e66073: - 0.5 b1222 + 0.5 x15622 - x20571 = 0 e66074: - 0.5 b1223 + 0.5 x15623 - x20572 = 0 e66075: - 0.5 b1224 + 0.5 x15624 - x20573 = 0 e66076: - 0.5 b1225 + 0.5 x15625 - x20574 = 0 e66077: - 0.5 b1226 + 0.5 x15626 - x20575 = 0 e66078: - 0.5 b1227 + 0.5 x15627 - x20576 = 0 e66079: - 0.5 b1228 + 0.5 x15628 - x20577 = 0 e66080: - 0.5 b1229 + 0.5 x15629 - x20578 = 0 e66081: - 0.5 b1230 + 0.5 x15630 - x20579 = 0 e66082: - 0.5 b1231 + 0.5 x15631 - x20580 = 0 e66083: - 0.5 b1232 + 0.5 x15632 - x20581 = 0 e66084: - 0.5 b1233 + 0.5 x15633 - x20582 = 0 e66085: - 0.5 b1234 + 0.5 x15634 - x20583 = 0 e66086: - 0.5 b1235 + 0.5 x15635 - x20584 = 0 e66087: - 0.5 b1236 + 0.5 x15636 - x20585 = 0 e66088: - 0.5 b1237 + 0.5 x15637 - x20586 = 0 e66089: - 0.5 b1238 + 0.5 x15638 - x20587 = 0 e66090: - 0.5 b1239 + 0.5 x15639 - x20588 = 0 e66091: - 0.5 b1240 + 0.5 x15640 - x20589 = 0 e66092: - 0.5 b1241 + 0.5 x15641 - x20590 = 0 e66093: - 0.5 b1242 + 0.5 x15642 - x20591 = 0 e66094: - 0.5 b1243 + 0.5 x15643 - x20592 = 0 e66095: - 0.5 b1244 + 0.5 x15644 - x20593 = 0 e66096: - 0.5 b1245 + 0.5 x15645 - x20594 = 0 e66097: - 0.5 b1246 + 0.5 x15646 - x20595 = 0 e66098: - 0.5 b1247 + 0.5 x15647 - x20596 = 0 e66099: - 0.5 b1248 + 0.5 x15648 - x20597 = 0 e66100: - 0.5 b1249 + 0.5 x15649 - x20598 = 0 e66101: - 0.5 b1250 + 0.5 x15650 - x20599 = 0 e66102: - 0.5 b1251 + 0.5 x15651 - x20600 = 0 e66103: - 0.5 b1252 + 0.5 x15652 - x20601 = 0 e66104: - 0.5 b1253 + 0.5 x15653 - x20602 = 0 e66105: - 0.5 b1254 + 0.5 x15654 - x20603 = 0 e66106: - 0.5 b1255 + 0.5 x15655 - x20604 = 0 e66107: - 0.5 b1256 + 0.5 x15656 - x20605 = 0 e66108: - 0.5 b1257 + 0.5 x15657 - x20606 = 0 e66109: - 0.5 b1258 + 0.5 x15658 - x20607 = 0 e66110: - 0.5 b1259 + 0.5 x15659 - x20608 = 0 e66111: - 0.5 b1260 + 0.5 x15660 - x20609 = 0 e66112: - 0.5 b1261 + 0.5 x15661 - x20610 = 0 e66113: - 0.5 b1262 + 0.5 x15662 - x20611 = 0 e66114: - 0.5 b1263 + 0.5 x15663 - x20612 = 0 e66115: - 0.5 b1264 + 0.5 x15664 - x20613 = 0 e66116: - 0.5 b1265 + 0.5 x15665 - x20614 = 0 e66117: - 0.5 b1266 + 0.5 x15666 - x20615 = 0 e66118: - 0.5 b1267 + 0.5 x15667 - x20616 = 0 e66119: - 0.5 b1268 + 0.5 x15668 - x20617 = 0 e66120: - 0.5 b1269 + 0.5 x15669 - x20618 = 0 e66121: - 0.5 b1270 + 0.5 x15670 - x20619 = 0 e66122: - 0.5 b1271 + 0.5 x15671 - x20620 = 0 e66123: - 0.5 b1272 + 0.5 x15672 - x20621 = 0 e66124: - 0.5 b1273 + 0.5 x15673 - x20622 = 0 e66125: - 0.5 b1274 + 0.5 x15674 - x20623 = 0 e66126: - 0.5 b1275 + 0.5 x15675 - x20624 = 0 e66127: - 0.5 b1276 + 0.5 x15676 - x20625 = 0 e66128: - 0.5 b1277 + 0.5 x15677 - x20626 = 0 e66129: - 0.5 b1278 + 0.5 x15678 - x20627 = 0 e66130: - 0.5 b1279 + 0.5 x15679 - x20628 = 0 e66131: - 0.5 b1280 + 0.5 x15680 - x20629 = 0 e66132: - 0.5 b1281 + 0.5 x15681 - x20630 = 0 e66133: - 0.5 b1282 + 0.5 x15682 - x20631 = 0 e66134: - 0.5 b1283 + 0.5 x15683 - x20632 = 0 e66135: - 0.5 b1284 + 0.5 x15684 - x20633 = 0 e66136: - 0.5 b1285 + 0.5 x15685 - x20634 = 0 e66137: - 0.5 b1286 + 0.5 x15686 - x20635 = 0 e66138: - 0.5 b1287 + 0.5 x15687 - x20636 = 0 e66139: - 0.5 b1288 + 0.5 x15688 - x20637 = 0 e66140: - 0.5 b1289 + 0.5 x15689 - x20638 = 0 e66141: - 0.5 b1290 + 0.5 x15690 - x20639 = 0 e66142: - 0.5 b1291 + 0.5 x15691 - x20640 = 0 e66143: - 0.5 b1292 + 0.5 x15692 - x20641 = 0 e66144: - 0.5 b1293 + 0.5 x15693 - x20642 = 0 e66145: - 0.5 b1294 + 0.5 x15694 - x20643 = 0 e66146: - 0.5 b1295 + 0.5 x15695 - x20644 = 0 e66147: - 0.5 b1296 + 0.5 x15696 - x20645 = 0 e66148: - 0.5 b1297 + 0.5 x15697 - x20646 = 0 e66149: - 0.5 b1298 + 0.5 x15698 - x20647 = 0 e66150: - 0.5 b1299 + 0.5 x15699 - x20648 = 0 e66151: - 0.5 b1300 + 0.5 x15700 - x20649 = 0 e66152: - 0.5 b1301 + 0.5 x15701 - x20650 = 0 e66153: - 0.5 b1302 + 0.5 x15702 - x20651 = 0 e66154: - 0.5 b1303 + 0.5 x15703 - x20652 = 0 e66155: - 0.5 b1304 + 0.5 x15704 - x20653 = 0 e66156: - 0.5 b1305 + 0.5 x15705 - x20654 = 0 e66157: - 0.5 b1306 + 0.5 x15706 - x20655 = 0 e66158: - 0.5 b1307 + 0.5 x15707 - x20656 = 0 e66159: - 0.5 b1308 + 0.5 x15708 - x20657 = 0 e66160: - 0.5 b1309 + 0.5 x15709 - x20658 = 0 e66161: - 0.5 b1310 + 0.5 x15710 - x20659 = 0 e66162: - 0.5 b1311 + 0.5 x15711 - x20660 = 0 e66163: - 0.5 b1312 + 0.5 x15712 - x20661 = 0 e66164: - 0.5 b1313 + 0.5 x15713 - x20662 = 0 e66165: - 0.5 b1314 + 0.5 x15714 - x20663 = 0 e66166: - 0.5 b1315 + 0.5 x15715 - x20664 = 0 e66167: - 0.5 b1316 + 0.5 x15716 - x20665 = 0 e66168: - 0.5 b1317 + 0.5 x15717 - x20666 = 0 e66169: - 0.5 b1318 + 0.5 x15718 - x20667 = 0 e66170: - 0.5 b1319 + 0.5 x15719 - x20668 = 0 e66171: - 0.5 b1320 + 0.5 x15720 - x20669 = 0 e66172: - 0.5 b1321 + 0.5 x15721 - x20670 = 0 e66173: - 0.5 b1322 + 0.5 x15722 - x20671 = 0 e66174: - 0.5 b1323 + 0.5 x15723 - x20672 = 0 e66175: - 0.5 b1324 + 0.5 x15724 - x20673 = 0 e66176: - 0.5 b1325 + 0.5 x15725 - x20674 = 0 e66177: - 0.5 b1326 + 0.5 x15726 - x20675 = 0 e66178: - 0.5 b1327 + 0.5 x15727 - x20676 = 0 e66179: - 0.5 b1328 + 0.5 x15728 - x20677 = 0 e66180: - 0.5 b1329 + 0.5 x15729 - x20678 = 0 e66181: - 0.5 b1330 + 0.5 x15730 - x20679 = 0 e66182: - 0.5 b1331 + 0.5 x15731 - x20680 = 0 e66183: - 0.5 b1332 + 0.5 x15732 - x20681 = 0 e66184: - 0.5 b1333 + 0.5 x15733 - x20682 = 0 e66185: - 0.5 b1334 + 0.5 x15734 - x20683 = 0 e66186: - 0.5 b1335 + 0.5 x15735 - x20684 = 0 e66187: - 0.5 b1336 + 0.5 x15736 - x20685 = 0 e66188: - 0.5 b1337 + 0.5 x15737 - x20686 = 0 e66189: - 0.5 b1338 + 0.5 x15738 - x20687 = 0 e66190: - 0.5 b1339 + 0.5 x15739 - x20688 = 0 e66191: - 0.5 b1340 + 0.5 x15740 - x20689 = 0 e66192: - 0.5 b1341 + 0.5 x15741 - x20690 = 0 e66193: - 0.5 b1342 + 0.5 x15742 - x20691 = 0 e66194: - 0.5 b1343 + 0.5 x15743 - x20692 = 0 e66195: - 0.5 b1344 + 0.5 x15744 - x20693 = 0 e66196: - 0.5 b1345 + 0.5 x15745 - x20694 = 0 e66197: - 0.5 b1346 + 0.5 x15746 - x20695 = 0 e66198: - 0.5 b1347 + 0.5 x15747 - x20696 = 0 e66199: - 0.5 b1348 + 0.5 x15748 - x20697 = 0 e66200: - 0.5 b1349 + 0.5 x15749 - x20698 = 0 e66201: - 0.5 b1350 + 0.5 x15750 - x20699 = 0 e66202: - 0.5 b1351 + 0.5 x15751 - x20700 = 0 e66203: - 0.5 b1352 + 0.5 x15752 - x20701 = 0 e66204: - 0.5 b1353 + 0.5 x15753 - x20702 = 0 e66205: - 0.5 b1354 + 0.5 x15754 - x20703 = 0 e66206: - 0.5 b1355 + 0.5 x15755 - x20704 = 0 e66207: - 0.5 b1356 + 0.5 x15756 - x20705 = 0 e66208: - 0.5 b1357 + 0.5 x15757 - x20706 = 0 e66209: - 0.5 b1358 + 0.5 x15758 - x20707 = 0 e66210: - 0.5 b1359 + 0.5 x15759 - x20708 = 0 e66211: - 0.5 b1360 + 0.5 x15760 - x20709 = 0 e66212: - 0.5 b1361 + 0.5 x15761 - x20710 = 0 e66213: - 0.5 b1362 + 0.5 x15762 - x20711 = 0 e66214: - 0.5 b1363 + 0.5 x15763 - x20712 = 0 e66215: - 0.5 b1364 + 0.5 x15764 - x20713 = 0 e66216: - 0.5 b1365 + 0.5 x15765 - x20714 = 0 e66217: - 0.5 b1366 + 0.5 x15766 - x20715 = 0 e66218: - 0.5 b1367 + 0.5 x15767 - x20716 = 0 e66219: - 0.5 b1368 + 0.5 x15768 - x20717 = 0 e66220: - 0.5 b1369 + 0.5 x15769 - x20718 = 0 e66221: - 0.5 b1370 + 0.5 x15770 - x20719 = 0 e66222: - 0.5 b1371 + 0.5 x15771 - x20720 = 0 e66223: - 0.5 b1372 + 0.5 x15772 - x20721 = 0 e66224: - 0.5 b1373 + 0.5 x15773 - x20722 = 0 e66225: - 0.5 b1374 + 0.5 x15774 - x20723 = 0 e66226: - 0.5 b1375 + 0.5 x15775 - x20724 = 0 e66227: - 0.5 b1376 + 0.5 x15776 - x20725 = 0 e66228: - 0.5 b1377 + 0.5 x15777 - x20726 = 0 e66229: - 0.5 b1378 + 0.5 x15778 - x20727 = 0 e66230: - 0.5 b1379 + 0.5 x15779 - x20728 = 0 e66231: - 0.5 b1380 + 0.5 x15780 - x20729 = 0 e66232: - 0.5 b1381 + 0.5 x15781 - x20730 = 0 e66233: - 0.5 b1382 + 0.5 x15782 - x20731 = 0 e66234: - 0.5 b1383 + 0.5 x15783 - x20732 = 0 e66235: - 0.5 b1384 + 0.5 x15784 - x20733 = 0 e66236: - 0.5 b1385 + 0.5 x15785 - x20734 = 0 e66237: - 0.5 b1386 + 0.5 x15786 - x20735 = 0 e66238: - 0.5 b1387 + 0.5 x15787 - x20736 = 0 e66239: - 0.5 b1388 + 0.5 x15788 - x20737 = 0 e66240: - 0.5 b1389 + 0.5 x15789 - x20738 = 0 e66241: - 0.5 b1390 + 0.5 x15790 - x20739 = 0 e66242: - 0.5 b1391 + 0.5 x15791 - x20740 = 0 e66243: - 0.5 b1392 + 0.5 x15792 - x20741 = 0 e66244: - 0.5 b1393 + 0.5 x15793 - x20742 = 0 e66245: - 0.5 b1394 + 0.5 x15794 - x20743 = 0 e66246: - 0.5 b1395 + 0.5 x15795 - x20744 = 0 e66247: - 0.5 b1396 + 0.5 x15796 - x20745 = 0 e66248: - 0.5 b1397 + 0.5 x15797 - x20746 = 0 e66249: - 0.5 b1398 + 0.5 x15798 - x20747 = 0 e66250: - 0.5 b1399 + 0.5 x15799 - x20748 = 0 e66251: - 0.5 b1400 + 0.5 x15800 - x20749 = 0 e66252: - 0.5 b1401 + 0.5 x15801 - x20750 = 0 e66253: - 0.5 b1402 + 0.5 x15802 - x20751 = 0 e66254: - 0.5 b1403 + 0.5 x15803 - x20752 = 0 e66255: - 0.5 b1404 + 0.5 x15804 - x20753 = 0 e66256: - 0.5 b1405 + 0.5 x15805 - x20754 = 0 e66257: - 0.5 b1406 + 0.5 x15806 - x20755 = 0 e66258: - 0.5 b1407 + 0.5 x15807 - x20756 = 0 e66259: - 0.5 b1408 + 0.5 x15808 - x20757 = 0 e66260: - 0.5 b1409 + 0.5 x15809 - x20758 = 0 e66261: - 0.5 b1410 + 0.5 x15810 - x20759 = 0 e66262: - 0.5 b1411 + 0.5 x15811 - x20760 = 0 e66263: - 0.5 b1412 + 0.5 x15812 - x20761 = 0 e66264: - 0.5 b1413 + 0.5 x15813 - x20762 = 0 e66265: - 0.5 b1414 + 0.5 x15814 - x20763 = 0 e66266: - 0.5 b1415 + 0.5 x15815 - x20764 = 0 e66267: - 0.5 b1416 + 0.5 x15816 - x20765 = 0 e66268: - 0.5 b1417 + 0.5 x15817 - x20766 = 0 e66269: - 0.5 b1418 + 0.5 x15818 - x20767 = 0 e66270: - 0.5 b1419 + 0.5 x15819 - x20768 = 0 e66271: - 0.5 b1420 + 0.5 x15820 - x20769 = 0 e66272: - 0.5 b1421 + 0.5 x15821 - x20770 = 0 e66273: - 0.5 b1422 + 0.5 x15822 - x20771 = 0 e66274: - 0.5 b1423 + 0.5 x15823 - x20772 = 0 e66275: - 0.5 b1424 + 0.5 x15824 - x20773 = 0 e66276: - 0.5 b1425 + 0.5 x15825 - x20774 = 0 e66277: - 0.5 b1426 + 0.5 x15826 - x20775 = 0 e66278: - 0.5 b1427 + 0.5 x15827 - x20776 = 0 e66279: - 0.5 b1428 + 0.5 x15828 - x20777 = 0 e66280: - 0.5 b1429 + 0.5 x15829 - x20778 = 0 e66281: - 0.5 b1430 + 0.5 x15830 - x20779 = 0 e66282: - 0.5 b1431 + 0.5 x15831 - x20780 = 0 e66283: - 0.5 b1432 + 0.5 x15832 - x20781 = 0 e66284: - 0.5 b1433 + 0.5 x15833 - x20782 = 0 e66285: - 0.5 b1434 + 0.5 x15834 - x20783 = 0 e66286: - 0.5 b1435 + 0.5 x15835 - x20784 = 0 e66287: - 0.5 b1436 + 0.5 x15836 - x20785 = 0 e66288: - 0.5 b1437 + 0.5 x15837 - x20786 = 0 e66289: - 0.5 b1438 + 0.5 x15838 - x20787 = 0 e66290: - 0.5 b1439 + 0.5 x15839 - x20788 = 0 e66291: - 0.5 b1440 + 0.5 x15840 - x20789 = 0 e66292: - 0.5 b1441 + 0.5 x15841 - x20790 = 0 e66293: - 0.5 b1442 + 0.5 x15842 - x20791 = 0 e66294: - 0.5 b1443 + 0.5 x15843 - x20792 = 0 e66295: - 0.5 b1444 + 0.5 x15844 - x20793 = 0 e66296: - 0.5 b1445 + 0.5 x15845 - x20794 = 0 e66297: - 0.5 b1446 + 0.5 x15846 - x20795 = 0 e66298: - 0.5 b1447 + 0.5 x15847 - x20796 = 0 e66299: - 0.5 b1448 + 0.5 x15848 - x20797 = 0 e66300: - 0.5 b1449 + 0.5 x15849 - x20798 = 0 e66301: - 0.5 b1450 + 0.5 x15850 - x20799 = 0 e66302: - 0.5 b1451 + 0.5 x15851 - x20800 = 0 e66303: - 0.5 b1452 + 0.5 x15852 - x20801 = 0 e66304: - 0.5 b1453 + 0.5 x15853 - x20802 = 0 e66305: - 0.5 b1454 + 0.5 x15854 - x20803 = 0 e66306: - 0.5 b1455 + 0.5 x15855 - x20804 = 0 e66307: - 0.5 b1456 + 0.5 x15856 - x20805 = 0 e66308: - 0.5 b1457 + 0.5 x15857 - x20806 = 0 e66309: - 0.5 b1458 + 0.5 x15858 - x20807 = 0 e66310: - 0.5 b1459 + 0.5 x15859 - x20808 = 0 e66311: - 0.5 b1460 + 0.5 x15860 - x20809 = 0 e66312: - 0.5 b1461 + 0.5 x15861 - x20810 = 0 e66313: - 0.5 b1462 + 0.5 x15862 - x20811 = 0 e66314: - 0.5 b1463 + 0.5 x15863 - x20812 = 0 e66315: - 0.5 b1464 + 0.5 x15864 - x20813 = 0 e66316: - 0.5 b1465 + 0.5 x15865 - x20814 = 0 e66317: - 0.5 b1466 + 0.5 x15866 - x20815 = 0 e66318: - 0.5 b1467 + 0.5 x15867 - x20816 = 0 e66319: - 0.5 b1468 + 0.5 x15868 - x20817 = 0 e66320: - 0.5 b1469 + 0.5 x15869 - x20818 = 0 e66321: - 0.5 b1470 + 0.5 x15870 - x20819 = 0 e66322: - 0.5 b1471 + 0.5 x15871 - x20820 = 0 e66323: - 0.5 b1472 + 0.5 x15872 - x20821 = 0 e66324: - 0.5 b1473 + 0.5 x15873 - x20822 = 0 e66325: - 0.5 b1474 + 0.5 x15874 - x20823 = 0 e66326: - 0.5 b1475 + 0.5 x15875 - x20824 = 0 e66327: - 0.5 b1476 + 0.5 x15876 - x20825 = 0 e66328: - 0.5 b1477 + 0.5 x15877 - x20826 = 0 e66329: - 0.5 b1478 + 0.5 x15878 - x20827 = 0 e66330: - 0.5 b1479 + 0.5 x15879 - x20828 = 0 e66331: - 0.5 b1480 + 0.5 x15880 - x20829 = 0 e66332: - 0.5 b1481 + 0.5 x15881 - x20830 = 0 e66333: - 0.5 b1482 + 0.5 x15882 - x20831 = 0 e66334: - 0.5 b1483 + 0.5 x15883 - x20832 = 0 e66335: - 0.5 b1484 + 0.5 x15884 - x20833 = 0 e66336: - 0.5 b1485 + 0.5 x15885 - x20834 = 0 e66337: - 0.5 b1486 + 0.5 x15886 - x20835 = 0 e66338: - 0.5 b1487 + 0.5 x15887 - x20836 = 0 e66339: - 0.5 b1488 + 0.5 x15888 - x20837 = 0 e66340: - 0.5 b1489 + 0.5 x15889 - x20838 = 0 e66341: - 0.5 b1490 + 0.5 x15890 - x20839 = 0 e66342: - 0.5 b1491 + 0.5 x15891 - x20840 = 0 e66343: - 0.5 b1492 + 0.5 x15892 - x20841 = 0 e66344: - 0.5 b1493 + 0.5 x15893 - x20842 = 0 e66345: - 0.5 b1494 + 0.5 x15894 - x20843 = 0 e66346: - 0.5 b1495 + 0.5 x15895 - x20844 = 0 e66347: - 0.5 b1496 + 0.5 x15896 - x20845 = 0 e66348: - 0.5 b1497 + 0.5 x15897 - x20846 = 0 e66349: - 0.5 b1498 + 0.5 x15898 - x20847 = 0 e66350: - 0.5 b1499 + 0.5 x15899 - x20848 = 0 e66351: - 0.5 b1500 + 0.5 x15900 - x20849 = 0 e66352: - 0.5 b1501 + 0.5 x15901 - x20850 = 0 e66353: - 0.5 b1502 + 0.5 x15902 - x20851 = 0 e66354: - 0.5 b1503 + 0.5 x15903 - x20852 = 0 e66355: - 0.5 b1504 + 0.5 x15904 - x20853 = 0 e66356: - 0.5 b1505 + 0.5 x15905 - x20854 = 0 e66357: - 0.5 b1506 + 0.5 x15906 - x20855 = 0 e66358: - 0.5 b1507 + 0.5 x15907 - x20856 = 0 e66359: - 0.5 b1508 + 0.5 x15908 - x20857 = 0 e66360: - 0.5 b1509 + 0.5 x15909 - x20858 = 0 e66361: - 0.5 b1510 + 0.5 x15910 - x20859 = 0 e66362: - 0.5 b1511 + 0.5 x15911 - x20860 = 0 e66363: - 0.5 b1512 + 0.5 x15912 - x20861 = 0 e66364: - 0.5 b1513 + 0.5 x15913 - x20862 = 0 e66365: - 0.5 b1514 + 0.5 x15914 - x20863 = 0 e66366: - 0.5 b1515 + 0.5 x15915 - x20864 = 0 e66367: - 0.5 b1516 + 0.5 x15916 - x20865 = 0 e66368: - 0.5 b1517 + 0.5 x15917 - x20866 = 0 e66369: - 0.5 b1518 + 0.5 x15918 - x20867 = 0 e66370: - 0.5 b1519 + 0.5 x15919 - x20868 = 0 e66371: - 0.5 b1520 + 0.5 x15920 - x20869 = 0 e66372: - 0.5 b1521 + 0.5 x15921 - x20870 = 0 e66373: - 0.5 b1522 + 0.5 x15922 - x20871 = 0 e66374: - 0.5 b1523 + 0.5 x15923 - x20872 = 0 e66375: - 0.5 b1524 + 0.5 x15924 - x20873 = 0 e66376: - 0.5 b1525 + 0.5 x15925 - x20874 = 0 e66377: - 0.5 b1526 + 0.5 x15926 - x20875 = 0 e66378: - 0.5 b1527 + 0.5 x15927 - x20876 = 0 e66379: - 0.5 b1528 + 0.5 x15928 - x20877 = 0 e66380: - 0.5 b1529 + 0.5 x15929 - x20878 = 0 e66381: - 0.5 b1530 + 0.5 x15930 - x20879 = 0 e66382: - 0.5 b1531 + 0.5 x15931 - x20880 = 0 e66383: - 0.5 b1532 + 0.5 x15932 - x20881 = 0 e66384: - 0.5 b1533 + 0.5 x15933 - x20882 = 0 e66385: - 0.5 b1534 + 0.5 x15934 - x20883 = 0 e66386: - 0.5 b1535 + 0.5 x15935 - x20884 = 0 e66387: - 0.5 b1536 + 0.5 x15936 - x20885 = 0 e66388: - 0.5 b1537 + 0.5 x15937 - x20886 = 0 e66389: - 0.5 b1538 + 0.5 x15938 - x20887 = 0 e66390: - 0.5 b1539 + 0.5 x15939 - x20888 = 0 e66391: - 0.5 b1540 + 0.5 x15940 - x20889 = 0 e66392: - 0.5 b1541 + 0.5 x15941 - x20890 = 0 e66393: - 0.5 b1542 + 0.5 x15942 - x20891 = 0 e66394: - 0.5 b1543 + 0.5 x15943 - x20892 = 0 e66395: - 0.5 b1544 + 0.5 x15944 - x20893 = 0 e66396: - 0.5 b1545 + 0.5 x15945 - x20894 = 0 e66397: - 0.5 b1546 + 0.5 x15946 - x20895 = 0 e66398: - 0.5 b1547 + 0.5 x15947 - x20896 = 0 e66399: - 0.5 b1548 + 0.5 x15948 - x20897 = 0 e66400: - 0.5 b1549 + 0.5 x15949 - x20898 = 0 e66401: - 0.5 b1550 + 0.5 x15950 - x20899 = 0 e66402: - 0.5 b1551 + 0.5 x15951 - x20900 = 0 e66403: - 0.5 b1552 + 0.5 x15952 - x20901 = 0 e66404: - 0.5 b1553 + 0.5 x15953 - x20902 = 0 e66405: - 0.5 b1554 + 0.5 x15954 - x20903 = 0 e66406: - 0.5 b1555 + 0.5 x15955 - x20904 = 0 e66407: - 0.5 b1556 + 0.5 x15956 - x20905 = 0 e66408: - 0.5 b1557 + 0.5 x15957 - x20906 = 0 e66409: - 0.5 b1558 + 0.5 x15958 - x20907 = 0 e66410: - 0.5 b1559 + 0.5 x15959 - x20908 = 0 e66411: - 0.5 b1560 + 0.5 x15960 - x20909 = 0 e66412: - 0.5 b1561 + 0.5 x15961 - x20910 = 0 e66413: - 0.5 b1562 + 0.5 x15962 - x20911 = 0 e66414: - 0.5 b1563 + 0.5 x15963 - x20912 = 0 e66415: - 0.5 b1564 + 0.5 x15964 - x20913 = 0 e66416: - 0.5 b1565 + 0.5 x15965 - x20914 = 0 e66417: - 0.5 b1566 + 0.5 x15966 - x20915 = 0 e66418: - 0.5 b1567 + 0.5 x15967 - x20916 = 0 e66419: - 0.5 b1568 + 0.5 x15968 - x20917 = 0 e66420: - 0.5 b1569 + 0.5 x15969 - x20918 = 0 e66421: - 0.5 b1570 + 0.5 x15970 - x20919 = 0 e66422: - 0.5 b1571 + 0.5 x15971 - x20920 = 0 e66423: - 0.5 b1572 + 0.5 x15972 - x20921 = 0 e66424: - 0.5 b1573 + 0.5 x15973 - x20922 = 0 e66425: - 0.5 b1574 + 0.5 x15974 - x20923 = 0 e66426: - 0.5 b1575 + 0.5 x15975 - x20924 = 0 e66427: - 0.5 b1576 + 0.5 x15976 - x20925 = 0 e66428: - 0.5 b1577 + 0.5 x15977 - x20926 = 0 e66429: - 0.5 b1578 + 0.5 x15978 - x20927 = 0 e66430: - 0.5 b1579 + 0.5 x15979 - x20928 = 0 e66431: - 0.5 b1580 + 0.5 x15980 - x20929 = 0 e66432: - 0.5 b1581 + 0.5 x15981 - x20930 = 0 e66433: - 0.5 b1582 + 0.5 x15982 - x20931 = 0 e66434: - 0.5 b1583 + 0.5 x15983 - x20932 = 0 e66435: - 0.5 b1584 + 0.5 x15984 - x20933 = 0 e66436: - 0.5 b1585 + 0.5 x15985 - x20934 = 0 e66437: - 0.5 b1586 + 0.5 x15986 - x20935 = 0 e66438: - 0.5 b1587 + 0.5 x15987 - x20936 = 0 e66439: - 0.5 b1588 + 0.5 x15988 - x20937 = 0 e66440: - 0.5 b1589 + 0.5 x15989 - x20938 = 0 e66441: - 0.5 b1590 + 0.5 x15990 - x20939 = 0 e66442: - 0.5 b1591 + 0.5 x15991 - x20940 = 0 e66443: - 0.5 b1592 + 0.5 x15992 - x20941 = 0 e66444: - 0.5 b1593 + 0.5 x15993 - x20942 = 0 e66445: - 0.5 b1594 + 0.5 x15994 - x20943 = 0 e66446: - 0.5 b1595 + 0.5 x15995 - x20944 = 0 e66447: - 0.5 b1596 + 0.5 x15996 - x20945 = 0 e66448: - 0.5 b1597 + 0.5 x15997 - x20946 = 0 e66449: - 0.5 b1598 + 0.5 x15998 - x20947 = 0 e66450: - 0.5 b1599 + 0.5 x15999 - x20948 = 0 e66451: - 0.5 b1600 + 0.5 x16000 - x20949 = 0 e66452: - 0.5 b1601 + 0.5 x16001 - x20950 = 0 e66453: - 0.5 b1602 + 0.5 x16002 - x20951 = 0 e66454: - 0.5 b1603 + 0.5 x16003 - x20952 = 0 e66455: - 0.5 b1604 + 0.5 x16004 - x20953 = 0 e66456: - 0.5 b1605 + 0.5 x16005 - x20954 = 0 e66457: - 0.5 b1606 + 0.5 x16006 - x20955 = 0 e66458: - 0.5 b1607 + 0.5 x16007 - x20956 = 0 e66459: - 0.5 b1608 + 0.5 x16008 - x20957 = 0 e66460: - 0.5 b1609 + 0.5 x16009 - x20958 = 0 e66461: - 0.5 b1610 + 0.5 x16010 - x20959 = 0 e66462: - 0.5 b1611 + 0.5 x16011 - x20960 = 0 e66463: - 0.5 b1612 + 0.5 x16012 - x20961 = 0 e66464: - 0.5 b1613 + 0.5 x16013 - x20962 = 0 e66465: - 0.5 b1614 + 0.5 x16014 - x20963 = 0 e66466: - 0.5 b1615 + 0.5 x16015 - x20964 = 0 e66467: - 0.5 b1616 + 0.5 x16016 - x20965 = 0 e66468: - 0.5 b1617 + 0.5 x16017 - x20966 = 0 e66469: - 0.5 b1618 + 0.5 x16018 - x20967 = 0 e66470: - 0.5 b1619 + 0.5 x16019 - x20968 = 0 e66471: - 0.5 b1620 + 0.5 x16020 - x20969 = 0 e66472: - 0.5 b1621 + 0.5 x16021 - x20970 = 0 e66473: - 0.5 b1622 + 0.5 x16022 - x20971 = 0 e66474: - 0.5 b1623 + 0.5 x16023 - x20972 = 0 e66475: - 0.5 b1624 + 0.5 x16024 - x20973 = 0 e66476: - 0.5 b1625 + 0.5 x16025 - x20974 = 0 e66477: - 0.5 b1626 + 0.5 x16026 - x20975 = 0 e66478: - 0.5 b1627 + 0.5 x16027 - x20976 = 0 e66479: - 0.5 b1628 + 0.5 x16028 - x20977 = 0 e66480: - 0.5 b1629 + 0.5 x16029 - x20978 = 0 e66481: - 0.5 b1630 + 0.5 x16030 - x20979 = 0 e66482: - 0.5 b1631 + 0.5 x16031 - x20980 = 0 e66483: - 0.5 b1632 + 0.5 x16032 - x20981 = 0 e66484: - 0.5 b1633 + 0.5 x16033 - x20982 = 0 e66485: - 0.5 b1634 + 0.5 x16034 - x20983 = 0 e66486: - 0.5 b1635 + 0.5 x16035 - x20984 = 0 e66487: - 0.5 b1636 + 0.5 x16036 - x20985 = 0 e66488: - 0.5 b1637 + 0.5 x16037 - x20986 = 0 e66489: - 0.5 b1638 + 0.5 x16038 - x20987 = 0 e66490: - 0.5 b1639 + 0.5 x16039 - x20988 = 0 e66491: - 0.5 b1640 + 0.5 x16040 - x20989 = 0 e66492: - 0.5 b1641 + 0.5 x16041 - x20990 = 0 e66493: - 0.5 b1642 + 0.5 x16042 - x20991 = 0 e66494: - 0.5 b1643 + 0.5 x16043 - x20992 = 0 e66495: - 0.5 b1644 + 0.5 x16044 - x20993 = 0 e66496: - 0.5 b1645 + 0.5 x16045 - x20994 = 0 e66497: - 0.5 b1646 + 0.5 x16046 - x20995 = 0 e66498: - 0.5 b1647 + 0.5 x16047 - x20996 = 0 e66499: - 0.5 b1648 + 0.5 x16048 - x20997 = 0 e66500: - 0.5 b1649 + 0.5 x16049 - x20998 = 0 e66501: - 0.5 b1650 + 0.5 x16050 - x20999 = 0 e66502: - 0.5 b1651 + 0.5 x16051 - x21000 = 0 e66503: - 0.5 b1652 + 0.5 x16052 - x21001 = 0 e66504: - 0.5 b1653 + 0.5 x16053 - x21002 = 0 e66505: - 0.5 b1654 + 0.5 x16054 - x21003 = 0 e66506: - 0.5 b1655 + 0.5 x16055 - x21004 = 0 e66507: - 0.5 b1656 + 0.5 x16056 - x21005 = 0 e66508: - 0.5 b1657 + 0.5 x16057 - x21006 = 0 e66509: - 0.5 b1658 + 0.5 x16058 - x21007 = 0 e66510: - 0.5 b1659 + 0.5 x16059 - x21008 = 0 e66511: - 0.5 b1660 + 0.5 x16060 - x21009 = 0 e66512: - 0.5 b1661 + 0.5 x16061 - x21010 = 0 e66513: - 0.5 b1662 + 0.5 x16062 - x21011 = 0 e66514: - 0.5 b1663 + 0.5 x16063 - x21012 = 0 e66515: - 0.5 b1664 + 0.5 x16064 - x21013 = 0 e66516: - 0.5 b1665 + 0.5 x16065 - x21014 = 0 e66517: - 0.5 b1666 + 0.5 x16066 - x21015 = 0 e66518: - 0.5 b1667 + 0.5 x16067 - x21016 = 0 e66519: - 0.5 b1668 + 0.5 x16068 - x21017 = 0 e66520: - 0.5 b1669 + 0.5 x16069 - x21018 = 0 e66521: - 0.5 b1670 + 0.5 x16070 - x21019 = 0 e66522: - 0.5 b1671 + 0.5 x16071 - x21020 = 0 e66523: - 0.5 b1672 + 0.5 x16072 - x21021 = 0 e66524: - 0.5 b1673 + 0.5 x16073 - x21022 = 0 e66525: - 0.5 b1674 + 0.5 x16074 - x21023 = 0 e66526: - 0.5 b1675 + 0.5 x16075 - x21024 = 0 e66527: - 0.5 b1676 + 0.5 x16076 - x21025 = 0 e66528: - 0.5 b1677 + 0.5 x16077 - x21026 = 0 e66529: - 0.5 b1678 + 0.5 x16078 - x21027 = 0 e66530: - 0.5 b1679 + 0.5 x16079 - x21028 = 0 e66531: - 0.5 b1680 + 0.5 x16080 - x21029 = 0 e66532: - 0.5 b1681 + 0.5 x16081 - x21030 = 0 e66533: - 0.5 b1682 + 0.5 x16082 - x21031 = 0 e66534: - 0.5 b1683 + 0.5 x16083 - x21032 = 0 e66535: - 0.5 b1684 + 0.5 x16084 - x21033 = 0 e66536: - 0.5 b1685 + 0.5 x16085 - x21034 = 0 e66537: - 0.5 b1686 + 0.5 x16086 - x21035 = 0 e66538: - 0.5 b1687 + 0.5 x16087 - x21036 = 0 e66539: - 0.5 b1688 + 0.5 x16088 - x21037 = 0 e66540: - 0.5 b1689 + 0.5 x16089 - x21038 = 0 e66541: - 0.5 b1690 + 0.5 x16090 - x21039 = 0 e66542: - 0.5 b1691 + 0.5 x16091 - x21040 = 0 e66543: - 0.5 b1692 + 0.5 x16092 - x21041 = 0 e66544: - 0.5 b1693 + 0.5 x16093 - x21042 = 0 e66545: - 0.5 b1694 + 0.5 x16094 - x21043 = 0 e66546: - 0.5 b1695 + 0.5 x16095 - x21044 = 0 e66547: - 0.5 b1696 + 0.5 x16096 - x21045 = 0 e66548: - 0.5 b1697 + 0.5 x16097 - x21046 = 0 e66549: - 0.5 b1698 + 0.5 x16098 - x21047 = 0 e66550: - 0.5 b1699 + 0.5 x16099 - x21048 = 0 e66551: - 0.5 b1700 + 0.5 x16100 - x21049 = 0 e66552: - 0.5 b1701 + 0.5 x16101 - x21050 = 0 e66553: - 0.5 b1702 + 0.5 x16102 - x21051 = 0 e66554: - 0.5 b1703 + 0.5 x16103 - x21052 = 0 e66555: - 0.5 b1704 + 0.5 x16104 - x21053 = 0 e66556: - 0.5 b1705 + 0.5 x16105 - x21054 = 0 e66557: - 0.5 b1706 + 0.5 x16106 - x21055 = 0 e66558: - 0.5 b1707 + 0.5 x16107 - x21056 = 0 e66559: - 0.5 b1708 + 0.5 x16108 - x21057 = 0 e66560: - 0.5 b1709 + 0.5 x16109 - x21058 = 0 e66561: - 0.5 b1710 + 0.5 x16110 - x21059 = 0 e66562: - 0.5 b1711 + 0.5 x16111 - x21060 = 0 e66563: - 0.5 b1712 + 0.5 x16112 - x21061 = 0 e66564: - 0.5 b1713 + 0.5 x16113 - x21062 = 0 e66565: - 0.5 b1714 + 0.5 x16114 - x21063 = 0 e66566: - 0.5 b1715 + 0.5 x16115 - x21064 = 0 e66567: - 0.5 b1716 + 0.5 x16116 - x21065 = 0 e66568: - 0.5 b1717 + 0.5 x16117 - x21066 = 0 e66569: - 0.5 b1718 + 0.5 x16118 - x21067 = 0 e66570: - 0.5 b1719 + 0.5 x16119 - x21068 = 0 e66571: - 0.5 b1720 + 0.5 x16120 - x21069 = 0 e66572: - 0.5 b1721 + 0.5 x16121 - x21070 = 0 e66573: - 0.5 b1722 + 0.5 x16122 - x21071 = 0 e66574: - 0.5 b1723 + 0.5 x16123 - x21072 = 0 e66575: - 0.5 b1724 + 0.5 x16124 - x21073 = 0 e66576: - 0.5 b1725 + 0.5 x16125 - x21074 = 0 e66577: - 0.5 b1726 + 0.5 x16126 - x21075 = 0 e66578: - 0.5 b1727 + 0.5 x16127 - x21076 = 0 e66579: - 0.5 b1728 + 0.5 x16128 - x21077 = 0 e66580: - 0.5 b1729 + 0.5 x16129 - x21078 = 0 e66581: - 0.5 b1730 + 0.5 x16130 - x21079 = 0 e66582: - 0.5 b1731 + 0.5 x16131 - x21080 = 0 e66583: - 0.5 b1732 + 0.5 x16132 - x21081 = 0 e66584: - 0.5 b1733 + 0.5 x16133 - x21082 = 0 e66585: - 0.5 b1734 + 0.5 x16134 - x21083 = 0 e66586: - 0.5 b1735 + 0.5 x16135 - x21084 = 0 e66587: - 0.5 b1736 + 0.5 x16136 - x21085 = 0 e66588: - 0.5 b1737 + 0.5 x16137 - x21086 = 0 e66589: - 0.5 b1738 + 0.5 x16138 - x21087 = 0 e66590: - 0.5 b1739 + 0.5 x16139 - x21088 = 0 e66591: - 0.5 b1740 + 0.5 x16140 - x21089 = 0 e66592: - 0.5 b1741 + 0.5 x16141 - x21090 = 0 e66593: - 0.5 b1742 + 0.5 x16142 - x21091 = 0 e66594: - 0.5 b1743 + 0.5 x16143 - x21092 = 0 e66595: - 0.5 b1744 + 0.5 x16144 - x21093 = 0 e66596: - 0.5 b1745 + 0.5 x16145 - x21094 = 0 e66597: - 0.5 b1746 + 0.5 x16146 - x21095 = 0 e66598: - 0.5 b1747 + 0.5 x16147 - x21096 = 0 e66599: - 0.5 b1748 + 0.5 x16148 - x21097 = 0 e66600: - 0.5 b1749 + 0.5 x16149 - x21098 = 0 e66601: - 0.5 b1750 + 0.5 x16150 - x21099 = 0 e66602: - 0.5 b1751 + 0.5 x16151 - x21100 = 0 e66603: - 0.5 b1752 + 0.5 x16152 - x21101 = 0 e66604: - 0.5 b1753 + 0.5 x16153 - x21102 = 0 e66605: - 0.5 b1754 + 0.5 x16154 - x21103 = 0 e66606: - 0.5 b1755 + 0.5 x16155 - x21104 = 0 e66607: - 0.5 b1756 + 0.5 x16156 - x21105 = 0 e66608: - 0.5 b1757 + 0.5 x16157 - x21106 = 0 e66609: - 0.5 b1758 + 0.5 x16158 - x21107 = 0 e66610: - 0.5 b1759 + 0.5 x16159 - x21108 = 0 e66611: - 0.5 b1760 + 0.5 x16160 - x21109 = 0 e66612: - 0.5 b1761 + 0.5 x16161 - x21110 = 0 e66613: - 0.5 b1762 + 0.5 x16162 - x21111 = 0 e66614: - 0.5 b1763 + 0.5 x16163 - x21112 = 0 e66615: - 0.5 b1764 + 0.5 x16164 - x21113 = 0 e66616: - 0.5 b1765 + 0.5 x16165 - x21114 = 0 e66617: - 0.5 b1766 + 0.5 x16166 - x21115 = 0 e66618: - 0.5 b1767 + 0.5 x16167 - x21116 = 0 e66619: - 0.5 b1768 + 0.5 x16168 - x21117 = 0 e66620: - 0.5 b1769 + 0.5 x16169 - x21118 = 0 e66621: - 0.5 b1770 + 0.5 x16170 - x21119 = 0 e66622: - 0.5 b1771 + 0.5 x16171 - x21120 = 0 e66623: - 0.5 b1772 + 0.5 x16172 - x21121 = 0 e66624: - 0.5 b1773 + 0.5 x16173 - x21122 = 0 e66625: - 0.5 b1774 + 0.5 x16174 - x21123 = 0 e66626: - 0.5 b1775 + 0.5 x16175 - x21124 = 0 e66627: - 0.5 b1776 + 0.5 x16176 - x21125 = 0 e66628: - 0.5 b1777 + 0.5 x16177 - x21126 = 0 e66629: - 0.5 b1778 + 0.5 x16178 - x21127 = 0 e66630: - 0.5 b1779 + 0.5 x16179 - x21128 = 0 e66631: - 0.5 b1780 + 0.5 x16180 - x21129 = 0 e66632: - 0.5 b1781 + 0.5 x16181 - x21130 = 0 e66633: - 0.5 b1782 + 0.5 x16182 - x21131 = 0 e66634: - 0.5 b1783 + 0.5 x16183 - x21132 = 0 e66635: - 0.5 b1784 + 0.5 x16184 - x21133 = 0 e66636: - 0.5 b1785 + 0.5 x16185 - x21134 = 0 e66637: - 0.5 b1786 + 0.5 x16186 - x21135 = 0 e66638: - 0.5 b1787 + 0.5 x16187 - x21136 = 0 e66639: - 0.5 b1788 + 0.5 x16188 - x21137 = 0 e66640: - 0.5 b1789 + 0.5 x16189 - x21138 = 0 e66641: - 0.5 b1790 + 0.5 x16190 - x21139 = 0 e66642: - 0.5 b1791 + 0.5 x16191 - x21140 = 0 e66643: - 0.5 b1792 + 0.5 x16192 - x21141 = 0 e66644: - 0.5 b1793 + 0.5 x16193 - x21142 = 0 e66645: - 0.5 b1794 + 0.5 x16194 - x21143 = 0 e66646: - 0.5 b1795 + 0.5 x16195 - x21144 = 0 e66647: - 0.5 b1796 + 0.5 x16196 - x21145 = 0 e66648: - 0.5 b1797 + 0.5 x16197 - x21146 = 0 e66649: - 0.5 b1798 + 0.5 x16198 - x21147 = 0 e66650: - 0.5 b1799 + 0.5 x16199 - x21148 = 0 e66651: - 0.5 b1800 + 0.5 x16200 - x21149 = 0 e66652: - 0.5 b1801 + 0.5 x16201 - x21150 = 0 e66653: - 0.5 b1802 + 0.5 x16202 - x21151 = 0 e66654: - 0.5 b1803 + 0.5 x16203 - x21152 = 0 e66655: - 0.5 b1804 + 0.5 x16204 - x21153 = 0 e66656: - 0.5 b1805 + 0.5 x16205 - x21154 = 0 e66657: - 0.5 b1806 + 0.5 x16206 - x21155 = 0 e66658: - 0.5 b1807 + 0.5 x16207 - x21156 = 0 e66659: - 0.5 b1808 + 0.5 x16208 - x21157 = 0 e66660: - 0.5 b1809 + 0.5 x16209 - x21158 = 0 e66661: - 0.5 b1810 + 0.5 x16210 - x21159 = 0 e66662: - 0.5 b1811 + 0.5 x16211 - x21160 = 0 e66663: - 0.5 b1812 + 0.5 x16212 - x21161 = 0 e66664: - 0.5 b1813 + 0.5 x16213 - x21162 = 0 e66665: - 0.5 b1814 + 0.5 x16214 - x21163 = 0 e66666: - 0.5 b1815 + 0.5 x16215 - x21164 = 0 e66667: - 0.5 b1816 + 0.5 x16216 - x21165 = 0 e66668: - 0.5 b1817 + 0.5 x16217 - x21166 = 0 e66669: - 0.5 b1818 + 0.5 x16218 - x21167 = 0 e66670: - 0.5 b1819 + 0.5 x16219 - x21168 = 0 e66671: - 0.5 b1820 + 0.5 x16220 - x21169 = 0 e66672: - 0.5 b1821 + 0.5 x16221 - x21170 = 0 e66673: - 0.5 b1822 + 0.5 x16222 - x21171 = 0 e66674: - 0.5 b1823 + 0.5 x16223 - x21172 = 0 e66675: - 0.5 b1824 + 0.5 x16224 - x21173 = 0 e66676: - 0.5 b1825 + 0.5 x16225 - x21174 = 0 e66677: - 0.5 b1826 + 0.5 x16226 - x21175 = 0 e66678: - 0.5 b1827 + 0.5 x16227 - x21176 = 0 e66679: - 0.5 b1828 + 0.5 x16228 - x21177 = 0 e66680: - 0.5 b1829 + 0.5 x16229 - x21178 = 0 e66681: - 0.5 b1830 + 0.5 x16230 - x21179 = 0 e66682: - 0.5 b1831 + 0.5 x16231 - x21180 = 0 e66683: - 0.5 b1832 + 0.5 x16232 - x21181 = 0 e66684: - 0.5 b1833 + 0.5 x16233 - x21182 = 0 e66685: - 0.5 b1834 + 0.5 x16234 - x21183 = 0 e66686: - 0.5 b1835 + 0.5 x16235 - x21184 = 0 e66687: - 0.5 b1836 + 0.5 x16236 - x21185 = 0 e66688: - 0.5 b1837 + 0.5 x16237 - x21186 = 0 e66689: - 0.5 b1838 + 0.5 x16238 - x21187 = 0 e66690: - 0.5 b1839 + 0.5 x16239 - x21188 = 0 e66691: - 0.5 b1840 + 0.5 x16240 - x21189 = 0 e66692: - 0.5 b1841 + 0.5 x16241 - x21190 = 0 e66693: - 0.5 b1842 + 0.5 x16242 - x21191 = 0 e66694: - 0.5 b1843 + 0.5 x16243 - x21192 = 0 e66695: - 0.5 b1844 + 0.5 x16244 - x21193 = 0 e66696: - 0.5 b1845 + 0.5 x16245 - x21194 = 0 e66697: - 0.5 b1846 + 0.5 x16246 - x21195 = 0 e66698: - 0.5 b1847 + 0.5 x16247 - x21196 = 0 e66699: - 0.5 b1848 + 0.5 x16248 - x21197 = 0 e66700: - 0.5 b1849 + 0.5 x16249 - x21198 = 0 e66701: - 0.5 b1850 + 0.5 x16250 - x21199 = 0 e66702: - 0.5 b1851 + 0.5 x16251 - x21200 = 0 e66703: - 0.5 b1852 + 0.5 x16252 - x21201 = 0 e66704: - 0.5 b1853 + 0.5 x16253 - x21202 = 0 e66705: - 0.5 b1854 + 0.5 x16254 - x21203 = 0 e66706: - 0.5 b1855 + 0.5 x16255 - x21204 = 0 e66707: - 0.5 b1856 + 0.5 x16256 - x21205 = 0 e66708: - 0.5 b1857 + 0.5 x16257 - x21206 = 0 e66709: - 0.5 b1858 + 0.5 x16258 - x21207 = 0 e66710: - 0.5 b1859 + 0.5 x16259 - x21208 = 0 e66711: - 0.5 b1860 + 0.5 x16260 - x21209 = 0 e66712: - 0.5 b1861 + 0.5 x16261 - x21210 = 0 e66713: - 0.5 b1862 + 0.5 x16262 - x21211 = 0 e66714: - 0.5 b1863 + 0.5 x16263 - x21212 = 0 e66715: - 0.5 b1864 + 0.5 x16264 - x21213 = 0 e66716: - 0.5 b1865 + 0.5 x16265 - x21214 = 0 e66717: - 0.5 b1866 + 0.5 x16266 - x21215 = 0 e66718: - 0.5 b1867 + 0.5 x16267 - x21216 = 0 e66719: - 0.5 b1868 + 0.5 x16268 - x21217 = 0 e66720: - 0.5 b1869 + 0.5 x16269 - x21218 = 0 e66721: - 0.5 b1870 + 0.5 x16270 - x21219 = 0 e66722: - 0.5 b1871 + 0.5 x16271 - x21220 = 0 e66723: - 0.5 b1872 + 0.5 x16272 - x21221 = 0 e66724: - 0.5 b1873 + 0.5 x16273 - x21222 = 0 e66725: - 0.5 b1874 + 0.5 x16274 - x21223 = 0 e66726: - 0.5 b1875 + 0.5 x16275 - x21224 = 0 e66727: - 0.5 b1876 + 0.5 x16276 - x21225 = 0 e66728: - 0.5 b1877 + 0.5 x16277 - x21226 = 0 e66729: - 0.5 b1878 + 0.5 x16278 - x21227 = 0 e66730: - 0.5 b1879 + 0.5 x16279 - x21228 = 0 e66731: - 0.5 b1880 + 0.5 x16280 - x21229 = 0 e66732: - 0.5 b1881 + 0.5 x16281 - x21230 = 0 e66733: - 0.5 b1882 + 0.5 x16282 - x21231 = 0 e66734: - 0.5 b1883 + 0.5 x16283 - x21232 = 0 e66735: - 0.5 b1884 + 0.5 x16284 - x21233 = 0 e66736: - 0.5 b1885 + 0.5 x16285 - x21234 = 0 e66737: - 0.5 b1886 + 0.5 x16286 - x21235 = 0 e66738: - 0.5 b1887 + 0.5 x16287 - x21236 = 0 e66739: - 0.5 b1888 + 0.5 x16288 - x21237 = 0 e66740: - 0.5 b1889 + 0.5 x16289 - x21238 = 0 e66741: - 0.5 b1890 + 0.5 x16290 - x21239 = 0 e66742: - 0.5 b1891 + 0.5 x16291 - x21240 = 0 e66743: - 0.5 b1892 + 0.5 x16292 - x21241 = 0 e66744: - 0.5 b1893 + 0.5 x16293 - x21242 = 0 e66745: - 0.5 b1894 + 0.5 x16294 - x21243 = 0 e66746: - 0.5 b1895 + 0.5 x16295 - x21244 = 0 e66747: - 0.5 b1896 + 0.5 x16296 - x21245 = 0 e66748: - 0.5 b1897 + 0.5 x16297 - x21246 = 0 e66749: - 0.5 b1898 + 0.5 x16298 - x21247 = 0 e66750: - 0.5 b1899 + 0.5 x16299 - x21248 = 0 e66751: - 0.5 b1900 + 0.5 x16300 - x21249 = 0 e66752: - 0.5 b1901 + 0.5 x16301 - x21250 = 0 e66753: - 0.5 b1902 + 0.5 x16302 - x21251 = 0 e66754: - 0.5 b1903 + 0.5 x16303 - x21252 = 0 e66755: - 0.5 b1904 + 0.5 x16304 - x21253 = 0 e66756: - 0.5 b1905 + 0.5 x16305 - x21254 = 0 e66757: - 0.5 b1906 + 0.5 x16306 - x21255 = 0 e66758: - 0.5 b1907 + 0.5 x16307 - x21256 = 0 e66759: - 0.5 b1908 + 0.5 x16308 - x21257 = 0 e66760: - 0.5 b1909 + 0.5 x16309 - x21258 = 0 e66761: - 0.5 b1910 + 0.5 x16310 - x21259 = 0 e66762: - 0.5 b1911 + 0.5 x16311 - x21260 = 0 e66763: - 0.5 b1912 + 0.5 x16312 - x21261 = 0 e66764: - 0.5 b1913 + 0.5 x16313 - x21262 = 0 e66765: - 0.5 b1914 + 0.5 x16314 - x21263 = 0 e66766: - 0.5 b1915 + 0.5 x16315 - x21264 = 0 e66767: - 0.5 b1916 + 0.5 x16316 - x21265 = 0 e66768: - 0.5 b1917 + 0.5 x16317 - x21266 = 0 e66769: - 0.5 b1918 + 0.5 x16318 - x21267 = 0 e66770: - 0.5 b1919 + 0.5 x16319 - x21268 = 0 e66771: - 0.5 b1920 + 0.5 x16320 - x21269 = 0 e66772: - 0.5 b1921 + 0.5 x16321 - x21270 = 0 e66773: - 0.5 b1922 + 0.5 x16322 - x21271 = 0 e66774: - 0.5 b1923 + 0.5 x16323 - x21272 = 0 e66775: - 0.5 b1924 + 0.5 x16324 - x21273 = 0 e66776: - 0.5 b1925 + 0.5 x16325 - x21274 = 0 e66777: - 0.5 b1926 + 0.5 x16326 - x21275 = 0 e66778: - 0.5 b1927 + 0.5 x16327 - x21276 = 0 e66779: - 0.5 b1928 + 0.5 x16328 - x21277 = 0 e66780: - 0.5 b1929 + 0.5 x16329 - x21278 = 0 e66781: - 0.5 b1930 + 0.5 x16330 - x21279 = 0 e66782: - 0.5 b1931 + 0.5 x16331 - x21280 = 0 e66783: - 0.5 b1932 + 0.5 x16332 - x21281 = 0 e66784: - 0.5 b1933 + 0.5 x16333 - x21282 = 0 e66785: - 0.5 b1934 + 0.5 x16334 - x21283 = 0 e66786: - 0.5 b1935 + 0.5 x16335 - x21284 = 0 e66787: - 0.5 b1936 + 0.5 x16336 - x21285 = 0 e66788: - 0.5 b1937 + 0.5 x16337 - x21286 = 0 e66789: - 0.5 b1938 + 0.5 x16338 - x21287 = 0 e66790: - 0.5 b1939 + 0.5 x16339 - x21288 = 0 e66791: - 0.5 b1940 + 0.5 x16340 - x21289 = 0 e66792: - 0.5 b1941 + 0.5 x16341 - x21290 = 0 e66793: - 0.5 b1942 + 0.5 x16342 - x21291 = 0 e66794: - 0.5 b1943 + 0.5 x16343 - x21292 = 0 e66795: - 0.5 b1944 + 0.5 x16344 - x21293 = 0 e66796: - 0.5 b1945 + 0.5 x16345 - x21294 = 0 e66797: - 0.5 b1946 + 0.5 x16346 - x21295 = 0 e66798: - 0.5 b1947 + 0.5 x16347 - x21296 = 0 e66799: - 0.5 b1948 + 0.5 x16348 - x21297 = 0 e66800: - 0.5 b1949 + 0.5 x16349 - x21298 = 0 e66801: - 0.5 b1950 + 0.5 x16350 - x21299 = 0 e66802: - 0.5 b1951 + 0.5 x16351 - x21300 = 0 e66803: - 0.5 b1952 + 0.5 x16352 - x21301 = 0 e66804: - 0.5 b1953 + 0.5 x16353 - x21302 = 0 e66805: - 0.5 b1954 + 0.5 x16354 - x21303 = 0 e66806: - 0.5 b1955 + 0.5 x16355 - x21304 = 0 e66807: - 0.5 b1956 + 0.5 x16356 - x21305 = 0 e66808: - 0.5 b1957 + 0.5 x16357 - x21306 = 0 e66809: - 0.5 b1958 + 0.5 x16358 - x21307 = 0 e66810: - 0.5 b1959 + 0.5 x16359 - x21308 = 0 e66811: - 0.5 b1960 + 0.5 x16360 - x21309 = 0 e66812: - 0.5 b1961 + 0.5 x16361 - x21310 = 0 e66813: - 0.5 b1962 + 0.5 x16362 - x21311 = 0 e66814: - 0.5 b1963 + 0.5 x16363 - x21312 = 0 e66815: - 0.5 b1964 + 0.5 x16364 - x21313 = 0 e66816: - 0.5 b1965 + 0.5 x16365 - x21314 = 0 e66817: - 0.5 b1966 + 0.5 x16366 - x21315 = 0 e66818: - 0.5 b1967 + 0.5 x16367 - x21316 = 0 e66819: - 0.5 b1968 + 0.5 x16368 - x21317 = 0 e66820: - 0.5 b1969 + 0.5 x16369 - x21318 = 0 e66821: - 0.5 b1970 + 0.5 x16370 - x21319 = 0 e66822: - 0.5 b1971 + 0.5 x16371 - x21320 = 0 e66823: - 0.5 b1972 + 0.5 x16372 - x21321 = 0 e66824: - 0.5 b1973 + 0.5 x16373 - x21322 = 0 e66825: - 0.5 b1974 + 0.5 x16374 - x21323 = 0 e66826: - 0.5 b1975 + 0.5 x16375 - x21324 = 0 e66827: - 0.5 b1976 + 0.5 x16376 - x21325 = 0 e66828: - 0.5 b1977 + 0.5 x16377 - x21326 = 0 e66829: - 0.5 b1978 + 0.5 x16378 - x21327 = 0 e66830: - 0.5 b1979 + 0.5 x16379 - x21328 = 0 e66831: - 0.5 b1980 + 0.5 x16380 - x21329 = 0 e66832: - 0.5 b1981 + 0.5 x16381 - x21330 = 0 e66833: - 0.5 b1982 + 0.5 x16382 - x21331 = 0 e66834: - 0.5 b1983 + 0.5 x16383 - x21332 = 0 e66835: - 0.5 b1984 + 0.5 x16384 - x21333 = 0 e66836: - 0.5 b1985 + 0.5 x16385 - x21334 = 0 e66837: - 0.5 b1986 + 0.5 x16386 - x21335 = 0 e66838: - 0.5 b1987 + 0.5 x16387 - x21336 = 0 e66839: - 0.5 b1988 + 0.5 x16388 - x21337 = 0 e66840: - 0.5 b1989 + 0.5 x16389 - x21338 = 0 e66841: - 0.5 b1990 + 0.5 x16390 - x21339 = 0 e66842: - 0.5 b1991 + 0.5 x16391 - x21340 = 0 e66843: - 0.5 b1992 + 0.5 x16392 - x21341 = 0 e66844: - 0.5 b1993 + 0.5 x16393 - x21342 = 0 e66845: - 0.5 b1994 + 0.5 x16394 - x21343 = 0 e66846: - 0.5 b1995 + 0.5 x16395 - x21344 = 0 e66847: - 0.5 b1996 + 0.5 x16396 - x21345 = 0 e66848: - 0.5 b1997 + 0.5 x16397 - x21346 = 0 e66849: - 0.5 b1998 + 0.5 x16398 - x21347 = 0 e66850: - 0.5 b1999 + 0.5 x16399 - x21348 = 0 e66851: - 0.5 b2000 + 0.5 x16400 - x21349 = 0 e66852: - 0.5 b2001 + 0.5 x16401 - x21350 = 0 e66853: - 0.5 b2002 + 0.5 x16402 - x21351 = 0 e66854: - 0.5 b2003 + 0.5 x16403 - x21352 = 0 e66855: - 0.5 b2004 + 0.5 x16404 - x21353 = 0 e66856: - 0.5 b2005 + 0.5 x16405 - x21354 = 0 e66857: - 0.5 b2006 + 0.5 x16406 - x21355 = 0 e66858: - 0.5 b2007 + 0.5 x16407 - x21356 = 0 e66859: - 0.5 b2008 + 0.5 x16408 - x21357 = 0 e66860: - 0.5 b2009 + 0.5 x16409 - x21358 = 0 e66861: - 0.5 b2010 + 0.5 x16410 - x21359 = 0 e66862: - 0.5 b2011 + 0.5 x16411 - x21360 = 0 e66863: - 0.5 b2012 + 0.5 x16412 - x21361 = 0 e66864: - 0.5 b2013 + 0.5 x16413 - x21362 = 0 e66865: - 0.5 b2014 + 0.5 x16414 - x21363 = 0 e66866: - 0.5 b2015 + 0.5 x16415 - x21364 = 0 e66867: - 0.5 b2016 + 0.5 x16416 - x21365 = 0 e66868: - 0.5 b2017 + 0.5 x16417 - x21366 = 0 e66869: - 0.5 b2018 + 0.5 x16418 - x21367 = 0 e66870: - 0.5 b2019 + 0.5 x16419 - x21368 = 0 e66871: - 0.5 b2020 + 0.5 x16420 - x21369 = 0 e66872: - 0.5 b2021 + 0.5 x16421 - x21370 = 0 e66873: - 0.5 b2022 + 0.5 x16422 - x21371 = 0 e66874: - 0.5 b2023 + 0.5 x16423 - x21372 = 0 e66875: - 0.5 b2024 + 0.5 x16424 - x21373 = 0 e66876: - 0.5 b2025 + 0.5 x16425 - x21374 = 0 e66877: - 0.5 b2026 + 0.5 x16426 - x21375 = 0 e66878: - 0.5 b2027 + 0.5 x16427 - x21376 = 0 e66879: - 0.5 b2028 + 0.5 x16428 - x21377 = 0 e66880: - 0.5 b2029 + 0.5 x16429 - x21378 = 0 e66881: - 0.5 b2030 + 0.5 x16430 - x21379 = 0 e66882: - 0.5 b2031 + 0.5 x16431 - x21380 = 0 e66883: - 0.5 b2032 + 0.5 x16432 - x21381 = 0 e66884: - 0.5 b2033 + 0.5 x16433 - x21382 = 0 e66885: - 0.5 b2034 + 0.5 x16434 - x21383 = 0 e66886: - 0.5 b2035 + 0.5 x16435 - x21384 = 0 e66887: - 0.5 b2036 + 0.5 x16436 - x21385 = 0 e66888: - 0.5 b2037 + 0.5 x16437 - x21386 = 0 e66889: - 0.5 b2038 + 0.5 x16438 - x21387 = 0 e66890: - 0.5 b2039 + 0.5 x16439 - x21388 = 0 e66891: - 0.5 b2040 + 0.5 x16440 - x21389 = 0 e66892: - 0.5 b2041 + 0.5 x16441 - x21390 = 0 e66893: - 0.5 b2042 + 0.5 x16442 - x21391 = 0 e66894: - 0.5 b2043 + 0.5 x16443 - x21392 = 0 e66895: - 0.5 b2044 + 0.5 x16444 - x21393 = 0 e66896: - 0.5 b2045 + 0.5 x16445 - x21394 = 0 e66897: - 0.5 b2046 + 0.5 x16446 - x21395 = 0 e66898: - 0.5 b2047 + 0.5 x16447 - x21396 = 0 e66899: - 0.5 b2048 + 0.5 x16448 - x21397 = 0 e66900: - 0.5 b2049 + 0.5 x16449 - x21398 = 0 e66901: - 0.5 b2050 + 0.5 x16450 - x21399 = 0 e66902: - 0.5 b2051 + 0.5 x16451 - x21400 = 0 e66903: - 0.5 b2052 + 0.5 x16452 - x21401 = 0 e66904: - 0.5 b2053 + 0.5 x16453 - x21402 = 0 e66905: - 0.5 b2054 + 0.5 x16454 - x21403 = 0 e66906: - 0.5 b2055 + 0.5 x16455 - x21404 = 0 e66907: - 0.5 b2056 + 0.5 x16456 - x21405 = 0 e66908: - 0.5 b2057 + 0.5 x16457 - x21406 = 0 e66909: - 0.5 b2058 + 0.5 x16458 - x21407 = 0 e66910: - 0.5 b2059 + 0.5 x16459 - x21408 = 0 e66911: - 0.5 b2060 + 0.5 x16460 - x21409 = 0 e66912: - 0.5 b2061 + 0.5 x16461 - x21410 = 0 e66913: - 0.5 b2062 + 0.5 x16462 - x21411 = 0 e66914: - 0.5 b2063 + 0.5 x16463 - x21412 = 0 e66915: - 0.5 b2064 + 0.5 x16464 - x21413 = 0 e66916: - 0.5 b2065 + 0.5 x16465 - x21414 = 0 e66917: - 0.5 b2066 + 0.5 x16466 - x21415 = 0 e66918: - 0.5 b2067 + 0.5 x16467 - x21416 = 0 e66919: - 0.5 b2068 + 0.5 x16468 - x21417 = 0 e66920: - 0.5 b2069 + 0.5 x16469 - x21418 = 0 e66921: - 0.5 b2070 + 0.5 x16470 - x21419 = 0 e66922: - 0.5 b2071 + 0.5 x16471 - x21420 = 0 e66923: - 0.5 b2072 + 0.5 x16472 - x21421 = 0 e66924: - 0.5 b2073 + 0.5 x16473 - x21422 = 0 e66925: - 0.5 b2074 + 0.5 x16474 - x21423 = 0 e66926: - 0.5 b2075 + 0.5 x16475 - x21424 = 0 e66927: - 0.5 b2076 + 0.5 x16476 - x21425 = 0 e66928: - 0.5 b2077 + 0.5 x16477 - x21426 = 0 e66929: - 0.5 b2078 + 0.5 x16478 - x21427 = 0 e66930: - 0.5 b2079 + 0.5 x16479 - x21428 = 0 e66931: - 0.5 b2080 + 0.5 x16480 - x21429 = 0 e66932: - 0.5 b2081 + 0.5 x16481 - x21430 = 0 e66933: - 0.5 b2082 + 0.5 x16482 - x21431 = 0 e66934: - 0.5 b2083 + 0.5 x16483 - x21432 = 0 e66935: - 0.5 b2084 + 0.5 x16484 - x21433 = 0 e66936: - 0.5 b2085 + 0.5 x16485 - x21434 = 0 e66937: - 0.5 b2086 + 0.5 x16486 - x21435 = 0 e66938: - 0.5 b2087 + 0.5 x16487 - x21436 = 0 e66939: - 0.5 b2088 + 0.5 x16488 - x21437 = 0 e66940: - 0.5 b2089 + 0.5 x16489 - x21438 = 0 e66941: - 0.5 b2090 + 0.5 x16490 - x21439 = 0 e66942: - 0.5 b2091 + 0.5 x16491 - x21440 = 0 e66943: - 0.5 b2092 + 0.5 x16492 - x21441 = 0 e66944: - 0.5 b2093 + 0.5 x16493 - x21442 = 0 e66945: - 0.5 b2094 + 0.5 x16494 - x21443 = 0 e66946: - 0.5 b2095 + 0.5 x16495 - x21444 = 0 e66947: - 0.5 b2096 + 0.5 x16496 - x21445 = 0 e66948: - 0.5 b2097 + 0.5 x16497 - x21446 = 0 e66949: - 0.5 b2098 + 0.5 x16498 - x21447 = 0 e66950: - 0.5 b2099 + 0.5 x16499 - x21448 = 0 e66951: - 0.5 b2100 + 0.5 x16500 - x21449 = 0 e66952: - 0.5 b2101 + 0.5 x16501 - x21450 = 0 e66953: - 0.5 b2102 + 0.5 x16502 - x21451 = 0 e66954: - 0.5 b2103 + 0.5 x16503 - x21452 = 0 e66955: - 0.5 b2104 + 0.5 x16504 - x21453 = 0 e66956: - 0.5 b2105 + 0.5 x16505 - x21454 = 0 e66957: - 0.5 b2106 + 0.5 x16506 - x21455 = 0 e66958: - 0.5 b2107 + 0.5 x16507 - x21456 = 0 e66959: - 0.5 b2108 + 0.5 x16508 - x21457 = 0 e66960: - 0.5 b2109 + 0.5 x16509 - x21458 = 0 e66961: - 0.5 b2110 + 0.5 x16510 - x21459 = 0 e66962: - 0.5 b2111 + 0.5 x16511 - x21460 = 0 e66963: - 0.5 b2112 + 0.5 x16512 - x21461 = 0 e66964: - 0.5 b2113 + 0.5 x16513 - x21462 = 0 e66965: - 0.5 b2114 + 0.5 x16514 - x21463 = 0 e66966: - 0.5 b2115 + 0.5 x16515 - x21464 = 0 e66967: - 0.5 b2116 + 0.5 x16516 - x21465 = 0 e66968: - 0.5 b2117 + 0.5 x16517 - x21466 = 0 e66969: - 0.5 b2118 + 0.5 x16518 - x21467 = 0 e66970: - 0.5 b2119 + 0.5 x16519 - x21468 = 0 e66971: - 0.5 b2120 + 0.5 x16520 - x21469 = 0 e66972: - 0.5 b2121 + 0.5 x16521 - x21470 = 0 e66973: - 0.5 b2122 + 0.5 x16522 - x21471 = 0 e66974: - 0.5 b2123 + 0.5 x16523 - x21472 = 0 e66975: - 0.5 b2124 + 0.5 x16524 - x21473 = 0 e66976: - 0.5 b2125 + 0.5 x16525 - x21474 = 0 e66977: - 0.5 b2126 + 0.5 x16526 - x21475 = 0 e66978: - 0.5 b2127 + 0.5 x16527 - x21476 = 0 e66979: - 0.5 b2128 + 0.5 x16528 - x21477 = 0 e66980: - 0.5 b2129 + 0.5 x16529 - x21478 = 0 e66981: - 0.5 b2130 + 0.5 x16530 - x21479 = 0 e66982: - 0.5 b2131 + 0.5 x16531 - x21480 = 0 e66983: - 0.5 b2132 + 0.5 x16532 - x21481 = 0 e66984: - 0.5 b2133 + 0.5 x16533 - x21482 = 0 e66985: - 0.5 b2134 + 0.5 x16534 - x21483 = 0 e66986: - 0.5 b2135 + 0.5 x16535 - x21484 = 0 e66987: - 0.5 b2136 + 0.5 x16536 - x21485 = 0 e66988: - 0.5 b2137 + 0.5 x16537 - x21486 = 0 e66989: - 0.5 b2138 + 0.5 x16538 - x21487 = 0 e66990: - 0.5 b2139 + 0.5 x16539 - x21488 = 0 e66991: - 0.5 b2140 + 0.5 x16540 - x21489 = 0 e66992: - 0.5 b2141 + 0.5 x16541 - x21490 = 0 e66993: - 0.5 b2142 + 0.5 x16542 - x21491 = 0 e66994: - 0.5 b2143 + 0.5 x16543 - x21492 = 0 e66995: - 0.5 b2144 + 0.5 x16544 - x21493 = 0 e66996: - 0.5 b2145 + 0.5 x16545 - x21494 = 0 e66997: - 0.5 b2146 + 0.5 x16546 - x21495 = 0 e66998: - 0.5 b2147 + 0.5 x16547 - x21496 = 0 e66999: - 0.5 b2148 + 0.5 x16548 - x21497 = 0 e67000: - 0.5 b2149 + 0.5 x16549 - x21498 = 0 e67001: - 0.5 b2150 + 0.5 x16550 - x21499 = 0 e67002: - 0.5 b2151 + 0.5 x16551 - x21500 = 0 e67003: - 0.5 b2152 + 0.5 x16552 - x21501 = 0 e67004: - 0.5 b2153 + 0.5 x16553 - x21502 = 0 e67005: - 0.5 b2154 + 0.5 x16554 - x21503 = 0 e67006: - 0.5 b2155 + 0.5 x16555 - x21504 = 0 e67007: - 0.5 b2156 + 0.5 x16556 - x21505 = 0 e67008: - 0.5 b2157 + 0.5 x16557 - x21506 = 0 e67009: - 0.5 b2158 + 0.5 x16558 - x21507 = 0 e67010: - 0.5 b2159 + 0.5 x16559 - x21508 = 0 e67011: - 0.5 b2160 + 0.5 x16560 - x21509 = 0 e67012: - 0.5 b2161 + 0.5 x16561 - x21510 = 0 e67013: - 0.5 b2162 + 0.5 x16562 - x21511 = 0 e67014: - 0.5 b2163 + 0.5 x16563 - x21512 = 0 e67015: - 0.5 b2164 + 0.5 x16564 - x21513 = 0 e67016: - 0.5 b2165 + 0.5 x16565 - x21514 = 0 e67017: - 0.5 b2166 + 0.5 x16566 - x21515 = 0 e67018: - 0.5 b2167 + 0.5 x16567 - x21516 = 0 e67019: - 0.5 b2168 + 0.5 x16568 - x21517 = 0 e67020: - 0.5 b2169 + 0.5 x16569 - x21518 = 0 e67021: - 0.5 b2170 + 0.5 x16570 - x21519 = 0 e67022: - 0.5 b2171 + 0.5 x16571 - x21520 = 0 e67023: - 0.5 b2172 + 0.5 x16572 - x21521 = 0 e67024: - 0.5 b2173 + 0.5 x16573 - x21522 = 0 e67025: - 0.5 b2174 + 0.5 x16574 - x21523 = 0 e67026: - 0.5 b2175 + 0.5 x16575 - x21524 = 0 e67027: - 0.5 b2176 + 0.5 x16576 - x21525 = 0 e67028: - 0.5 b2177 + 0.5 x16577 - x21526 = 0 e67029: - 0.5 b2178 + 0.5 x16578 - x21527 = 0 e67030: - 0.5 b2179 + 0.5 x16579 - x21528 = 0 e67031: - 0.5 b2180 + 0.5 x16580 - x21529 = 0 e67032: - 0.5 b2181 + 0.5 x16581 - x21530 = 0 e67033: - 0.5 b2182 + 0.5 x16582 - x21531 = 0 e67034: - 0.5 b2183 + 0.5 x16583 - x21532 = 0 e67035: - 0.5 b2184 + 0.5 x16584 - x21533 = 0 e67036: - 0.5 b2185 + 0.5 x16585 - x21534 = 0 e67037: - 0.5 b2186 + 0.5 x16586 - x21535 = 0 e67038: - 0.5 b2187 + 0.5 x16587 - x21536 = 0 e67039: - 0.5 b2188 + 0.5 x16588 - x21537 = 0 e67040: - 0.5 b2189 + 0.5 x16589 - x21538 = 0 e67041: - 0.5 b2190 + 0.5 x16590 - x21539 = 0 e67042: - 0.5 b2191 + 0.5 x16591 - x21540 = 0 e67043: - 0.5 b2192 + 0.5 x16592 - x21541 = 0 e67044: - 0.5 b2193 + 0.5 x16593 - x21542 = 0 e67045: - 0.5 b2194 + 0.5 x16594 - x21543 = 0 e67046: - 0.5 b2195 + 0.5 x16595 - x21544 = 0 e67047: - 0.5 b2196 + 0.5 x16596 - x21545 = 0 e67048: - 0.5 b2197 + 0.5 x16597 - x21546 = 0 e67049: - 0.5 b2198 + 0.5 x16598 - x21547 = 0 e67050: - 0.5 b2199 + 0.5 x16599 - x21548 = 0 e67051: - 0.5 b2200 + 0.5 x16600 - x21549 = 0 e67052: - 0.5 b2201 + 0.5 x16601 - x21550 = 0 e67053: - 0.5 b2202 + 0.5 x16602 - x21551 = 0 e67054: - 0.5 b2203 + 0.5 x16603 - x21552 = 0 e67055: - 0.5 b2204 + 0.5 x16604 - x21553 = 0 e67056: - 0.5 b2205 + 0.5 x16605 - x21554 = 0 e67057: - 0.5 b2206 + 0.5 x16606 - x21555 = 0 e67058: - 0.5 b2207 + 0.5 x16607 - x21556 = 0 e67059: - 0.5 b2208 + 0.5 x16608 - x21557 = 0 e67060: - 0.5 b2209 + 0.5 x16609 - x21558 = 0 e67061: - 0.5 b2210 + 0.5 x16610 - x21559 = 0 e67062: - 0.5 b2211 + 0.5 x16611 - x21560 = 0 e67063: - 0.5 b2212 + 0.5 x16612 - x21561 = 0 e67064: - 0.5 b2213 + 0.5 x16613 - x21562 = 0 e67065: - 0.5 b2214 + 0.5 x16614 - x21563 = 0 e67066: - 0.5 b2215 + 0.5 x16615 - x21564 = 0 e67067: - 0.5 b2216 + 0.5 x16616 - x21565 = 0 e67068: - 0.5 b2217 + 0.5 x16617 - x21566 = 0 e67069: - 0.5 b2218 + 0.5 x16618 - x21567 = 0 e67070: - 0.5 b2219 + 0.5 x16619 - x21568 = 0 e67071: - 0.5 b2220 + 0.5 x16620 - x21569 = 0 e67072: - 0.5 b2221 + 0.5 x16621 - x21570 = 0 e67073: - 0.5 b2222 + 0.5 x16622 - x21571 = 0 e67074: - 0.5 b2223 + 0.5 x16623 - x21572 = 0 e67075: - 0.5 b2224 + 0.5 x16624 - x21573 = 0 e67076: - 0.5 b2225 + 0.5 x16625 - x21574 = 0 e67077: - 0.5 b2226 + 0.5 x16626 - x21575 = 0 e67078: - 0.5 b2227 + 0.5 x16627 - x21576 = 0 e67079: - 0.5 b2228 + 0.5 x16628 - x21577 = 0 e67080: - 0.5 b2229 + 0.5 x16629 - x21578 = 0 e67081: - 0.5 b2230 + 0.5 x16630 - x21579 = 0 e67082: - 0.5 b2231 + 0.5 x16631 - x21580 = 0 e67083: - 0.5 b2232 + 0.5 x16632 - x21581 = 0 e67084: - 0.5 b2233 + 0.5 x16633 - x21582 = 0 e67085: - 0.5 b2234 + 0.5 x16634 - x21583 = 0 e67086: - 0.5 b2235 + 0.5 x16635 - x21584 = 0 e67087: - 0.5 b2236 + 0.5 x16636 - x21585 = 0 e67088: - 0.5 b2237 + 0.5 x16637 - x21586 = 0 e67089: - 0.5 b2238 + 0.5 x16638 - x21587 = 0 e67090: - 0.5 b2239 + 0.5 x16639 - x21588 = 0 e67091: - 0.5 b2240 + 0.5 x16640 - x21589 = 0 e67092: - 0.5 b2241 + 0.5 x16641 - x21590 = 0 e67093: - 0.5 b2242 + 0.5 x16642 - x21591 = 0 e67094: - 0.5 b2243 + 0.5 x16643 - x21592 = 0 e67095: - 0.5 b2244 + 0.5 x16644 - x21593 = 0 e67096: - 0.5 b2245 + 0.5 x16645 - x21594 = 0 e67097: - 0.5 b2246 + 0.5 x16646 - x21595 = 0 e67098: - 0.5 b2247 + 0.5 x16647 - x21596 = 0 e67099: - 0.5 b2248 + 0.5 x16648 - x21597 = 0 e67100: - 0.5 b2249 + 0.5 x16649 - x21598 = 0 e67101: - 0.5 b2250 + 0.5 x16650 - x21599 = 0 e67102: - 0.5 b2251 + 0.5 x16651 - x21600 = 0 e67103: - 0.5 b2252 + 0.5 x16652 - x21601 = 0 e67104: - 0.5 b2253 + 0.5 x16653 - x21602 = 0 e67105: - 0.5 b2254 + 0.5 x16654 - x21603 = 0 e67106: - 0.5 b2255 + 0.5 x16655 - x21604 = 0 e67107: - 0.5 b2256 + 0.5 x16656 - x21605 = 0 e67108: - 0.5 b2257 + 0.5 x16657 - x21606 = 0 e67109: - 0.5 b2258 + 0.5 x16658 - x21607 = 0 e67110: - 0.5 b2259 + 0.5 x16659 - x21608 = 0 e67111: - 0.5 b2260 + 0.5 x16660 - x21609 = 0 e67112: - 0.5 b2261 + 0.5 x16661 - x21610 = 0 e67113: - 0.5 b2262 + 0.5 x16662 - x21611 = 0 e67114: - 0.5 b2263 + 0.5 x16663 - x21612 = 0 e67115: - 0.5 b2264 + 0.5 x16664 - x21613 = 0 e67116: - 0.5 b2265 + 0.5 x16665 - x21614 = 0 e67117: - 0.5 b2266 + 0.5 x16666 - x21615 = 0 e67118: - 0.5 b2267 + 0.5 x16667 - x21616 = 0 e67119: - 0.5 b2268 + 0.5 x16668 - x21617 = 0 e67120: - 0.5 b2269 + 0.5 x16669 - x21618 = 0 e67121: - 0.5 b2270 + 0.5 x16670 - x21619 = 0 e67122: - 0.5 b2271 + 0.5 x16671 - x21620 = 0 e67123: - 0.5 b2272 + 0.5 x16672 - x21621 = 0 e67124: - 0.5 b2273 + 0.5 x16673 - x21622 = 0 e67125: - 0.5 b2274 + 0.5 x16674 - x21623 = 0 e67126: - 0.5 b2275 + 0.5 x16675 - x21624 = 0 e67127: - 0.5 b2276 + 0.5 x16676 - x21625 = 0 e67128: - 0.5 b2277 + 0.5 x16677 - x21626 = 0 e67129: - 0.5 b2278 + 0.5 x16678 - x21627 = 0 e67130: - 0.5 b2279 + 0.5 x16679 - x21628 = 0 e67131: - 0.5 b2280 + 0.5 x16680 - x21629 = 0 e67132: - 0.5 b2281 + 0.5 x16681 - x21630 = 0 e67133: - 0.5 b2282 + 0.5 x16682 - x21631 = 0 e67134: - 0.5 b2283 + 0.5 x16683 - x21632 = 0 e67135: - 0.5 b2284 + 0.5 x16684 - x21633 = 0 e67136: - 0.5 b2285 + 0.5 x16685 - x21634 = 0 e67137: - 0.5 b2286 + 0.5 x16686 - x21635 = 0 e67138: - 0.5 b2287 + 0.5 x16687 - x21636 = 0 e67139: - 0.5 b2288 + 0.5 x16688 - x21637 = 0 e67140: - 0.5 b2289 + 0.5 x16689 - x21638 = 0 e67141: - 0.5 b2290 + 0.5 x16690 - x21639 = 0 e67142: - 0.5 b2291 + 0.5 x16691 - x21640 = 0 e67143: - 0.5 b2292 + 0.5 x16692 - x21641 = 0 e67144: - 0.5 b2293 + 0.5 x16693 - x21642 = 0 e67145: - 0.5 b2294 + 0.5 x16694 - x21643 = 0 e67146: - 0.5 b2295 + 0.5 x16695 - x21644 = 0 e67147: - 0.5 b2296 + 0.5 x16696 - x21645 = 0 e67148: - 0.5 b2297 + 0.5 x16697 - x21646 = 0 e67149: - 0.5 b2298 + 0.5 x16698 - x21647 = 0 e67150: - 0.5 b2299 + 0.5 x16699 - x21648 = 0 e67151: - 0.5 b2300 + 0.5 x16700 - x21649 = 0 e67152: - 0.5 b2301 + 0.5 x16701 - x21650 = 0 e67153: - 0.5 b2302 + 0.5 x16702 - x21651 = 0 e67154: - 0.5 b2303 + 0.5 x16703 - x21652 = 0 e67155: - 0.5 b2304 + 0.5 x16704 - x21653 = 0 e67156: - 0.5 b2305 + 0.5 x16705 - x21654 = 0 e67157: - 0.5 b2306 + 0.5 x16706 - x21655 = 0 e67158: - 0.5 b2307 + 0.5 x16707 - x21656 = 0 e67159: - 0.5 b2308 + 0.5 x16708 - x21657 = 0 e67160: - 0.5 b2309 + 0.5 x16709 - x21658 = 0 e67161: - 0.5 b2310 + 0.5 x16710 - x21659 = 0 e67162: - 0.5 b2311 + 0.5 x16711 - x21660 = 0 e67163: - 0.5 b2312 + 0.5 x16712 - x21661 = 0 e67164: - 0.5 b2313 + 0.5 x16713 - x21662 = 0 e67165: - 0.5 b2314 + 0.5 x16714 - x21663 = 0 e67166: - 0.5 b2315 + 0.5 x16715 - x21664 = 0 e67167: - 0.5 b2316 + 0.5 x16716 - x21665 = 0 e67168: - 0.5 b2317 + 0.5 x16717 - x21666 = 0 e67169: - 0.5 b2318 + 0.5 x16718 - x21667 = 0 e67170: - 0.5 b2319 + 0.5 x16719 - x21668 = 0 e67171: - 0.5 b2320 + 0.5 x16720 - x21669 = 0 e67172: - 0.5 b2321 + 0.5 x16721 - x21670 = 0 e67173: - 0.5 b2322 + 0.5 x16722 - x21671 = 0 e67174: - 0.5 b2323 + 0.5 x16723 - x21672 = 0 e67175: - 0.5 b2324 + 0.5 x16724 - x21673 = 0 e67176: - 0.5 b2325 + 0.5 x16725 - x21674 = 0 e67177: - 0.5 b2326 + 0.5 x16726 - x21675 = 0 e67178: - 0.5 b2327 + 0.5 x16727 - x21676 = 0 e67179: - 0.5 b2328 + 0.5 x16728 - x21677 = 0 e67180: - 0.5 b2329 + 0.5 x16729 - x21678 = 0 e67181: - 0.5 b2330 + 0.5 x16730 - x21679 = 0 e67182: - 0.5 b2331 + 0.5 x16731 - x21680 = 0 e67183: - 0.5 b2332 + 0.5 x16732 - x21681 = 0 e67184: - 0.5 b2333 + 0.5 x16733 - x21682 = 0 e67185: - 0.5 b2334 + 0.5 x16734 - x21683 = 0 e67186: - 0.5 b2335 + 0.5 x16735 - x21684 = 0 e67187: - 0.5 b2336 + 0.5 x16736 - x21685 = 0 e67188: - 0.5 b2337 + 0.5 x16737 - x21686 = 0 e67189: - 0.5 b2338 + 0.5 x16738 - x21687 = 0 e67190: - 0.5 b2339 + 0.5 x16739 - x21688 = 0 e67191: - 0.5 b2340 + 0.5 x16740 - x21689 = 0 e67192: - 0.5 b2341 + 0.5 x16741 - x21690 = 0 e67193: - 0.5 b2342 + 0.5 x16742 - x21691 = 0 e67194: - 0.5 b2343 + 0.5 x16743 - x21692 = 0 e67195: - 0.5 b2344 + 0.5 x16744 - x21693 = 0 e67196: - 0.5 b2345 + 0.5 x16745 - x21694 = 0 e67197: - 0.5 b2346 + 0.5 x16746 - x21695 = 0 e67198: - 0.5 b2347 + 0.5 x16747 - x21696 = 0 e67199: - 0.5 b2348 + 0.5 x16748 - x21697 = 0 e67200: - 0.5 b2349 + 0.5 x16749 - x21698 = 0 e67201: - 0.5 b2350 + 0.5 x16750 - x21699 = 0 e67202: - 0.5 b2351 + 0.5 x16751 - x21700 = 0 e67203: - 0.5 b2352 + 0.5 x16752 - x21701 = 0 e67204: - 0.5 b2353 + 0.5 x16753 - x21702 = 0 e67205: - 0.5 b2354 + 0.5 x16754 - x21703 = 0 e67206: - 0.5 b2355 + 0.5 x16755 - x21704 = 0 e67207: - 0.5 b2356 + 0.5 x16756 - x21705 = 0 e67208: - 0.5 b2357 + 0.5 x16757 - x21706 = 0 e67209: - 0.5 b2358 + 0.5 x16758 - x21707 = 0 e67210: - 0.5 b2359 + 0.5 x16759 - x21708 = 0 e67211: - 0.5 b2360 + 0.5 x16760 - x21709 = 0 e67212: - 0.5 b2361 + 0.5 x16761 - x21710 = 0 e67213: - 0.5 b2362 + 0.5 x16762 - x21711 = 0 e67214: - 0.5 b2363 + 0.5 x16763 - x21712 = 0 e67215: - 0.5 b2364 + 0.5 x16764 - x21713 = 0 e67216: - 0.5 b2365 + 0.5 x16765 - x21714 = 0 e67217: - 0.5 b2366 + 0.5 x16766 - x21715 = 0 e67218: - 0.5 b2367 + 0.5 x16767 - x21716 = 0 e67219: - 0.5 b2368 + 0.5 x16768 - x21717 = 0 e67220: - 0.5 b2369 + 0.5 x16769 - x21718 = 0 e67221: - 0.5 b2370 + 0.5 x16770 - x21719 = 0 e67222: - 0.5 b2371 + 0.5 x16771 - x21720 = 0 e67223: - 0.5 b2372 + 0.5 x16772 - x21721 = 0 e67224: - 0.5 b2373 + 0.5 x16773 - x21722 = 0 e67225: - 0.5 b2374 + 0.5 x16774 - x21723 = 0 e67226: - 0.5 b2375 + 0.5 x16775 - x21724 = 0 e67227: - 0.5 b2376 + 0.5 x16776 - x21725 = 0 e67228: - 0.5 b2377 + 0.5 x16777 - x21726 = 0 e67229: - 0.5 b2378 + 0.5 x16778 - x21727 = 0 e67230: - 0.5 b2379 + 0.5 x16779 - x21728 = 0 e67231: - 0.5 b2380 + 0.5 x16780 - x21729 = 0 e67232: - 0.5 b2381 + 0.5 x16781 - x21730 = 0 e67233: - 0.5 b2382 + 0.5 x16782 - x21731 = 0 e67234: - 0.5 b2383 + 0.5 x16783 - x21732 = 0 e67235: - 0.5 b2384 + 0.5 x16784 - x21733 = 0 e67236: - 0.5 b2385 + 0.5 x16785 - x21734 = 0 e67237: - 0.5 b2386 + 0.5 x16786 - x21735 = 0 e67238: - 0.5 b2387 + 0.5 x16787 - x21736 = 0 e67239: - 0.5 b2388 + 0.5 x16788 - x21737 = 0 e67240: - 0.5 b2389 + 0.5 x16789 - x21738 = 0 e67241: - 0.5 b2390 + 0.5 x16790 - x21739 = 0 e67242: - 0.5 b2391 + 0.5 x16791 - x21740 = 0 e67243: - 0.5 b2392 + 0.5 x16792 - x21741 = 0 e67244: - 0.5 b2393 + 0.5 x16793 - x21742 = 0 e67245: - 0.5 b2394 + 0.5 x16794 - x21743 = 0 e67246: - 0.5 b2395 + 0.5 x16795 - x21744 = 0 e67247: - 0.5 b2396 + 0.5 x16796 - x21745 = 0 e67248: - 0.5 b2397 + 0.5 x16797 - x21746 = 0 e67249: - 0.5 b2398 + 0.5 x16798 - x21747 = 0 e67250: - 0.5 b2399 + 0.5 x16799 - x21748 = 0 e67251: - 0.5 b2400 + 0.5 x16800 - x21749 = 0 e67252: - 0.5 b2401 + 0.5 x16801 - x21750 = 0 e67253: - 0.5 b2402 + 0.5 x16802 - x21751 = 0 e67254: - 0.5 b2403 + 0.5 x16803 - x21752 = 0 e67255: - 0.5 b2404 + 0.5 x16804 - x21753 = 0 e67256: - 0.5 b2405 + 0.5 x16805 - x21754 = 0 e67257: - 0.5 b2406 + 0.5 x16806 - x21755 = 0 e67258: - 0.5 b2407 + 0.5 x16807 - x21756 = 0 e67259: - 0.5 b2408 + 0.5 x16808 - x21757 = 0 e67260: - 0.5 b2409 + 0.5 x16809 - x21758 = 0 e67261: - 0.5 b2410 + 0.5 x16810 - x21759 = 0 e67262: - 0.5 b2411 + 0.5 x16811 - x21760 = 0 e67263: - 0.5 b2412 + 0.5 x16812 - x21761 = 0 e67264: - 0.5 b2413 + 0.5 x16813 - x21762 = 0 e67265: - 0.5 b2414 + 0.5 x16814 - x21763 = 0 e67266: - 0.5 b2415 + 0.5 x16815 - x21764 = 0 e67267: - 0.5 b2416 + 0.5 x16816 - x21765 = 0 e67268: - 0.5 b2417 + 0.5 x16817 - x21766 = 0 e67269: - 0.5 b2418 + 0.5 x16818 - x21767 = 0 e67270: - 0.5 b2419 + 0.5 x16819 - x21768 = 0 e67271: - 0.5 b2420 + 0.5 x16820 - x21769 = 0 e67272: - 0.5 b2421 + 0.5 x16821 - x21770 = 0 e67273: - 0.5 b2422 + 0.5 x16822 - x21771 = 0 e67274: - 0.5 b2423 + 0.5 x16823 - x21772 = 0 e67275: - 0.5 b2424 + 0.5 x16824 - x21773 = 0 e67276: - 0.5 b2425 + 0.5 x16825 - x21774 = 0 e67277: - 0.5 b2426 + 0.5 x16826 - x21775 = 0 e67278: - 0.5 b2427 + 0.5 x16827 - x21776 = 0 e67279: - 0.5 b2428 + 0.5 x16828 - x21777 = 0 e67280: - 0.5 b2429 + 0.5 x16829 - x21778 = 0 e67281: - 0.5 b2430 + 0.5 x16830 - x21779 = 0 e67282: - 0.5 b2431 + 0.5 x16831 - x21780 = 0 e67283: - 0.5 b2432 + 0.5 x16832 - x21781 = 0 e67284: - 0.5 b2433 + 0.5 x16833 - x21782 = 0 e67285: - 0.5 b2434 + 0.5 x16834 - x21783 = 0 e67286: - 0.5 b2435 + 0.5 x16835 - x21784 = 0 e67287: - 0.5 b2436 + 0.5 x16836 - x21785 = 0 e67288: - 0.5 b2437 + 0.5 x16837 - x21786 = 0 e67289: - 0.5 b2438 + 0.5 x16838 - x21787 = 0 e67290: - 0.5 b2439 + 0.5 x16839 - x21788 = 0 e67291: - 0.5 b2440 + 0.5 x16840 - x21789 = 0 e67292: - 0.5 b2441 + 0.5 x16841 - x21790 = 0 e67293: - 0.5 b2442 + 0.5 x16842 - x21791 = 0 e67294: - 0.5 b2443 + 0.5 x16843 - x21792 = 0 e67295: - 0.5 b2444 + 0.5 x16844 - x21793 = 0 e67296: - 0.5 b2445 + 0.5 x16845 - x21794 = 0 e67297: - 0.5 b2446 + 0.5 x16846 - x21795 = 0 e67298: - 0.5 b2447 + 0.5 x16847 - x21796 = 0 e67299: - 0.5 b2448 + 0.5 x16848 - x21797 = 0 e67300: - 0.5 b2449 + 0.5 x16849 - x21798 = 0 e67301: - 0.5 b2450 + 0.5 x16850 - x21799 = 0 e67302: - 0.5 b2451 + 0.5 x16851 - x21800 = 0 e67303: - 0.5 b2452 + 0.5 x16852 - x21801 = 0 e67304: - 0.5 b2453 + 0.5 x16853 - x21802 = 0 e67305: - 0.5 b2454 + 0.5 x16854 - x21803 = 0 e67306: - 0.5 b2455 + 0.5 x16855 - x21804 = 0 e67307: - 0.5 b2456 + 0.5 x16856 - x21805 = 0 e67308: - 0.5 b2457 + 0.5 x16857 - x21806 = 0 e67309: - 0.5 b2458 + 0.5 x16858 - x21807 = 0 e67310: - 0.5 b2459 + 0.5 x16859 - x21808 = 0 e67311: - 0.5 b2460 + 0.5 x16860 - x21809 = 0 e67312: - 0.5 b2461 + 0.5 x16861 - x21810 = 0 e67313: - 0.5 b2462 + 0.5 x16862 - x21811 = 0 e67314: - 0.5 b2463 + 0.5 x16863 - x21812 = 0 e67315: - 0.5 b2464 + 0.5 x16864 - x21813 = 0 e67316: - 0.5 b2465 + 0.5 x16865 - x21814 = 0 e67317: - 0.5 b2466 + 0.5 x16866 - x21815 = 0 e67318: - 0.5 b2467 + 0.5 x16867 - x21816 = 0 e67319: - 0.5 b2468 + 0.5 x16868 - x21817 = 0 e67320: - 0.5 b2469 + 0.5 x16869 - x21818 = 0 e67321: - 0.5 b2470 + 0.5 x16870 - x21819 = 0 e67322: - 0.5 b2471 + 0.5 x16871 - x21820 = 0 e67323: - 0.5 b2472 + 0.5 x16872 - x21821 = 0 e67324: - 0.5 b2473 + 0.5 x16873 - x21822 = 0 e67325: - 0.5 b2474 + 0.5 x16874 - x21823 = 0 e67326: - 0.5 b2475 + 0.5 x16875 - x21824 = 0 e67327: - 0.5 b2476 + 0.5 x16876 - x21825 = 0 e67328: - 0.5 b2477 + 0.5 x16877 - x21826 = 0 e67329: - 0.5 b2478 + 0.5 x16878 - x21827 = 0 e67330: - 0.5 b2479 + 0.5 x16879 - x21828 = 0 e67331: - 0.5 b2480 + 0.5 x16880 - x21829 = 0 e67332: - 0.5 b2481 + 0.5 x16881 - x21830 = 0 e67333: - 0.5 b2482 + 0.5 x16882 - x21831 = 0 e67334: - 0.5 b2483 + 0.5 x16883 - x21832 = 0 e67335: - 0.5 b2484 + 0.5 x16884 - x21833 = 0 e67336: - 0.5 b2485 + 0.5 x16885 - x21834 = 0 e67337: - 0.5 b2486 + 0.5 x16886 - x21835 = 0 e67338: - 0.5 b2487 + 0.5 x16887 - x21836 = 0 e67339: - 0.5 b2488 + 0.5 x16888 - x21837 = 0 e67340: - 0.5 b2489 + 0.5 x16889 - x21838 = 0 e67341: - 0.5 b2490 + 0.5 x16890 - x21839 = 0 e67342: - 0.5 b2491 + 0.5 x16891 - x21840 = 0 e67343: - 0.5 b2492 + 0.5 x16892 - x21841 = 0 e67344: - 0.5 b2493 + 0.5 x16893 - x21842 = 0 e67345: - 0.5 b2494 + 0.5 x16894 - x21843 = 0 e67346: - 0.5 b2495 + 0.5 x16895 - x21844 = 0 e67347: - 0.5 b2496 + 0.5 x16896 - x21845 = 0 e67348: - 0.5 b2497 + 0.5 x16897 - x21846 = 0 e67349: - 0.5 b2498 + 0.5 x16898 - x21847 = 0 e67350: - 0.5 b2499 + 0.5 x16899 - x21848 = 0 e67351: - 0.5 b2500 + 0.5 x16900 - x21849 = 0 e67352: - 0.5 b2501 + 0.5 x16901 - x21850 = 0 e67353: - 0.5 b2502 + 0.5 x16902 - x21851 = 0 e67354: - 0.5 b2503 + 0.5 x16903 - x21852 = 0 e67355: - 0.5 b2504 + 0.5 x16904 - x21853 = 0 e67356: - 0.5 b2505 + 0.5 x16905 - x21854 = 0 e67357: - 0.5 b2506 + 0.5 x16906 - x21855 = 0 e67358: - 0.5 b2507 + 0.5 x16907 - x21856 = 0 e67359: - 0.5 b2508 + 0.5 x16908 - x21857 = 0 e67360: - 0.5 b2509 + 0.5 x16909 - x21858 = 0 e67361: - 0.5 b2510 + 0.5 x16910 - x21859 = 0 e67362: - 0.5 b2511 + 0.5 x16911 - x21860 = 0 e67363: - 0.5 b2512 + 0.5 x16912 - x21861 = 0 e67364: - 0.5 b2513 + 0.5 x16913 - x21862 = 0 e67365: - 0.5 b2514 + 0.5 x16914 - x21863 = 0 e67366: - 0.5 b2515 + 0.5 x16915 - x21864 = 0 e67367: - 0.5 b2516 + 0.5 x16916 - x21865 = 0 e67368: - 0.5 b2517 + 0.5 x16917 - x21866 = 0 e67369: - 0.5 b2518 + 0.5 x16918 - x21867 = 0 e67370: - 0.5 b2519 + 0.5 x16919 - x21868 = 0 e67371: - 0.5 b2520 + 0.5 x16920 - x21869 = 0 e67372: - 0.5 b2521 + 0.5 x16921 - x21870 = 0 e67373: - 0.5 b2522 + 0.5 x16922 - x21871 = 0 e67374: - 0.5 b2523 + 0.5 x16923 - x21872 = 0 e67375: - 0.5 b2524 + 0.5 x16924 - x21873 = 0 e67376: - 0.5 b2525 + 0.5 x16925 - x21874 = 0 e67377: - 0.5 b2526 + 0.5 x16926 - x21875 = 0 e67378: - 0.5 b2527 + 0.5 x16927 - x21876 = 0 e67379: - 0.5 b2528 + 0.5 x16928 - x21877 = 0 e67380: - 0.5 b2529 + 0.5 x16929 - x21878 = 0 e67381: - 0.5 b2530 + 0.5 x16930 - x21879 = 0 e67382: - 0.5 b2531 + 0.5 x16931 - x21880 = 0 e67383: - 0.5 b2532 + 0.5 x16932 - x21881 = 0 e67384: - 0.5 b2533 + 0.5 x16933 - x21882 = 0 e67385: - 0.5 b2534 + 0.5 x16934 - x21883 = 0 e67386: - 0.5 b2535 + 0.5 x16935 - x21884 = 0 e67387: - 0.5 b2536 + 0.5 x16936 - x21885 = 0 e67388: - 0.5 b2537 + 0.5 x16937 - x21886 = 0 e67389: - 0.5 b2538 + 0.5 x16938 - x21887 = 0 e67390: - 0.5 b2539 + 0.5 x16939 - x21888 = 0 e67391: - 0.5 b2540 + 0.5 x16940 - x21889 = 0 e67392: - 0.5 b2541 + 0.5 x16941 - x21890 = 0 e67393: - 0.5 b2542 + 0.5 x16942 - x21891 = 0 e67394: - 0.5 b2543 + 0.5 x16943 - x21892 = 0 e67395: - 0.5 b2544 + 0.5 x16944 - x21893 = 0 e67396: - 0.5 b2545 + 0.5 x16945 - x21894 = 0 e67397: - 0.5 b2546 + 0.5 x16946 - x21895 = 0 e67398: - 0.5 b2547 + 0.5 x16947 - x21896 = 0 e67399: - 0.5 b2548 + 0.5 x16948 - x21897 = 0 e67400: - 0.5 b2549 + 0.5 x16949 - x21898 = 0 e67401: - 0.5 b2550 + 0.5 x16950 - x21899 = 0 e67402: - 0.5 b2551 + 0.5 x16951 - x21900 = 0 e67403: - 0.5 b2552 + 0.5 x16952 - x21901 = 0 e67404: - 0.5 b2553 + 0.5 x16953 - x21902 = 0 e67405: - 0.5 b2554 + 0.5 x16954 - x21903 = 0 e67406: - 0.5 b2555 + 0.5 x16955 - x21904 = 0 e67407: - 0.5 b2556 + 0.5 x16956 - x21905 = 0 e67408: - 0.5 b2557 + 0.5 x16957 - x21906 = 0 e67409: - 0.5 b2558 + 0.5 x16958 - x21907 = 0 e67410: - 0.5 b2559 + 0.5 x16959 - x21908 = 0 e67411: - 0.5 b2560 + 0.5 x16960 - x21909 = 0 e67412: - 0.5 b2561 + 0.5 x16961 - x21910 = 0 e67413: - 0.5 b2562 + 0.5 x16962 - x21911 = 0 e67414: - 0.5 b2563 + 0.5 x16963 - x21912 = 0 e67415: - 0.5 b2564 + 0.5 x16964 - x21913 = 0 e67416: - 0.5 b2565 + 0.5 x16965 - x21914 = 0 e67417: - 0.5 b2566 + 0.5 x16966 - x21915 = 0 e67418: - 0.5 b2567 + 0.5 x16967 - x21916 = 0 e67419: - 0.5 b2568 + 0.5 x16968 - x21917 = 0 e67420: - 0.5 b2569 + 0.5 x16969 - x21918 = 0 e67421: - 0.5 b2570 + 0.5 x16970 - x21919 = 0 e67422: - 0.5 b2571 + 0.5 x16971 - x21920 = 0 e67423: - 0.5 b2572 + 0.5 x16972 - x21921 = 0 e67424: - 0.5 b2573 + 0.5 x16973 - x21922 = 0 e67425: - 0.5 b2574 + 0.5 x16974 - x21923 = 0 e67426: - 0.5 b2575 + 0.5 x16975 - x21924 = 0 e67427: - 0.5 b2576 + 0.5 x16976 - x21925 = 0 e67428: - 0.5 b2577 + 0.5 x16977 - x21926 = 0 e67429: - 0.5 b2578 + 0.5 x16978 - x21927 = 0 e67430: - 0.5 b2579 + 0.5 x16979 - x21928 = 0 e67431: - 0.5 b2580 + 0.5 x16980 - x21929 = 0 e67432: - 0.5 b2581 + 0.5 x16981 - x21930 = 0 e67433: - 0.5 b2582 + 0.5 x16982 - x21931 = 0 e67434: - 0.5 b2583 + 0.5 x16983 - x21932 = 0 e67435: - 0.5 b2584 + 0.5 x16984 - x21933 = 0 e67436: - 0.5 b2585 + 0.5 x16985 - x21934 = 0 e67437: - 0.5 b2586 + 0.5 x16986 - x21935 = 0 e67438: - 0.5 b2587 + 0.5 x16987 - x21936 = 0 e67439: - 0.5 b2588 + 0.5 x16988 - x21937 = 0 e67440: - 0.5 b2589 + 0.5 x16989 - x21938 = 0 e67441: - 0.5 b2590 + 0.5 x16990 - x21939 = 0 e67442: - 0.5 b2591 + 0.5 x16991 - x21940 = 0 e67443: - 0.5 b2592 + 0.5 x16992 - x21941 = 0 e67444: - 0.5 b2593 + 0.5 x16993 - x21942 = 0 e67445: - 0.5 b2594 + 0.5 x16994 - x21943 = 0 e67446: - 0.5 b2595 + 0.5 x16995 - x21944 = 0 e67447: - 0.5 b2596 + 0.5 x16996 - x21945 = 0 e67448: - 0.5 b2597 + 0.5 x16997 - x21946 = 0 e67449: - 0.5 b2598 + 0.5 x16998 - x21947 = 0 e67450: - 0.5 b2599 + 0.5 x16999 - x21948 = 0 e67451: - 0.5 b2600 + 0.5 x17000 - x21949 = 0 e67452: - 0.5 b2601 + 0.5 x17001 - x21950 = 0 e67453: - 0.5 b2602 + 0.5 x17002 - x21951 = 0 e67454: - 0.5 b2603 + 0.5 x17003 - x21952 = 0 e67455: - 0.5 b2604 + 0.5 x17004 - x21953 = 0 e67456: - 0.5 b2605 + 0.5 x17005 - x21954 = 0 e67457: - 0.5 b2606 + 0.5 x17006 - x21955 = 0 e67458: - 0.5 b2607 + 0.5 x17007 - x21956 = 0 e67459: - 0.5 b2608 + 0.5 x17008 - x21957 = 0 e67460: - 0.5 b2609 + 0.5 x17009 - x21958 = 0 e67461: - 0.5 b2610 + 0.5 x17010 - x21959 = 0 e67462: - 0.5 b2611 + 0.5 x17011 - x21960 = 0 e67463: - 0.5 b2612 + 0.5 x17012 - x21961 = 0 e67464: - 0.5 b2613 + 0.5 x17013 - x21962 = 0 e67465: - 0.5 b2614 + 0.5 x17014 - x21963 = 0 e67466: - 0.5 b2615 + 0.5 x17015 - x21964 = 0 e67467: - 0.5 b2616 + 0.5 x17016 - x21965 = 0 e67468: - 0.5 b2617 + 0.5 x17017 - x21966 = 0 e67469: - 0.5 b2618 + 0.5 x17018 - x21967 = 0 e67470: - 0.5 b2619 + 0.5 x17019 - x21968 = 0 e67471: - 0.5 b2620 + 0.5 x17020 - x21969 = 0 e67472: - 0.5 b2621 + 0.5 x17021 - x21970 = 0 e67473: - 0.5 b2622 + 0.5 x17022 - x21971 = 0 e67474: - 0.5 b2623 + 0.5 x17023 - x21972 = 0 e67475: - 0.5 b2624 + 0.5 x17024 - x21973 = 0 e67476: - 0.5 b2625 + 0.5 x17025 - x21974 = 0 e67477: - 0.5 b2626 + 0.5 x17026 - x21975 = 0 e67478: - 0.5 b2627 + 0.5 x17027 - x21976 = 0 e67479: - 0.5 b2628 + 0.5 x17028 - x21977 = 0 e67480: - 0.5 b2629 + 0.5 x17029 - x21978 = 0 e67481: - 0.5 b2630 + 0.5 x17030 - x21979 = 0 e67482: - 0.5 b2631 + 0.5 x17031 - x21980 = 0 e67483: - 0.5 b2632 + 0.5 x17032 - x21981 = 0 e67484: - 0.5 b2633 + 0.5 x17033 - x21982 = 0 e67485: - 0.5 b2634 + 0.5 x17034 - x21983 = 0 e67486: - 0.5 b2635 + 0.5 x17035 - x21984 = 0 e67487: - 0.5 b2636 + 0.5 x17036 - x21985 = 0 e67488: - 0.5 b2637 + 0.5 x17037 - x21986 = 0 e67489: - 0.5 b2638 + 0.5 x17038 - x21987 = 0 e67490: - 0.5 b2639 + 0.5 x17039 - x21988 = 0 e67491: - 0.5 b2640 + 0.5 x17040 - x21989 = 0 e67492: - 0.5 b2641 + 0.5 x17041 - x21990 = 0 e67493: - 0.5 b2642 + 0.5 x17042 - x21991 = 0 e67494: - 0.5 b2643 + 0.5 x17043 - x21992 = 0 e67495: - 0.5 b2644 + 0.5 x17044 - x21993 = 0 e67496: - 0.5 b2645 + 0.5 x17045 - x21994 = 0 e67497: - 0.5 b2646 + 0.5 x17046 - x21995 = 0 e67498: - 0.5 b2647 + 0.5 x17047 - x21996 = 0 e67499: - 0.5 b2648 + 0.5 x17048 - x21997 = 0 e67500: - 0.5 b2649 + 0.5 x17049 - x21998 = 0 e67501: - 0.5 b2650 + 0.5 x17050 - x21999 = 0 e67502: - 0.5 b2651 + 0.5 x17051 - x22000 = 0 e67503: - 0.5 b2652 + 0.5 x17052 - x22001 = 0 e67504: - 0.5 b2653 + 0.5 x17053 - x22002 = 0 e67505: - 0.5 b2654 + 0.5 x17054 - x22003 = 0 e67506: - 0.5 b2655 + 0.5 x17055 - x22004 = 0 e67507: - 0.5 b2656 + 0.5 x17056 - x22005 = 0 e67508: - 0.5 b2657 + 0.5 x17057 - x22006 = 0 e67509: - 0.5 b2658 + 0.5 x17058 - x22007 = 0 e67510: - 0.5 b2659 + 0.5 x17059 - x22008 = 0 e67511: - 0.5 b2660 + 0.5 x17060 - x22009 = 0 e67512: - 0.5 b2661 + 0.5 x17061 - x22010 = 0 e67513: - 0.5 b2662 + 0.5 x17062 - x22011 = 0 e67514: - 0.5 b2663 + 0.5 x17063 - x22012 = 0 e67515: - 0.5 b2664 + 0.5 x17064 - x22013 = 0 e67516: - 0.5 b2665 + 0.5 x17065 - x22014 = 0 e67517: - 0.5 b2666 + 0.5 x17066 - x22015 = 0 e67518: - 0.5 b2667 + 0.5 x17067 - x22016 = 0 e67519: - 0.5 b2668 + 0.5 x17068 - x22017 = 0 e67520: - 0.5 b2669 + 0.5 x17069 - x22018 = 0 e67521: - 0.5 b2670 + 0.5 x17070 - x22019 = 0 e67522: - 0.5 b2671 + 0.5 x17071 - x22020 = 0 e67523: - 0.5 b2672 + 0.5 x17072 - x22021 = 0 e67524: - 0.5 b2673 + 0.5 x17073 - x22022 = 0 e67525: - 0.5 b2674 + 0.5 x17074 - x22023 = 0 e67526: - 0.5 b2675 + 0.5 x17075 - x22024 = 0 e67527: - 0.5 b2676 + 0.5 x17076 - x22025 = 0 e67528: - 0.5 b2677 + 0.5 x17077 - x22026 = 0 e67529: - 0.5 b2678 + 0.5 x17078 - x22027 = 0 e67530: - 0.5 b2679 + 0.5 x17079 - x22028 = 0 e67531: - 0.5 b2680 + 0.5 x17080 - x22029 = 0 e67532: - 0.5 b2681 + 0.5 x17081 - x22030 = 0 e67533: - 0.5 b2682 + 0.5 x17082 - x22031 = 0 e67534: - 0.5 b2683 + 0.5 x17083 - x22032 = 0 e67535: - 0.5 b2684 + 0.5 x17084 - x22033 = 0 e67536: - 0.5 b2685 + 0.5 x17085 - x22034 = 0 e67537: - 0.5 b2686 + 0.5 x17086 - x22035 = 0 e67538: - 0.5 b2687 + 0.5 x17087 - x22036 = 0 e67539: - 0.5 b2688 + 0.5 x17088 - x22037 = 0 e67540: - 0.5 b2689 + 0.5 x17089 - x22038 = 0 e67541: - 0.5 b2690 + 0.5 x17090 - x22039 = 0 e67542: - 0.5 b2691 + 0.5 x17091 - x22040 = 0 e67543: - 0.5 b2692 + 0.5 x17092 - x22041 = 0 e67544: - 0.5 b2693 + 0.5 x17093 - x22042 = 0 e67545: - 0.5 b2694 + 0.5 x17094 - x22043 = 0 e67546: - 0.5 b2695 + 0.5 x17095 - x22044 = 0 e67547: - 0.5 b2696 + 0.5 x17096 - x22045 = 0 e67548: - 0.5 b2697 + 0.5 x17097 - x22046 = 0 e67549: - 0.5 b2698 + 0.5 x17098 - x22047 = 0 e67550: - 0.5 b2699 + 0.5 x17099 - x22048 = 0 e67551: - 0.5 b2700 + 0.5 x17100 - x22049 = 0 e67552: - 0.5 b2701 + 0.5 x17101 - x22050 = 0 e67553: - 0.5 b2702 + 0.5 x17102 - x22051 = 0 e67554: - 0.5 b2703 + 0.5 x17103 - x22052 = 0 e67555: - 0.5 b2704 + 0.5 x17104 - x22053 = 0 e67556: - 0.5 b2705 + 0.5 x17105 - x22054 = 0 e67557: - 0.5 b2706 + 0.5 x17106 - x22055 = 0 e67558: - 0.5 b2707 + 0.5 x17107 - x22056 = 0 e67559: - 0.5 b2708 + 0.5 x17108 - x22057 = 0 e67560: - 0.5 b2709 + 0.5 x17109 - x22058 = 0 e67561: - 0.5 b2710 + 0.5 x17110 - x22059 = 0 e67562: - 0.5 b2711 + 0.5 x17111 - x22060 = 0 e67563: - 0.5 b2712 + 0.5 x17112 - x22061 = 0 e67564: - 0.5 b2713 + 0.5 x17113 - x22062 = 0 e67565: - 0.5 b2714 + 0.5 x17114 - x22063 = 0 e67566: - 0.5 b2715 + 0.5 x17115 - x22064 = 0 e67567: - 0.5 b2716 + 0.5 x17116 - x22065 = 0 e67568: - 0.5 b2717 + 0.5 x17117 - x22066 = 0 e67569: - 0.5 b2718 + 0.5 x17118 - x22067 = 0 e67570: - 0.5 b2719 + 0.5 x17119 - x22068 = 0 e67571: - 0.5 b2720 + 0.5 x17120 - x22069 = 0 e67572: - 0.5 b2721 + 0.5 x17121 - x22070 = 0 e67573: - 0.5 b2722 + 0.5 x17122 - x22071 = 0 e67574: - 0.5 b2723 + 0.5 x17123 - x22072 = 0 e67575: - 0.5 b2724 + 0.5 x17124 - x22073 = 0 e67576: - 0.5 b2725 + 0.5 x17125 - x22074 = 0 e67577: - 0.5 b2726 + 0.5 x17126 - x22075 = 0 e67578: - 0.5 b2727 + 0.5 x17127 - x22076 = 0 e67579: - 0.5 b2728 + 0.5 x17128 - x22077 = 0 e67580: - 0.5 b2729 + 0.5 x17129 - x22078 = 0 e67581: - 0.5 b2730 + 0.5 x17130 - x22079 = 0 e67582: - 0.5 b2731 + 0.5 x17131 - x22080 = 0 e67583: - 0.5 b2732 + 0.5 x17132 - x22081 = 0 e67584: - 0.5 b2733 + 0.5 x17133 - x22082 = 0 e67585: - 0.5 b2734 + 0.5 x17134 - x22083 = 0 e67586: - 0.5 b2735 + 0.5 x17135 - x22084 = 0 e67587: - 0.5 b2736 + 0.5 x17136 - x22085 = 0 e67588: - 0.5 b2737 + 0.5 x17137 - x22086 = 0 e67589: - 0.5 b2738 + 0.5 x17138 - x22087 = 0 e67590: - 0.5 b2739 + 0.5 x17139 - x22088 = 0 e67591: - 0.5 b2740 + 0.5 x17140 - x22089 = 0 e67592: - 0.5 b2741 + 0.5 x17141 - x22090 = 0 e67593: - 0.5 b2742 + 0.5 x17142 - x22091 = 0 e67594: - 0.5 b2743 + 0.5 x17143 - x22092 = 0 e67595: - 0.5 b2744 + 0.5 x17144 - x22093 = 0 e67596: - 0.5 b2745 + 0.5 x17145 - x22094 = 0 e67597: - 0.5 b2746 + 0.5 x17146 - x22095 = 0 e67598: - 0.5 b2747 + 0.5 x17147 - x22096 = 0 e67599: - 0.5 b2748 + 0.5 x17148 - x22097 = 0 e67600: - 0.5 b2749 + 0.5 x17149 - x22098 = 0 e67601: - 0.5 b2750 + 0.5 x17150 - x22099 = 0 e67602: - 0.5 b2751 + 0.5 x17151 - x22100 = 0 e67603: - 0.5 b2752 + 0.5 x17152 - x22101 = 0 e67604: - 0.5 b2753 + 0.5 x17153 - x22102 = 0 e67605: - 0.5 b2754 + 0.5 x17154 - x22103 = 0 e67606: - 0.5 b2755 + 0.5 x17155 - x22104 = 0 e67607: - 0.5 b2756 + 0.5 x17156 - x22105 = 0 e67608: - 0.5 b2757 + 0.5 x17157 - x22106 = 0 e67609: - 0.5 b2758 + 0.5 x17158 - x22107 = 0 e67610: - 0.5 b2759 + 0.5 x17159 - x22108 = 0 e67611: - 0.5 b2760 + 0.5 x17160 - x22109 = 0 e67612: - 0.5 b2761 + 0.5 x17161 - x22110 = 0 e67613: - 0.5 b2762 + 0.5 x17162 - x22111 = 0 e67614: - 0.5 b2763 + 0.5 x17163 - x22112 = 0 e67615: - 0.5 b2764 + 0.5 x17164 - x22113 = 0 e67616: - 0.5 b2765 + 0.5 x17165 - x22114 = 0 e67617: - 0.5 b2766 + 0.5 x17166 - x22115 = 0 e67618: - 0.5 b2767 + 0.5 x17167 - x22116 = 0 e67619: - 0.5 b2768 + 0.5 x17168 - x22117 = 0 e67620: - 0.5 b2769 + 0.5 x17169 - x22118 = 0 e67621: - 0.5 b2770 + 0.5 x17170 - x22119 = 0 e67622: - 0.5 b2771 + 0.5 x17171 - x22120 = 0 e67623: - 0.5 b2772 + 0.5 x17172 - x22121 = 0 e67624: - 0.5 b2773 + 0.5 x17173 - x22122 = 0 e67625: - 0.5 b2774 + 0.5 x17174 - x22123 = 0 e67626: - 0.5 b2775 + 0.5 x17175 - x22124 = 0 e67627: - 0.5 b2776 + 0.5 x17176 - x22125 = 0 e67628: - 0.5 b2777 + 0.5 x17177 - x22126 = 0 e67629: - 0.5 b2778 + 0.5 x17178 - x22127 = 0 e67630: - 0.5 b2779 + 0.5 x17179 - x22128 = 0 e67631: - 0.5 b2780 + 0.5 x17180 - x22129 = 0 e67632: - 0.5 b2781 + 0.5 x17181 - x22130 = 0 e67633: - 0.5 b2782 + 0.5 x17182 - x22131 = 0 e67634: - 0.5 b2783 + 0.5 x17183 - x22132 = 0 e67635: - 0.5 b2784 + 0.5 x17184 - x22133 = 0 e67636: - 0.5 b2785 + 0.5 x17185 - x22134 = 0 e67637: - 0.5 b2786 + 0.5 x17186 - x22135 = 0 e67638: - 0.5 b2787 + 0.5 x17187 - x22136 = 0 e67639: - 0.5 b2788 + 0.5 x17188 - x22137 = 0 e67640: - 0.5 b2789 + 0.5 x17189 - x22138 = 0 e67641: - 0.5 b2790 + 0.5 x17190 - x22139 = 0 e67642: - 0.5 b2791 + 0.5 x17191 - x22140 = 0 e67643: - 0.5 b2792 + 0.5 x17192 - x22141 = 0 e67644: - 0.5 b2793 + 0.5 x17193 - x22142 = 0 e67645: - 0.5 b2794 + 0.5 x17194 - x22143 = 0 e67646: - 0.5 b2795 + 0.5 x17195 - x22144 = 0 e67647: - 0.5 b2796 + 0.5 x17196 - x22145 = 0 e67648: - 0.5 b2797 + 0.5 x17197 - x22146 = 0 e67649: - 0.5 b2798 + 0.5 x17198 - x22147 = 0 e67650: - 0.5 b2799 + 0.5 x17199 - x22148 = 0 e67651: - 0.5 b2800 + 0.5 x17200 - x22149 = 0 e67652: - 0.5 b2801 + 0.5 x17201 - x22150 = 0 e67653: - 0.5 b2802 + 0.5 x17202 - x22151 = 0 e67654: - 0.5 b2803 + 0.5 x17203 - x22152 = 0 e67655: - 0.5 b2804 + 0.5 x17204 - x22153 = 0 e67656: - 0.5 b2805 + 0.5 x17205 - x22154 = 0 e67657: - 0.5 b2806 + 0.5 x17206 - x22155 = 0 e67658: - 0.5 b2807 + 0.5 x17207 - x22156 = 0 e67659: - 0.5 b2808 + 0.5 x17208 - x22157 = 0 e67660: - 0.5 b2809 + 0.5 x17209 - x22158 = 0 e67661: - 0.5 b2810 + 0.5 x17210 - x22159 = 0 e67662: - 0.5 b2811 + 0.5 x17211 - x22160 = 0 e67663: - 0.5 b2812 + 0.5 x17212 - x22161 = 0 e67664: - 0.5 b2813 + 0.5 x17213 - x22162 = 0 e67665: - 0.5 b2814 + 0.5 x17214 - x22163 = 0 e67666: - 0.5 b2815 + 0.5 x17215 - x22164 = 0 e67667: - 0.5 b2816 + 0.5 x17216 - x22165 = 0 e67668: - 0.5 b2817 + 0.5 x17217 - x22166 = 0 e67669: - 0.5 b2818 + 0.5 x17218 - x22167 = 0 e67670: - 0.5 b2819 + 0.5 x17219 - x22168 = 0 e67671: - 0.5 b2820 + 0.5 x17220 - x22169 = 0 e67672: - 0.5 b2821 + 0.5 x17221 - x22170 = 0 e67673: - 0.5 b2822 + 0.5 x17222 - x22171 = 0 e67674: - 0.5 b2823 + 0.5 x17223 - x22172 = 0 e67675: - 0.5 b2824 + 0.5 x17224 - x22173 = 0 e67676: - 0.5 b2825 + 0.5 x17225 - x22174 = 0 e67677: - 0.5 b2826 + 0.5 x17226 - x22175 = 0 e67678: - 0.5 b2827 + 0.5 x17227 - x22176 = 0 e67679: - 0.5 b2828 + 0.5 x17228 - x22177 = 0 e67680: - 0.5 b2829 + 0.5 x17229 - x22178 = 0 e67681: - 0.5 b2830 + 0.5 x17230 - x22179 = 0 e67682: - 0.5 b2831 + 0.5 x17231 - x22180 = 0 e67683: - 0.5 b2832 + 0.5 x17232 - x22181 = 0 e67684: - 0.5 b2833 + 0.5 x17233 - x22182 = 0 e67685: - 0.5 b2834 + 0.5 x17234 - x22183 = 0 e67686: - 0.5 b2835 + 0.5 x17235 - x22184 = 0 e67687: - 0.5 b2836 + 0.5 x17236 - x22185 = 0 e67688: - 0.5 b2837 + 0.5 x17237 - x22186 = 0 e67689: - 0.5 b2838 + 0.5 x17238 - x22187 = 0 e67690: - 0.5 b2839 + 0.5 x17239 - x22188 = 0 e67691: - 0.5 b2840 + 0.5 x17240 - x22189 = 0 e67692: - 0.5 b2841 + 0.5 x17241 - x22190 = 0 e67693: - 0.5 b2842 + 0.5 x17242 - x22191 = 0 e67694: - 0.5 b2843 + 0.5 x17243 - x22192 = 0 e67695: - 0.5 b2844 + 0.5 x17244 - x22193 = 0 e67696: - 0.5 b2845 + 0.5 x17245 - x22194 = 0 e67697: - 0.5 b2846 + 0.5 x17246 - x22195 = 0 e67698: - 0.5 b2847 + 0.5 x17247 - x22196 = 0 e67699: - 0.5 b2848 + 0.5 x17248 - x22197 = 0 e67700: - 0.5 b2849 + 0.5 x17249 - x22198 = 0 e67701: - 0.5 b2850 + 0.5 x17250 - x22199 = 0 e67702: - 0.5 b2851 + 0.5 x17251 - x22200 = 0 e67703: - 0.5 b2852 + 0.5 x17252 - x22201 = 0 e67704: - 0.5 b2853 + 0.5 x17253 - x22202 = 0 e67705: - 0.5 b2854 + 0.5 x17254 - x22203 = 0 e67706: - 0.5 b2855 + 0.5 x17255 - x22204 = 0 e67707: - 0.5 b2856 + 0.5 x17256 - x22205 = 0 e67708: - 0.5 b2857 + 0.5 x17257 - x22206 = 0 e67709: - 0.5 b2858 + 0.5 x17258 - x22207 = 0 e67710: - 0.5 b2859 + 0.5 x17259 - x22208 = 0 e67711: - 0.5 b2860 + 0.5 x17260 - x22209 = 0 e67712: - 0.5 b2861 + 0.5 x17261 - x22210 = 0 e67713: - 0.5 b2862 + 0.5 x17262 - x22211 = 0 e67714: - 0.5 b2863 + 0.5 x17263 - x22212 = 0 e67715: - 0.5 b2864 + 0.5 x17264 - x22213 = 0 e67716: - 0.5 b2865 + 0.5 x17265 - x22214 = 0 e67717: - 0.5 b2866 + 0.5 x17266 - x22215 = 0 e67718: - 0.5 b2867 + 0.5 x17267 - x22216 = 0 e67719: - 0.5 b2868 + 0.5 x17268 - x22217 = 0 e67720: - 0.5 b2869 + 0.5 x17269 - x22218 = 0 e67721: - 0.5 b2870 + 0.5 x17270 - x22219 = 0 e67722: - 0.5 b2871 + 0.5 x17271 - x22220 = 0 e67723: - 0.5 b2872 + 0.5 x17272 - x22221 = 0 e67724: - 0.5 b2873 + 0.5 x17273 - x22222 = 0 e67725: - 0.5 b2874 + 0.5 x17274 - x22223 = 0 e67726: - 0.5 b2875 + 0.5 x17275 - x22224 = 0 e67727: - 0.5 b2876 + 0.5 x17276 - x22225 = 0 e67728: - 0.5 b2877 + 0.5 x17277 - x22226 = 0 e67729: - 0.5 b2878 + 0.5 x17278 - x22227 = 0 e67730: - 0.5 b2879 + 0.5 x17279 - x22228 = 0 e67731: - 0.5 b2880 + 0.5 x17280 - x22229 = 0 e67732: - 0.5 b2881 + 0.5 x17281 - x22230 = 0 e67733: - 0.5 b2882 + 0.5 x17282 - x22231 = 0 e67734: - 0.5 b2883 + 0.5 x17283 - x22232 = 0 e67735: - 0.5 b2884 + 0.5 x17284 - x22233 = 0 e67736: - 0.5 b2885 + 0.5 x17285 - x22234 = 0 e67737: - 0.5 b2886 + 0.5 x17286 - x22235 = 0 e67738: - 0.5 b2887 + 0.5 x17287 - x22236 = 0 e67739: - 0.5 b2888 + 0.5 x17288 - x22237 = 0 e67740: - 0.5 b2889 + 0.5 x17289 - x22238 = 0 e67741: - 0.5 b2890 + 0.5 x17290 - x22239 = 0 e67742: - 0.5 b2891 + 0.5 x17291 - x22240 = 0 e67743: - 0.5 b2892 + 0.5 x17292 - x22241 = 0 e67744: - 0.5 b2893 + 0.5 x17293 - x22242 = 0 e67745: - 0.5 b2894 + 0.5 x17294 - x22243 = 0 e67746: - 0.5 b2895 + 0.5 x17295 - x22244 = 0 e67747: - 0.5 b2896 + 0.5 x17296 - x22245 = 0 e67748: - 0.5 b2897 + 0.5 x17297 - x22246 = 0 e67749: - 0.5 b2898 + 0.5 x17298 - x22247 = 0 e67750: - 0.5 b2899 + 0.5 x17299 - x22248 = 0 e67751: - 0.5 b2900 + 0.5 x17300 - x22249 = 0 e67752: - 0.5 b2901 + 0.5 x17301 - x22250 = 0 e67753: - 0.5 b2902 + 0.5 x17302 - x22251 = 0 e67754: - 0.5 b2903 + 0.5 x17303 - x22252 = 0 e67755: - 0.5 b2904 + 0.5 x17304 - x22253 = 0 e67756: - 0.5 b2905 + 0.5 x17305 - x22254 = 0 e67757: - 0.5 b2906 + 0.5 x17306 - x22255 = 0 e67758: - 0.5 b2907 + 0.5 x17307 - x22256 = 0 e67759: - 0.5 b2908 + 0.5 x17308 - x22257 = 0 e67760: - 0.5 b2909 + 0.5 x17309 - x22258 = 0 e67761: - 0.5 b2910 + 0.5 x17310 - x22259 = 0 e67762: - 0.5 b2911 + 0.5 x17311 - x22260 = 0 e67763: - 0.5 b2912 + 0.5 x17312 - x22261 = 0 e67764: - 0.5 b2913 + 0.5 x17313 - x22262 = 0 e67765: - 0.5 b2914 + 0.5 x17314 - x22263 = 0 e67766: - 0.5 b2915 + 0.5 x17315 - x22264 = 0 e67767: - 0.5 b2916 + 0.5 x17316 - x22265 = 0 e67768: - 0.5 b2917 + 0.5 x17317 - x22266 = 0 e67769: - 0.5 b2918 + 0.5 x17318 - x22267 = 0 e67770: - 0.5 b2919 + 0.5 x17319 - x22268 = 0 e67771: - 0.5 b2920 + 0.5 x17320 - x22269 = 0 e67772: - 0.5 b2921 + 0.5 x17321 - x22270 = 0 e67773: - 0.5 b2922 + 0.5 x17322 - x22271 = 0 e67774: - 0.5 b2923 + 0.5 x17323 - x22272 = 0 e67775: - 0.5 b2924 + 0.5 x17324 - x22273 = 0 e67776: - 0.5 b2925 + 0.5 x17325 - x22274 = 0 e67777: - 0.5 b2926 + 0.5 x17326 - x22275 = 0 e67778: - 0.5 b2927 + 0.5 x17327 - x22276 = 0 e67779: - 0.5 b2928 + 0.5 x17328 - x22277 = 0 e67780: - 0.5 b2929 + 0.5 x17329 - x22278 = 0 e67781: - 0.5 b2930 + 0.5 x17330 - x22279 = 0 e67782: - 0.5 b2931 + 0.5 x17331 - x22280 = 0 e67783: - 0.5 b2932 + 0.5 x17332 - x22281 = 0 e67784: - 0.5 b2933 + 0.5 x17333 - x22282 = 0 e67785: - 0.5 b2934 + 0.5 x17334 - x22283 = 0 e67786: - 0.5 b2935 + 0.5 x17335 - x22284 = 0 e67787: - 0.5 b2936 + 0.5 x17336 - x22285 = 0 e67788: - 0.5 b2937 + 0.5 x17337 - x22286 = 0 e67789: - 0.5 b2938 + 0.5 x17338 - x22287 = 0 e67790: - 0.5 b2939 + 0.5 x17339 - x22288 = 0 e67791: - 0.5 b2940 + 0.5 x17340 - x22289 = 0 e67792: - 0.5 b2941 + 0.5 x17341 - x22290 = 0 e67793: - 0.5 b2942 + 0.5 x17342 - x22291 = 0 e67794: - 0.5 b2943 + 0.5 x17343 - x22292 = 0 e67795: - 0.5 b2944 + 0.5 x17344 - x22293 = 0 e67796: - 0.5 b2945 + 0.5 x17345 - x22294 = 0 e67797: - 0.5 b2946 + 0.5 x17346 - x22295 = 0 e67798: - 0.5 b2947 + 0.5 x17347 - x22296 = 0 e67799: - 0.5 b2948 + 0.5 x17348 - x22297 = 0 e67800: - 0.5 b2949 + 0.5 x17349 - x22298 = 0 e67801: - 0.5 b2950 + 0.5 x17350 - x22299 = 0 e67802: - 0.5 b2951 + 0.5 x17351 - x22300 = 0 e67803: - 0.5 b2952 + 0.5 x17352 - x22301 = 0 e67804: - 0.5 b2953 + 0.5 x17353 - x22302 = 0 e67805: - 0.5 b2954 + 0.5 x17354 - x22303 = 0 e67806: - 0.5 b2955 + 0.5 x17355 - x22304 = 0 e67807: - 0.5 b2956 + 0.5 x17356 - x22305 = 0 e67808: - 0.5 b2957 + 0.5 x17357 - x22306 = 0 e67809: - 0.5 b2958 + 0.5 x17358 - x22307 = 0 e67810: - 0.5 b2959 + 0.5 x17359 - x22308 = 0 e67811: - 0.5 b2960 + 0.5 x17360 - x22309 = 0 e67812: - 0.5 b2961 + 0.5 x17361 - x22310 = 0 e67813: - 0.5 b2962 + 0.5 x17362 - x22311 = 0 e67814: - 0.5 b2963 + 0.5 x17363 - x22312 = 0 e67815: - 0.5 b2964 + 0.5 x17364 - x22313 = 0 e67816: - 0.5 b2965 + 0.5 x17365 - x22314 = 0 e67817: - 0.5 b2966 + 0.5 x17366 - x22315 = 0 e67818: - 0.5 b2967 + 0.5 x17367 - x22316 = 0 e67819: - 0.5 b2968 + 0.5 x17368 - x22317 = 0 e67820: - 0.5 b2969 + 0.5 x17369 - x22318 = 0 e67821: - 0.5 b2970 + 0.5 x17370 - x22319 = 0 e67822: - 0.5 b2971 + 0.5 x17371 - x22320 = 0 e67823: - 0.5 b2972 + 0.5 x17372 - x22321 = 0 e67824: - 0.5 b2973 + 0.5 x17373 - x22322 = 0 e67825: - 0.5 b2974 + 0.5 x17374 - x22323 = 0 e67826: - 0.5 b2975 + 0.5 x17375 - x22324 = 0 e67827: - 0.5 b2976 + 0.5 x17376 - x22325 = 0 e67828: - 0.5 b2977 + 0.5 x17377 - x22326 = 0 e67829: - 0.5 b2978 + 0.5 x17378 - x22327 = 0 e67830: - 0.5 b2979 + 0.5 x17379 - x22328 = 0 e67831: - 0.5 b2980 + 0.5 x17380 - x22329 = 0 e67832: - 0.5 b2981 + 0.5 x17381 - x22330 = 0 e67833: - 0.5 b2982 + 0.5 x17382 - x22331 = 0 e67834: - 0.5 b2983 + 0.5 x17383 - x22332 = 0 e67835: - 0.5 b2984 + 0.5 x17384 - x22333 = 0 e67836: - 0.5 b2985 + 0.5 x17385 - x22334 = 0 e67837: - 0.5 b2986 + 0.5 x17386 - x22335 = 0 e67838: - 0.5 b2987 + 0.5 x17387 - x22336 = 0 e67839: - 0.5 b2988 + 0.5 x17388 - x22337 = 0 e67840: - 0.5 b2989 + 0.5 x17389 - x22338 = 0 e67841: - 0.5 b2990 + 0.5 x17390 - x22339 = 0 e67842: - 0.5 b2991 + 0.5 x17391 - x22340 = 0 e67843: - 0.5 b2992 + 0.5 x17392 - x22341 = 0 e67844: - 0.5 b2993 + 0.5 x17393 - x22342 = 0 e67845: - 0.5 b2994 + 0.5 x17394 - x22343 = 0 e67846: - 0.5 b2995 + 0.5 x17395 - x22344 = 0 e67847: - 0.5 b2996 + 0.5 x17396 - x22345 = 0 e67848: - 0.5 b2997 + 0.5 x17397 - x22346 = 0 e67849: - 0.5 b2998 + 0.5 x17398 - x22347 = 0 e67850: - 0.5 b2999 + 0.5 x17399 - x22348 = 0 e67851: - 0.5 b3000 + 0.5 x17400 - x22349 = 0 e67852: - 0.5 b3001 + 0.5 x17401 - x22350 = 0 e67853: - 0.5 b3002 + 0.5 x17402 - x22351 = 0 e67854: - 0.5 b3003 + 0.5 x17403 - x22352 = 0 e67855: - 0.5 b3004 + 0.5 x17404 - x22353 = 0 e67856: - 0.5 b3005 + 0.5 x17405 - x22354 = 0 e67857: - 0.5 b3006 + 0.5 x17406 - x22355 = 0 e67858: - 0.5 b3007 + 0.5 x17407 - x22356 = 0 e67859: - 0.5 b3008 + 0.5 x17408 - x22357 = 0 e67860: - 0.5 b3009 + 0.5 x17409 - x22358 = 0 e67861: - 0.5 b3010 + 0.5 x17410 - x22359 = 0 e67862: - 0.5 b3011 + 0.5 x17411 - x22360 = 0 e67863: - 0.5 b3012 + 0.5 x17412 - x22361 = 0 e67864: - 0.5 b3013 + 0.5 x17413 - x22362 = 0 e67865: - 0.5 b3014 + 0.5 x17414 - x22363 = 0 e67866: - 0.5 b3015 + 0.5 x17415 - x22364 = 0 e67867: - 0.5 b3016 + 0.5 x17416 - x22365 = 0 e67868: - 0.5 b3017 + 0.5 x17417 - x22366 = 0 e67869: - 0.5 b3018 + 0.5 x17418 - x22367 = 0 e67870: - 0.5 b3019 + 0.5 x17419 - x22368 = 0 e67871: - 0.5 b3020 + 0.5 x17420 - x22369 = 0 e67872: - 0.5 b3021 + 0.5 x17421 - x22370 = 0 e67873: - 0.5 b3022 + 0.5 x17422 - x22371 = 0 e67874: - 0.5 b3023 + 0.5 x17423 - x22372 = 0 e67875: - 0.5 b3024 + 0.5 x17424 - x22373 = 0 e67876: - 0.5 b3025 + 0.5 x17425 - x22374 = 0 e67877: - 0.5 b3026 + 0.5 x17426 - x22375 = 0 e67878: - 0.5 b3027 + 0.5 x17427 - x22376 = 0 e67879: - 0.5 b3028 + 0.5 x17428 - x22377 = 0 e67880: - 0.5 b3029 + 0.5 x17429 - x22378 = 0 e67881: - 0.5 b3030 + 0.5 x17430 - x22379 = 0 e67882: - 0.5 b3031 + 0.5 x17431 - x22380 = 0 e67883: - 0.5 b3032 + 0.5 x17432 - x22381 = 0 e67884: - 0.5 b3033 + 0.5 x17433 - x22382 = 0 e67885: - 0.5 b3034 + 0.5 x17434 - x22383 = 0 e67886: - 0.5 b3035 + 0.5 x17435 - x22384 = 0 e67887: - 0.5 b3036 + 0.5 x17436 - x22385 = 0 e67888: - 0.5 b3037 + 0.5 x17437 - x22386 = 0 e67889: - 0.5 b3038 + 0.5 x17438 - x22387 = 0 e67890: - 0.5 b3039 + 0.5 x17439 - x22388 = 0 e67891: - 0.5 b3040 + 0.5 x17440 - x22389 = 0 e67892: - 0.5 b3041 + 0.5 x17441 - x22390 = 0 e67893: - 0.5 b3042 + 0.5 x17442 - x22391 = 0 e67894: - 0.5 b3043 + 0.5 x17443 - x22392 = 0 e67895: - 0.5 b3044 + 0.5 x17444 - x22393 = 0 e67896: - 0.5 b3045 + 0.5 x17445 - x22394 = 0 e67897: - 0.5 b3046 + 0.5 x17446 - x22395 = 0 e67898: - 0.5 b3047 + 0.5 x17447 - x22396 = 0 e67899: - 0.5 b3048 + 0.5 x17448 - x22397 = 0 e67900: - 0.5 b3049 + 0.5 x17449 - x22398 = 0 e67901: - 0.5 b3050 + 0.5 x17450 - x22399 = 0 e67902: - 0.5 b3051 + 0.5 x17451 - x22400 = 0 e67903: - 0.5 b3052 + 0.5 x17452 - x22401 = 0 e67904: - 0.5 b3053 + 0.5 x17453 - x22402 = 0 e67905: - 0.5 b3054 + 0.5 x17454 - x22403 = 0 e67906: - 0.5 b3055 + 0.5 x17455 - x22404 = 0 e67907: - 0.5 b3056 + 0.5 x17456 - x22405 = 0 e67908: - 0.5 b3057 + 0.5 x17457 - x22406 = 0 e67909: - 0.5 b3058 + 0.5 x17458 - x22407 = 0 e67910: - 0.5 b3059 + 0.5 x17459 - x22408 = 0 e67911: - 0.5 b3060 + 0.5 x17460 - x22409 = 0 e67912: - 0.5 b3061 + 0.5 x17461 - x22410 = 0 e67913: - 0.5 b3062 + 0.5 x17462 - x22411 = 0 e67914: - 0.5 b3063 + 0.5 x17463 - x22412 = 0 e67915: - 0.5 b3064 + 0.5 x17464 - x22413 = 0 e67916: - 0.5 b3065 + 0.5 x17465 - x22414 = 0 e67917: - 0.5 b3066 + 0.5 x17466 - x22415 = 0 e67918: - 0.5 b3067 + 0.5 x17467 - x22416 = 0 e67919: - 0.5 b3068 + 0.5 x17468 - x22417 = 0 e67920: - 0.5 b3069 + 0.5 x17469 - x22418 = 0 e67921: - 0.5 b3070 + 0.5 x17470 - x22419 = 0 e67922: - 0.5 b3071 + 0.5 x17471 - x22420 = 0 e67923: - 0.5 b3072 + 0.5 x17472 - x22421 = 0 e67924: - 0.5 b3073 + 0.5 x17473 - x22422 = 0 e67925: - 0.5 b3074 + 0.5 x17474 - x22423 = 0 e67926: - 0.5 b3075 + 0.5 x17475 - x22424 = 0 e67927: - 0.5 b3076 + 0.5 x17476 - x22425 = 0 e67928: - 0.5 b3077 + 0.5 x17477 - x22426 = 0 e67929: - 0.5 b3078 + 0.5 x17478 - x22427 = 0 e67930: - 0.5 b3079 + 0.5 x17479 - x22428 = 0 e67931: - 0.5 b3080 + 0.5 x17480 - x22429 = 0 e67932: - 0.5 b3081 + 0.5 x17481 - x22430 = 0 e67933: - 0.5 b3082 + 0.5 x17482 - x22431 = 0 e67934: - 0.5 b3083 + 0.5 x17483 - x22432 = 0 e67935: - 0.5 b3084 + 0.5 x17484 - x22433 = 0 e67936: - 0.5 b3085 + 0.5 x17485 - x22434 = 0 e67937: - 0.5 b3086 + 0.5 x17486 - x22435 = 0 e67938: - 0.5 b3087 + 0.5 x17487 - x22436 = 0 e67939: - 0.5 b3088 + 0.5 x17488 - x22437 = 0 e67940: - 0.5 b3089 + 0.5 x17489 - x22438 = 0 e67941: - 0.5 b3090 + 0.5 x17490 - x22439 = 0 e67942: - 0.5 b3091 + 0.5 x17491 - x22440 = 0 e67943: - 0.5 b3092 + 0.5 x17492 - x22441 = 0 e67944: - 0.5 b3093 + 0.5 x17493 - x22442 = 0 e67945: - 0.5 b3094 + 0.5 x17494 - x22443 = 0 e67946: - 0.5 b3095 + 0.5 x17495 - x22444 = 0 e67947: - 0.5 b3096 + 0.5 x17496 - x22445 = 0 e67948: - 0.5 b3097 + 0.5 x17497 - x22446 = 0 e67949: - 0.5 b3098 + 0.5 x17498 - x22447 = 0 e67950: - 0.5 b3099 + 0.5 x17499 - x22448 = 0 e67951: - 0.5 b3100 + 0.5 x17500 - x22449 = 0 e67952: - 0.5 b3101 + 0.5 x17501 - x22450 = 0 e67953: - 0.5 b3102 + 0.5 x17502 - x22451 = 0 e67954: - 0.5 b3103 + 0.5 x17503 - x22452 = 0 e67955: - 0.5 b3104 + 0.5 x17504 - x22453 = 0 e67956: - 0.5 b3105 + 0.5 x17505 - x22454 = 0 e67957: - 0.5 b3106 + 0.5 x17506 - x22455 = 0 e67958: - 0.5 b3107 + 0.5 x17507 - x22456 = 0 e67959: - 0.5 b3108 + 0.5 x17508 - x22457 = 0 e67960: - 0.5 b3109 + 0.5 x17509 - x22458 = 0 e67961: - 0.5 b3110 + 0.5 x17510 - x22459 = 0 e67962: - 0.5 b3111 + 0.5 x17511 - x22460 = 0 e67963: - 0.5 b3112 + 0.5 x17512 - x22461 = 0 e67964: - 0.5 b3113 + 0.5 x17513 - x22462 = 0 e67965: - 0.5 b3114 + 0.5 x17514 - x22463 = 0 e67966: - 0.5 b3115 + 0.5 x17515 - x22464 = 0 e67967: - 0.5 b3116 + 0.5 x17516 - x22465 = 0 e67968: - 0.5 b3117 + 0.5 x17517 - x22466 = 0 e67969: - 0.5 b3118 + 0.5 x17518 - x22467 = 0 e67970: - 0.5 b3119 + 0.5 x17519 - x22468 = 0 e67971: - 0.5 b3120 + 0.5 x17520 - x22469 = 0 e67972: - 0.5 b3121 + 0.5 x17521 - x22470 = 0 e67973: - 0.5 b3122 + 0.5 x17522 - x22471 = 0 e67974: - 0.5 b3123 + 0.5 x17523 - x22472 = 0 e67975: - 0.5 b3124 + 0.5 x17524 - x22473 = 0 e67976: - 0.5 b3125 + 0.5 x17525 - x22474 = 0 e67977: - 0.5 b3126 + 0.5 x17526 - x22475 = 0 e67978: - 0.5 b3127 + 0.5 x17527 - x22476 = 0 e67979: - 0.5 b3128 + 0.5 x17528 - x22477 = 0 e67980: - 0.5 b3129 + 0.5 x17529 - x22478 = 0 e67981: - 0.5 b3130 + 0.5 x17530 - x22479 = 0 e67982: - 0.5 b3131 + 0.5 x17531 - x22480 = 0 e67983: - 0.5 b3132 + 0.5 x17532 - x22481 = 0 e67984: - 0.5 b3133 + 0.5 x17533 - x22482 = 0 e67985: - 0.5 b3134 + 0.5 x17534 - x22483 = 0 e67986: - 0.5 b3135 + 0.5 x17535 - x22484 = 0 e67987: - 0.5 b3136 + 0.5 x17536 - x22485 = 0 e67988: - 0.5 b3137 + 0.5 x17537 - x22486 = 0 e67989: - 0.5 b3138 + 0.5 x17538 - x22487 = 0 e67990: - 0.5 b3139 + 0.5 x17539 - x22488 = 0 e67991: - 0.5 b3140 + 0.5 x17540 - x22489 = 0 e67992: - 0.5 b3141 + 0.5 x17541 - x22490 = 0 e67993: - 0.5 b3142 + 0.5 x17542 - x22491 = 0 e67994: - 0.5 b3143 + 0.5 x17543 - x22492 = 0 e67995: - 0.5 b3144 + 0.5 x17544 - x22493 = 0 e67996: - 0.5 b3145 + 0.5 x17545 - x22494 = 0 e67997: - 0.5 b3146 + 0.5 x17546 - x22495 = 0 e67998: - 0.5 b3147 + 0.5 x17547 - x22496 = 0 e67999: - 0.5 b3148 + 0.5 x17548 - x22497 = 0 e68000: - 0.5 b3149 + 0.5 x17549 - x22498 = 0 e68001: - 0.5 b3150 + 0.5 x17550 - x22499 = 0 e68002: - 0.5 b3151 + 0.5 x17551 - x22500 = 0 e68003: - 0.5 b3152 + 0.5 x17552 - x22501 = 0 e68004: - 0.5 b3153 + 0.5 x17553 - x22502 = 0 e68005: - 0.5 b3154 + 0.5 x17554 - x22503 = 0 e68006: - 0.5 b3155 + 0.5 x17555 - x22504 = 0 e68007: - 0.5 b3156 + 0.5 x17556 - x22505 = 0 e68008: - 0.5 b3157 + 0.5 x17557 - x22506 = 0 e68009: - 0.5 b3158 + 0.5 x17558 - x22507 = 0 e68010: - 0.5 b3159 + 0.5 x17559 - x22508 = 0 e68011: - 0.5 b3160 + 0.5 x17560 - x22509 = 0 e68012: - 0.5 b3161 + 0.5 x17561 - x22510 = 0 e68013: - 0.5 b3162 + 0.5 x17562 - x22511 = 0 e68014: - 0.5 b3163 + 0.5 x17563 - x22512 = 0 e68015: - 0.5 b3164 + 0.5 x17564 - x22513 = 0 e68016: - 0.5 b3165 + 0.5 x17565 - x22514 = 0 e68017: - 0.5 b3166 + 0.5 x17566 - x22515 = 0 e68018: - 0.5 b3167 + 0.5 x17567 - x22516 = 0 e68019: - 0.5 b3168 + 0.5 x17568 - x22517 = 0 e68020: - 0.5 b3169 + 0.5 x17569 - x22518 = 0 e68021: - 0.5 b3170 + 0.5 x17570 - x22519 = 0 e68022: - 0.5 b3171 + 0.5 x17571 - x22520 = 0 e68023: - 0.5 b3172 + 0.5 x17572 - x22521 = 0 e68024: - 0.5 b3173 + 0.5 x17573 - x22522 = 0 e68025: - 0.5 b3174 + 0.5 x17574 - x22523 = 0 e68026: - 0.5 b3175 + 0.5 x17575 - x22524 = 0 e68027: - 0.5 b3176 + 0.5 x17576 - x22525 = 0 e68028: - 0.5 b3177 + 0.5 x17577 - x22526 = 0 e68029: - 0.5 b3178 + 0.5 x17578 - x22527 = 0 e68030: - 0.5 b3179 + 0.5 x17579 - x22528 = 0 e68031: - 0.5 b3180 + 0.5 x17580 - x22529 = 0 e68032: - 0.5 b3181 + 0.5 x17581 - x22530 = 0 e68033: - 0.5 b3182 + 0.5 x17582 - x22531 = 0 e68034: - 0.5 b3183 + 0.5 x17583 - x22532 = 0 e68035: - 0.5 b3184 + 0.5 x17584 - x22533 = 0 e68036: - 0.5 b3185 + 0.5 x17585 - x22534 = 0 e68037: - 0.5 b3186 + 0.5 x17586 - x22535 = 0 e68038: - 0.5 b3187 + 0.5 x17587 - x22536 = 0 e68039: - 0.5 b3188 + 0.5 x17588 - x22537 = 0 e68040: - 0.5 b3189 + 0.5 x17589 - x22538 = 0 e68041: - 0.5 b3190 + 0.5 x17590 - x22539 = 0 e68042: - 0.5 b3191 + 0.5 x17591 - x22540 = 0 e68043: - 0.5 b3192 + 0.5 x17592 - x22541 = 0 e68044: - 0.5 b3193 + 0.5 x17593 - x22542 = 0 e68045: - 0.5 b3194 + 0.5 x17594 - x22543 = 0 e68046: - 0.5 b3195 + 0.5 x17595 - x22544 = 0 e68047: - 0.5 b3196 + 0.5 x17596 - x22545 = 0 e68048: - 0.5 b3197 + 0.5 x17597 - x22546 = 0 e68049: - 0.5 b3198 + 0.5 x17598 - x22547 = 0 e68050: - 0.5 b3199 + 0.5 x17599 - x22548 = 0 e68051: - 0.5 b3200 + 0.5 x17600 - x22549 = 0 e68052: - 0.5 b3201 + 0.5 x17601 - x22550 = 0 e68053: - 0.5 b3202 + 0.5 x17602 - x22551 = 0 e68054: - 0.5 b3203 + 0.5 x17603 - x22552 = 0 e68055: - 0.5 b3204 + 0.5 x17604 - x22553 = 0 e68056: - 0.5 b3205 + 0.5 x17605 - x22554 = 0 e68057: - 0.5 b3206 + 0.5 x17606 - x22555 = 0 e68058: - 0.5 b3207 + 0.5 x17607 - x22556 = 0 e68059: - 0.5 b3208 + 0.5 x17608 - x22557 = 0 e68060: - 0.5 b3209 + 0.5 x17609 - x22558 = 0 e68061: - 0.5 b3210 + 0.5 x17610 - x22559 = 0 e68062: - 0.5 b3211 + 0.5 x17611 - x22560 = 0 e68063: - 0.5 b3212 + 0.5 x17612 - x22561 = 0 e68064: - 0.5 b3213 + 0.5 x17613 - x22562 = 0 e68065: - 0.5 b3214 + 0.5 x17614 - x22563 = 0 e68066: - 0.5 b3215 + 0.5 x17615 - x22564 = 0 e68067: - 0.5 b3216 + 0.5 x17616 - x22565 = 0 e68068: - 0.5 b3217 + 0.5 x17617 - x22566 = 0 e68069: - 0.5 b3218 + 0.5 x17618 - x22567 = 0 e68070: - 0.5 b3219 + 0.5 x17619 - x22568 = 0 e68071: - 0.5 b3220 + 0.5 x17620 - x22569 = 0 e68072: - 0.5 b3221 + 0.5 x17621 - x22570 = 0 e68073: - 0.5 b3222 + 0.5 x17622 - x22571 = 0 e68074: - 0.5 b3223 + 0.5 x17623 - x22572 = 0 e68075: - 0.5 b3224 + 0.5 x17624 - x22573 = 0 e68076: - 0.5 b3225 + 0.5 x17625 - x22574 = 0 e68077: - 0.5 b3226 + 0.5 x17626 - x22575 = 0 e68078: - 0.5 b3227 + 0.5 x17627 - x22576 = 0 e68079: - 0.5 b3228 + 0.5 x17628 - x22577 = 0 e68080: - 0.5 b3229 + 0.5 x17629 - x22578 = 0 e68081: - 0.5 b3230 + 0.5 x17630 - x22579 = 0 e68082: - 0.5 b3231 + 0.5 x17631 - x22580 = 0 e68083: - 0.5 b3232 + 0.5 x17632 - x22581 = 0 e68084: - 0.5 b3233 + 0.5 x17633 - x22582 = 0 e68085: - 0.5 b3234 + 0.5 x17634 - x22583 = 0 e68086: - 0.5 b3235 + 0.5 x17635 - x22584 = 0 e68087: - 0.5 b3236 + 0.5 x17636 - x22585 = 0 e68088: - 0.5 b3237 + 0.5 x17637 - x22586 = 0 e68089: - 0.5 b3238 + 0.5 x17638 - x22587 = 0 e68090: - 0.5 b3239 + 0.5 x17639 - x22588 = 0 e68091: - 0.5 b3240 + 0.5 x17640 - x22589 = 0 e68092: - 0.5 b3241 + 0.5 x17641 - x22590 = 0 e68093: - 0.5 b3242 + 0.5 x17642 - x22591 = 0 e68094: - 0.5 b3243 + 0.5 x17643 - x22592 = 0 e68095: - 0.5 b3244 + 0.5 x17644 - x22593 = 0 e68096: - 0.5 b3245 + 0.5 x17645 - x22594 = 0 e68097: - 0.5 b3246 + 0.5 x17646 - x22595 = 0 e68098: - 0.5 b3247 + 0.5 x17647 - x22596 = 0 e68099: - 0.5 b3248 + 0.5 x17648 - x22597 = 0 e68100: - 0.5 b3249 + 0.5 x17649 - x22598 = 0 e68101: - 0.5 b3250 + 0.5 x17650 - x22599 = 0 e68102: - 0.5 b3251 + 0.5 x17651 - x22600 = 0 e68103: - 0.5 b3252 + 0.5 x17652 - x22601 = 0 e68104: - 0.5 b3253 + 0.5 x17653 - x22602 = 0 e68105: - 0.5 b3254 + 0.5 x17654 - x22603 = 0 e68106: - 0.5 b3255 + 0.5 x17655 - x22604 = 0 e68107: - 0.5 b3256 + 0.5 x17656 - x22605 = 0 e68108: - 0.5 b3257 + 0.5 x17657 - x22606 = 0 e68109: - 0.5 b3258 + 0.5 x17658 - x22607 = 0 e68110: - 0.5 b3259 + 0.5 x17659 - x22608 = 0 e68111: - 0.5 b3260 + 0.5 x17660 - x22609 = 0 e68112: - 0.5 b3261 + 0.5 x17661 - x22610 = 0 e68113: - 0.5 b3262 + 0.5 x17662 - x22611 = 0 e68114: - 0.5 b3263 + 0.5 x17663 - x22612 = 0 e68115: - 0.5 b3264 + 0.5 x17664 - x22613 = 0 e68116: - 0.5 b3265 + 0.5 x17665 - x22614 = 0 e68117: - 0.5 b3266 + 0.5 x17666 - x22615 = 0 e68118: - 0.5 b3267 + 0.5 x17667 - x22616 = 0 e68119: - 0.5 b3268 + 0.5 x17668 - x22617 = 0 e68120: - 0.5 b3269 + 0.5 x17669 - x22618 = 0 e68121: - 0.5 b3270 + 0.5 x17670 - x22619 = 0 e68122: - 0.5 b3271 + 0.5 x17671 - x22620 = 0 e68123: - 0.5 b3272 + 0.5 x17672 - x22621 = 0 e68124: - 0.5 b3273 + 0.5 x17673 - x22622 = 0 e68125: - 0.5 b3274 + 0.5 x17674 - x22623 = 0 e68126: - 0.5 b3275 + 0.5 x17675 - x22624 = 0 e68127: - 0.5 b3276 + 0.5 x17676 - x22625 = 0 e68128: - 0.5 b3277 + 0.5 x17677 - x22626 = 0 e68129: - 0.5 b3278 + 0.5 x17678 - x22627 = 0 e68130: - 0.5 b3279 + 0.5 x17679 - x22628 = 0 e68131: - 0.5 b3280 + 0.5 x17680 - x22629 = 0 e68132: - 0.5 b3281 + 0.5 x17681 - x22630 = 0 e68133: - 0.5 b3282 + 0.5 x17682 - x22631 = 0 e68134: - 0.5 b3283 + 0.5 x17683 - x22632 = 0 e68135: - 0.5 b3284 + 0.5 x17684 - x22633 = 0 e68136: - 0.5 b3285 + 0.5 x17685 - x22634 = 0 e68137: - 0.5 b3286 + 0.5 x17686 - x22635 = 0 e68138: - 0.5 b3287 + 0.5 x17687 - x22636 = 0 e68139: - 0.5 b3288 + 0.5 x17688 - x22637 = 0 e68140: - 0.5 b3289 + 0.5 x17689 - x22638 = 0 e68141: - 0.5 b3290 + 0.5 x17690 - x22639 = 0 e68142: - 0.5 b3291 + 0.5 x17691 - x22640 = 0 e68143: - 0.5 b3292 + 0.5 x17692 - x22641 = 0 e68144: - 0.5 b3293 + 0.5 x17693 - x22642 = 0 e68145: - 0.5 b3294 + 0.5 x17694 - x22643 = 0 e68146: - 0.5 b3295 + 0.5 x17695 - x22644 = 0 e68147: - 0.5 b3296 + 0.5 x17696 - x22645 = 0 e68148: - 0.5 b3297 + 0.5 x17697 - x22646 = 0 e68149: - 0.5 b3298 + 0.5 x17698 - x22647 = 0 e68150: - 0.5 b3299 + 0.5 x17699 - x22648 = 0 e68151: - 0.5 b3300 + 0.5 x17700 - x22649 = 0 e68152: - 0.5 b3301 + 0.5 x17701 - x22650 = 0 e68153: - 0.5 b3302 + 0.5 x17702 - x22651 = 0 e68154: - 0.5 b3303 + 0.5 x17703 - x22652 = 0 e68155: - 0.5 b3304 + 0.5 x17704 - x22653 = 0 e68156: - 0.5 b3305 + 0.5 x17705 - x22654 = 0 e68157: - 0.5 b3306 + 0.5 x17706 - x22655 = 0 e68158: - 0.5 b3307 + 0.5 x17707 - x22656 = 0 e68159: - 0.5 b3308 + 0.5 x17708 - x22657 = 0 e68160: - 0.5 b3309 + 0.5 x17709 - x22658 = 0 e68161: - 0.5 b3310 + 0.5 x17710 - x22659 = 0 e68162: - 0.5 b3311 + 0.5 x17711 - x22660 = 0 e68163: - 0.5 b3312 + 0.5 x17712 - x22661 = 0 e68164: - 0.5 b3313 + 0.5 x17713 - x22662 = 0 e68165: - 0.5 b3314 + 0.5 x17714 - x22663 = 0 e68166: - 0.5 b3315 + 0.5 x17715 - x22664 = 0 e68167: - 0.5 b3316 + 0.5 x17716 - x22665 = 0 e68168: - 0.5 b3317 + 0.5 x17717 - x22666 = 0 e68169: - 0.5 b3318 + 0.5 x17718 - x22667 = 0 e68170: - 0.5 b3319 + 0.5 x17719 - x22668 = 0 e68171: - 0.5 b3320 + 0.5 x17720 - x22669 = 0 e68172: - 0.5 b3321 + 0.5 x17721 - x22670 = 0 e68173: - 0.5 b3322 + 0.5 x17722 - x22671 = 0 e68174: - 0.5 b3323 + 0.5 x17723 - x22672 = 0 e68175: - 0.5 b3324 + 0.5 x17724 - x22673 = 0 e68176: - 0.5 b3325 + 0.5 x17725 - x22674 = 0 e68177: - 0.5 b3326 + 0.5 x17726 - x22675 = 0 e68178: - 0.5 b3327 + 0.5 x17727 - x22676 = 0 e68179: - 0.5 b3328 + 0.5 x17728 - x22677 = 0 e68180: - 0.5 b3329 + 0.5 x17729 - x22678 = 0 e68181: - 0.5 b3330 + 0.5 x17730 - x22679 = 0 e68182: - 0.5 b3331 + 0.5 x17731 - x22680 = 0 e68183: - 0.5 b3332 + 0.5 x17732 - x22681 = 0 e68184: - 0.5 b3333 + 0.5 x17733 - x22682 = 0 e68185: - 0.5 b3334 + 0.5 x17734 - x22683 = 0 e68186: - 0.5 b3335 + 0.5 x17735 - x22684 = 0 e68187: - 0.5 b3336 + 0.5 x17736 - x22685 = 0 e68188: - 0.5 b3337 + 0.5 x17737 - x22686 = 0 e68189: - 0.5 b3338 + 0.5 x17738 - x22687 = 0 e68190: - 0.5 b3339 + 0.5 x17739 - x22688 = 0 e68191: - 0.5 b3340 + 0.5 x17740 - x22689 = 0 e68192: - 0.5 b3341 + 0.5 x17741 - x22690 = 0 e68193: - 0.5 b3342 + 0.5 x17742 - x22691 = 0 e68194: - 0.5 b3343 + 0.5 x17743 - x22692 = 0 e68195: - 0.5 b3344 + 0.5 x17744 - x22693 = 0 e68196: - 0.5 b3345 + 0.5 x17745 - x22694 = 0 e68197: - 0.5 b3346 + 0.5 x17746 - x22695 = 0 e68198: - 0.5 b3347 + 0.5 x17747 - x22696 = 0 e68199: - 0.5 b3348 + 0.5 x17748 - x22697 = 0 e68200: - 0.5 b3349 + 0.5 x17749 - x22698 = 0 e68201: - 0.5 b3350 + 0.5 x17750 - x22699 = 0 e68202: - 0.5 b3351 + 0.5 x17751 - x22700 = 0 e68203: - 0.5 b3352 + 0.5 x17752 - x22701 = 0 e68204: - 0.5 b3353 + 0.5 x17753 - x22702 = 0 e68205: - 0.5 b3354 + 0.5 x17754 - x22703 = 0 e68206: - 0.5 b3355 + 0.5 x17755 - x22704 = 0 e68207: - 0.5 b3356 + 0.5 x17756 - x22705 = 0 e68208: - 0.5 b3357 + 0.5 x17757 - x22706 = 0 e68209: - 0.5 b3358 + 0.5 x17758 - x22707 = 0 e68210: - 0.5 b3359 + 0.5 x17759 - x22708 = 0 e68211: - 0.5 b3360 + 0.5 x17760 - x22709 = 0 e68212: - 0.5 b3361 + 0.5 x17761 - x22710 = 0 e68213: - 0.5 b3362 + 0.5 x17762 - x22711 = 0 e68214: - 0.5 b3363 + 0.5 x17763 - x22712 = 0 e68215: - 0.5 b3364 + 0.5 x17764 - x22713 = 0 e68216: - 0.5 b3365 + 0.5 x17765 - x22714 = 0 e68217: - 0.5 b3366 + 0.5 x17766 - x22715 = 0 e68218: - 0.5 b3367 + 0.5 x17767 - x22716 = 0 e68219: - 0.5 b3368 + 0.5 x17768 - x22717 = 0 e68220: - 0.5 b3369 + 0.5 x17769 - x22718 = 0 e68221: - 0.5 b3370 + 0.5 x17770 - x22719 = 0 e68222: - 0.5 b3371 + 0.5 x17771 - x22720 = 0 e68223: - 0.5 b3372 + 0.5 x17772 - x22721 = 0 e68224: - 0.5 b3373 + 0.5 x17773 - x22722 = 0 e68225: - 0.5 b3374 + 0.5 x17774 - x22723 = 0 e68226: - 0.5 b3375 + 0.5 x17775 - x22724 = 0 e68227: - 0.5 b3376 + 0.5 x17776 - x22725 = 0 e68228: - 0.5 b3377 + 0.5 x17777 - x22726 = 0 e68229: - 0.5 b3378 + 0.5 x17778 - x22727 = 0 e68230: - 0.5 b3379 + 0.5 x17779 - x22728 = 0 e68231: - 0.5 b3380 + 0.5 x17780 - x22729 = 0 e68232: - 0.5 b3381 + 0.5 x17781 - x22730 = 0 e68233: - 0.5 b3382 + 0.5 x17782 - x22731 = 0 e68234: - 0.5 b3383 + 0.5 x17783 - x22732 = 0 e68235: - 0.5 b3384 + 0.5 x17784 - x22733 = 0 e68236: - 0.5 b3385 + 0.5 x17785 - x22734 = 0 e68237: - 0.5 b3386 + 0.5 x17786 - x22735 = 0 e68238: - 0.5 b3387 + 0.5 x17787 - x22736 = 0 e68239: - 0.5 b3388 + 0.5 x17788 - x22737 = 0 e68240: - 0.5 b3389 + 0.5 x17789 - x22738 = 0 e68241: - 0.5 b3390 + 0.5 x17790 - x22739 = 0 e68242: - 0.5 b3391 + 0.5 x17791 - x22740 = 0 e68243: - 0.5 b3392 + 0.5 x17792 - x22741 = 0 e68244: - 0.5 b3393 + 0.5 x17793 - x22742 = 0 e68245: - 0.5 b3394 + 0.5 x17794 - x22743 = 0 e68246: - 0.5 b3395 + 0.5 x17795 - x22744 = 0 e68247: - 0.5 b3396 + 0.5 x17796 - x22745 = 0 e68248: - 0.5 b3397 + 0.5 x17797 - x22746 = 0 e68249: - 0.5 b3398 + 0.5 x17798 - x22747 = 0 e68250: - 0.5 b3399 + 0.5 x17799 - x22748 = 0 e68251: - 0.5 b3400 + 0.5 x17800 - x22749 = 0 e68252: - 0.5 b3401 + 0.5 x17801 - x22750 = 0 e68253: - 0.5 b3402 + 0.5 x17802 - x22751 = 0 e68254: - 0.5 b3403 + 0.5 x17803 - x22752 = 0 e68255: - 0.5 b3404 + 0.5 x17804 - x22753 = 0 e68256: - 0.5 b3405 + 0.5 x17805 - x22754 = 0 e68257: - 0.5 b3406 + 0.5 x17806 - x22755 = 0 e68258: - 0.5 b3407 + 0.5 x17807 - x22756 = 0 e68259: - 0.5 b3408 + 0.5 x17808 - x22757 = 0 e68260: - 0.5 b3409 + 0.5 x17809 - x22758 = 0 e68261: - 0.5 b3410 + 0.5 x17810 - x22759 = 0 e68262: - 0.5 b3411 + 0.5 x17811 - x22760 = 0 e68263: - 0.5 b3412 + 0.5 x17812 - x22761 = 0 e68264: - 0.5 b3413 + 0.5 x17813 - x22762 = 0 e68265: - 0.5 b3414 + 0.5 x17814 - x22763 = 0 e68266: - 0.5 b3415 + 0.5 x17815 - x22764 = 0 e68267: - 0.5 b3416 + 0.5 x17816 - x22765 = 0 e68268: - 0.5 b3417 + 0.5 x17817 - x22766 = 0 e68269: - 0.5 b3418 + 0.5 x17818 - x22767 = 0 e68270: - 0.5 b3419 + 0.5 x17819 - x22768 = 0 e68271: - 0.5 b3420 + 0.5 x17820 - x22769 = 0 e68272: - 0.5 b3421 + 0.5 x17821 - x22770 = 0 e68273: - 0.5 b3422 + 0.5 x17822 - x22771 = 0 e68274: - 0.5 b3423 + 0.5 x17823 - x22772 = 0 e68275: - 0.5 b3424 + 0.5 x17824 - x22773 = 0 e68276: - 0.5 b3425 + 0.5 x17825 - x22774 = 0 e68277: - 0.5 b3426 + 0.5 x17826 - x22775 = 0 e68278: - 0.5 b3427 + 0.5 x17827 - x22776 = 0 e68279: - 0.5 b3428 + 0.5 x17828 - x22777 = 0 e68280: - 0.5 b3429 + 0.5 x17829 - x22778 = 0 e68281: - 0.5 b3430 + 0.5 x17830 - x22779 = 0 e68282: - 0.5 b3431 + 0.5 x17831 - x22780 = 0 e68283: - 0.5 b3432 + 0.5 x17832 - x22781 = 0 e68284: - 0.5 b3433 + 0.5 x17833 - x22782 = 0 e68285: - 0.5 b3434 + 0.5 x17834 - x22783 = 0 e68286: - 0.5 b3435 + 0.5 x17835 - x22784 = 0 e68287: - 0.5 b3436 + 0.5 x17836 - x22785 = 0 e68288: - 0.5 b3437 + 0.5 x17837 - x22786 = 0 e68289: - 0.5 b3438 + 0.5 x17838 - x22787 = 0 e68290: - 0.5 b3439 + 0.5 x17839 - x22788 = 0 e68291: - 0.5 b3440 + 0.5 x17840 - x22789 = 0 e68292: - 0.5 b3441 + 0.5 x17841 - x22790 = 0 e68293: - 0.5 b3442 + 0.5 x17842 - x22791 = 0 e68294: - 0.5 b3443 + 0.5 x17843 - x22792 = 0 e68295: - 0.5 b3444 + 0.5 x17844 - x22793 = 0 e68296: - 0.5 b3445 + 0.5 x17845 - x22794 = 0 e68297: - 0.5 b3446 + 0.5 x17846 - x22795 = 0 e68298: - 0.5 b3447 + 0.5 x17847 - x22796 = 0 e68299: - 0.5 b3448 + 0.5 x17848 - x22797 = 0 e68300: - 0.5 b3449 + 0.5 x17849 - x22798 = 0 e68301: - 0.5 b3450 + 0.5 x17850 - x22799 = 0 e68302: - 0.5 b3451 + 0.5 x17851 - x22800 = 0 e68303: - 0.5 b3452 + 0.5 x17852 - x22801 = 0 e68304: - 0.5 b3453 + 0.5 x17853 - x22802 = 0 e68305: - 0.5 b3454 + 0.5 x17854 - x22803 = 0 e68306: - 0.5 b3455 + 0.5 x17855 - x22804 = 0 e68307: - 0.5 b3456 + 0.5 x17856 - x22805 = 0 e68308: - 0.5 b3457 + 0.5 x17857 - x22806 = 0 e68309: - 0.5 b3458 + 0.5 x17858 - x22807 = 0 e68310: - 0.5 b3459 + 0.5 x17859 - x22808 = 0 e68311: - 0.5 b3460 + 0.5 x17860 - x22809 = 0 e68312: - 0.5 b3461 + 0.5 x17861 - x22810 = 0 e68313: - 0.5 b3462 + 0.5 x17862 - x22811 = 0 e68314: - 0.5 b3463 + 0.5 x17863 - x22812 = 0 e68315: - 0.5 b3464 + 0.5 x17864 - x22813 = 0 e68316: - 0.5 b3465 + 0.5 x17865 - x22814 = 0 e68317: - 0.5 b3466 + 0.5 x17866 - x22815 = 0 e68318: - 0.5 b3467 + 0.5 x17867 - x22816 = 0 e68319: - 0.5 b3468 + 0.5 x17868 - x22817 = 0 e68320: - 0.5 b3469 + 0.5 x17869 - x22818 = 0 e68321: - 0.5 b3470 + 0.5 x17870 - x22819 = 0 e68322: - 0.5 b3471 + 0.5 x17871 - x22820 = 0 e68323: - 0.5 b3472 + 0.5 x17872 - x22821 = 0 e68324: - 0.5 b3473 + 0.5 x17873 - x22822 = 0 e68325: - 0.5 b3474 + 0.5 x17874 - x22823 = 0 e68326: - 0.5 b3475 + 0.5 x17875 - x22824 = 0 e68327: - 0.5 b3476 + 0.5 x17876 - x22825 = 0 e68328: - 0.5 b3477 + 0.5 x17877 - x22826 = 0 e68329: - 0.5 b3478 + 0.5 x17878 - x22827 = 0 e68330: - 0.5 b3479 + 0.5 x17879 - x22828 = 0 e68331: - 0.5 b3480 + 0.5 x17880 - x22829 = 0 e68332: - 0.5 b3481 + 0.5 x17881 - x22830 = 0 e68333: - 0.5 b3482 + 0.5 x17882 - x22831 = 0 e68334: - 0.5 b3483 + 0.5 x17883 - x22832 = 0 e68335: - 0.5 b3484 + 0.5 x17884 - x22833 = 0 e68336: - 0.5 b3485 + 0.5 x17885 - x22834 = 0 e68337: - 0.5 b3486 + 0.5 x17886 - x22835 = 0 e68338: - 0.5 b3487 + 0.5 x17887 - x22836 = 0 e68339: - 0.5 b3488 + 0.5 x17888 - x22837 = 0 e68340: - 0.5 b3489 + 0.5 x17889 - x22838 = 0 e68341: - 0.5 b3490 + 0.5 x17890 - x22839 = 0 e68342: - 0.5 b3491 + 0.5 x17891 - x22840 = 0 e68343: - 0.5 b3492 + 0.5 x17892 - x22841 = 0 e68344: - 0.5 b3493 + 0.5 x17893 - x22842 = 0 e68345: - 0.5 b3494 + 0.5 x17894 - x22843 = 0 e68346: - 0.5 b3495 + 0.5 x17895 - x22844 = 0 e68347: - 0.5 b3496 + 0.5 x17896 - x22845 = 0 e68348: - 0.5 b3497 + 0.5 x17897 - x22846 = 0 e68349: - 0.5 b3498 + 0.5 x17898 - x22847 = 0 e68350: - 0.5 b3499 + 0.5 x17899 - x22848 = 0 e68351: - 0.5 b3500 + 0.5 x17900 - x22849 = 0 e68352: - 0.5 b3501 + 0.5 x17901 - x22850 = 0 e68353: - 0.5 b3502 + 0.5 x17902 - x22851 = 0 e68354: - 0.5 b3503 + 0.5 x17903 - x22852 = 0 e68355: - 0.5 b3504 + 0.5 x17904 - x22853 = 0 e68356: - 0.5 b3505 + 0.5 x17905 - x22854 = 0 e68357: - 0.5 b3506 + 0.5 x17906 - x22855 = 0 e68358: - 0.5 b3507 + 0.5 x17907 - x22856 = 0 e68359: - 0.5 b3508 + 0.5 x17908 - x22857 = 0 e68360: - 0.5 b3509 + 0.5 x17909 - x22858 = 0 e68361: - 0.5 b3510 + 0.5 x17910 - x22859 = 0 e68362: - 0.5 b3511 + 0.5 x17911 - x22860 = 0 e68363: - 0.5 b3512 + 0.5 x17912 - x22861 = 0 e68364: - 0.5 b3513 + 0.5 x17913 - x22862 = 0 e68365: - 0.5 b3514 + 0.5 x17914 - x22863 = 0 e68366: - 0.5 b3515 + 0.5 x17915 - x22864 = 0 e68367: - 0.5 b3516 + 0.5 x17916 - x22865 = 0 e68368: - 0.5 b3517 + 0.5 x17917 - x22866 = 0 e68369: - 0.5 b3518 + 0.5 x17918 - x22867 = 0 e68370: - 0.5 b3519 + 0.5 x17919 - x22868 = 0 e68371: - 0.5 b3520 + 0.5 x17920 - x22869 = 0 e68372: - 0.5 b3521 + 0.5 x17921 - x22870 = 0 e68373: - 0.5 b3522 + 0.5 x17922 - x22871 = 0 e68374: - 0.5 b3523 + 0.5 x17923 - x22872 = 0 e68375: - 0.5 b3524 + 0.5 x17924 - x22873 = 0 e68376: - 0.5 b3525 + 0.5 x17925 - x22874 = 0 e68377: - 0.5 b3526 + 0.5 x17926 - x22875 = 0 e68378: - 0.5 b3527 + 0.5 x17927 - x22876 = 0 e68379: - 0.5 b3528 + 0.5 x17928 - x22877 = 0 e68380: - 0.5 b3529 + 0.5 x17929 - x22878 = 0 e68381: - 0.5 b3530 + 0.5 x17930 - x22879 = 0 e68382: - 0.5 b3531 + 0.5 x17931 - x22880 = 0 e68383: - 0.5 b3532 + 0.5 x17932 - x22881 = 0 e68384: - 0.5 b3533 + 0.5 x17933 - x22882 = 0 e68385: - 0.5 b3534 + 0.5 x17934 - x22883 = 0 e68386: - 0.5 b3535 + 0.5 x17935 - x22884 = 0 e68387: - 0.5 b3536 + 0.5 x17936 - x22885 = 0 e68388: - 0.5 b3537 + 0.5 x17937 - x22886 = 0 e68389: - 0.5 b3538 + 0.5 x17938 - x22887 = 0 e68390: - 0.5 b3539 + 0.5 x17939 - x22888 = 0 e68391: - 0.5 b3540 + 0.5 x17940 - x22889 = 0 e68392: - 0.5 b3541 + 0.5 x17941 - x22890 = 0 e68393: - 0.5 b3542 + 0.5 x17942 - x22891 = 0 e68394: - 0.5 b3543 + 0.5 x17943 - x22892 = 0 e68395: - 0.5 b3544 + 0.5 x17944 - x22893 = 0 e68396: - 0.5 b3545 + 0.5 x17945 - x22894 = 0 e68397: - 0.5 b3546 + 0.5 x17946 - x22895 = 0 e68398: - 0.5 b3547 + 0.5 x17947 - x22896 = 0 e68399: - 0.5 b3548 + 0.5 x17948 - x22897 = 0 e68400: - 0.5 b3549 + 0.5 x17949 - x22898 = 0 e68401: - 0.5 b3550 + 0.5 x17950 - x22899 = 0 e68402: - 0.5 b3551 + 0.5 x17951 - x22900 = 0 e68403: - 0.5 b3552 + 0.5 x17952 - x22901 = 0 e68404: - 0.5 b3553 + 0.5 x17953 - x22902 = 0 e68405: - 0.5 b3554 + 0.5 x17954 - x22903 = 0 e68406: - 0.5 b3555 + 0.5 x17955 - x22904 = 0 e68407: - 0.5 b3556 + 0.5 x17956 - x22905 = 0 e68408: - 0.5 b3557 + 0.5 x17957 - x22906 = 0 e68409: - 0.5 b3558 + 0.5 x17958 - x22907 = 0 e68410: - 0.5 b3559 + 0.5 x17959 - x22908 = 0 e68411: - 0.5 b3560 + 0.5 x17960 - x22909 = 0 e68412: - 0.5 b3561 + 0.5 x17961 - x22910 = 0 e68413: - 0.5 b3562 + 0.5 x17962 - x22911 = 0 e68414: - 0.5 b3563 + 0.5 x17963 - x22912 = 0 e68415: - 0.5 b3564 + 0.5 x17964 - x22913 = 0 e68416: - 0.5 b3565 + 0.5 x17965 - x22914 = 0 e68417: - 0.5 b3566 + 0.5 x17966 - x22915 = 0 e68418: - 0.5 b3567 + 0.5 x17967 - x22916 = 0 e68419: - 0.5 b3568 + 0.5 x17968 - x22917 = 0 e68420: - 0.5 b3569 + 0.5 x17969 - x22918 = 0 e68421: - 0.5 b3570 + 0.5 x17970 - x22919 = 0 e68422: - 0.5 b3571 + 0.5 x17971 - x22920 = 0 e68423: - 0.5 b3572 + 0.5 x17972 - x22921 = 0 e68424: - 0.5 b3573 + 0.5 x17973 - x22922 = 0 e68425: - 0.5 b3574 + 0.5 x17974 - x22923 = 0 e68426: - 0.5 b3575 + 0.5 x17975 - x22924 = 0 e68427: - 0.5 b3576 + 0.5 x17976 - x22925 = 0 e68428: - 0.5 b3577 + 0.5 x17977 - x22926 = 0 e68429: - 0.5 b3578 + 0.5 x17978 - x22927 = 0 e68430: - 0.5 b3579 + 0.5 x17979 - x22928 = 0 e68431: - 0.5 b3580 + 0.5 x17980 - x22929 = 0 e68432: - 0.5 b3581 + 0.5 x17981 - x22930 = 0 e68433: - 0.5 b3582 + 0.5 x17982 - x22931 = 0 e68434: - 0.5 b3583 + 0.5 x17983 - x22932 = 0 e68435: - 0.5 b3584 + 0.5 x17984 - x22933 = 0 e68436: - 0.5 b3585 + 0.5 x17985 - x22934 = 0 e68437: - 0.5 b3586 + 0.5 x17986 - x22935 = 0 e68438: - 0.5 b3587 + 0.5 x17987 - x22936 = 0 e68439: - 0.5 b3588 + 0.5 x17988 - x22937 = 0 e68440: - 0.5 b3589 + 0.5 x17989 - x22938 = 0 e68441: - 0.5 b3590 + 0.5 x17990 - x22939 = 0 e68442: - 0.5 b3591 + 0.5 x17991 - x22940 = 0 e68443: - 0.5 b3592 + 0.5 x17992 - x22941 = 0 e68444: - 0.5 b3593 + 0.5 x17993 - x22942 = 0 e68445: - 0.5 b3594 + 0.5 x17994 - x22943 = 0 e68446: - 0.5 b3595 + 0.5 x17995 - x22944 = 0 e68447: - 0.5 b3596 + 0.5 x17996 - x22945 = 0 e68448: - 0.5 b3597 + 0.5 x17997 - x22946 = 0 e68449: - 0.5 b3598 + 0.5 x17998 - x22947 = 0 e68450: - 0.5 b3599 + 0.5 x17999 - x22948 = 0 e68451: - 0.5 b3600 + 0.5 x18000 - x22949 = 0 e68452: - 0.5 b3601 + 0.5 x18001 - x22950 = 0 e68453: - 0.5 b3602 + 0.5 x18002 - x22951 = 0 e68454: - 0.5 b3603 + 0.5 x18003 - x22952 = 0 e68455: - 0.5 b3604 + 0.5 x18004 - x22953 = 0 e68456: - 0.5 b3605 + 0.5 x18005 - x22954 = 0 e68457: - 0.5 b3606 + 0.5 x18006 - x22955 = 0 e68458: - 0.5 b3607 + 0.5 x18007 - x22956 = 0 e68459: - 0.5 b3608 + 0.5 x18008 - x22957 = 0 e68460: - 0.5 b3609 + 0.5 x18009 - x22958 = 0 e68461: - 0.5 b3610 + 0.5 x18010 - x22959 = 0 e68462: - 0.5 b3611 + 0.5 x18011 - x22960 = 0 e68463: - 0.5 b3612 + 0.5 x18012 - x22961 = 0 e68464: - 0.5 b3613 + 0.5 x18013 - x22962 = 0 e68465: - 0.5 b3614 + 0.5 x18014 - x22963 = 0 e68466: - 0.5 b3615 + 0.5 x18015 - x22964 = 0 e68467: - 0.5 b3616 + 0.5 x18016 - x22965 = 0 e68468: - 0.5 b3617 + 0.5 x18017 - x22966 = 0 e68469: - 0.5 b3618 + 0.5 x18018 - x22967 = 0 e68470: - 0.5 b3619 + 0.5 x18019 - x22968 = 0 e68471: - 0.5 b3620 + 0.5 x18020 - x22969 = 0 e68472: - 0.5 b3621 + 0.5 x18021 - x22970 = 0 e68473: - 0.5 b3622 + 0.5 x18022 - x22971 = 0 e68474: - 0.5 b3623 + 0.5 x18023 - x22972 = 0 e68475: - 0.5 b3624 + 0.5 x18024 - x22973 = 0 e68476: - 0.5 b3625 + 0.5 x18025 - x22974 = 0 e68477: - 0.5 b3626 + 0.5 x18026 - x22975 = 0 e68478: - 0.5 b3627 + 0.5 x18027 - x22976 = 0 e68479: - 0.5 b3628 + 0.5 x18028 - x22977 = 0 e68480: - 0.5 b3629 + 0.5 x18029 - x22978 = 0 e68481: - 0.5 b3630 + 0.5 x18030 - x22979 = 0 e68482: - 0.5 b3631 + 0.5 x18031 - x22980 = 0 e68483: - 0.5 b3632 + 0.5 x18032 - x22981 = 0 e68484: - 0.5 b3633 + 0.5 x18033 - x22982 = 0 e68485: - 0.5 b3634 + 0.5 x18034 - x22983 = 0 e68486: - 0.5 b3635 + 0.5 x18035 - x22984 = 0 e68487: - 0.5 b3636 + 0.5 x18036 - x22985 = 0 e68488: - 0.5 b3637 + 0.5 x18037 - x22986 = 0 e68489: - 0.5 b3638 + 0.5 x18038 - x22987 = 0 e68490: - 0.5 b3639 + 0.5 x18039 - x22988 = 0 e68491: - 0.5 b3640 + 0.5 x18040 - x22989 = 0 e68492: - 0.5 b3641 + 0.5 x18041 - x22990 = 0 e68493: - 0.5 b3642 + 0.5 x18042 - x22991 = 0 e68494: - 0.5 b3643 + 0.5 x18043 - x22992 = 0 e68495: - 0.5 b3644 + 0.5 x18044 - x22993 = 0 e68496: - 0.5 b3645 + 0.5 x18045 - x22994 = 0 e68497: - 0.5 b3646 + 0.5 x18046 - x22995 = 0 e68498: - 0.5 b3647 + 0.5 x18047 - x22996 = 0 e68499: - 0.5 b3648 + 0.5 x18048 - x22997 = 0 e68500: - 0.5 b3649 + 0.5 x18049 - x22998 = 0 e68501: - 0.5 b3650 + 0.5 x18050 - x22999 = 0 e68502: - 0.5 b3651 + 0.5 x18051 - x23000 = 0 e68503: - 0.5 b3652 + 0.5 x18052 - x23001 = 0 e68504: - 0.5 b3653 + 0.5 x18053 - x23002 = 0 e68505: - 0.5 b3654 + 0.5 x18054 - x23003 = 0 e68506: - 0.5 b3655 + 0.5 x18055 - x23004 = 0 e68507: - 0.5 b3656 + 0.5 x18056 - x23005 = 0 e68508: - 0.5 b3657 + 0.5 x18057 - x23006 = 0 e68509: - 0.5 b3658 + 0.5 x18058 - x23007 = 0 e68510: - 0.5 b3659 + 0.5 x18059 - x23008 = 0 e68511: - 0.5 b3660 + 0.5 x18060 - x23009 = 0 e68512: - 0.5 b3661 + 0.5 x18061 - x23010 = 0 e68513: - 0.5 b3662 + 0.5 x18062 - x23011 = 0 e68514: - 0.5 b3663 + 0.5 x18063 - x23012 = 0 e68515: - 0.5 b3664 + 0.5 x18064 - x23013 = 0 e68516: - 0.5 b3665 + 0.5 x18065 - x23014 = 0 e68517: - 0.5 b3666 + 0.5 x18066 - x23015 = 0 e68518: - 0.5 b3667 + 0.5 x18067 - x23016 = 0 e68519: - 0.5 b3668 + 0.5 x18068 - x23017 = 0 e68520: - 0.5 b3669 + 0.5 x18069 - x23018 = 0 e68521: - 0.5 b3670 + 0.5 x18070 - x23019 = 0 e68522: - 0.5 b3671 + 0.5 x18071 - x23020 = 0 e68523: - 0.5 b3672 + 0.5 x18072 - x23021 = 0 e68524: - 0.5 b3673 + 0.5 x18073 - x23022 = 0 e68525: - 0.5 b3674 + 0.5 x18074 - x23023 = 0 e68526: - 0.5 b3675 + 0.5 x18075 - x23024 = 0 e68527: - 0.5 b3676 + 0.5 x18076 - x23025 = 0 e68528: - 0.5 b3677 + 0.5 x18077 - x23026 = 0 e68529: - 0.5 b3678 + 0.5 x18078 - x23027 = 0 e68530: - 0.5 b3679 + 0.5 x18079 - x23028 = 0 e68531: - 0.5 b3680 + 0.5 x18080 - x23029 = 0 e68532: - 0.5 b3681 + 0.5 x18081 - x23030 = 0 e68533: - 0.5 b3682 + 0.5 x18082 - x23031 = 0 e68534: - 0.5 b3683 + 0.5 x18083 - x23032 = 0 e68535: - 0.5 b3684 + 0.5 x18084 - x23033 = 0 e68536: - 0.5 b3685 + 0.5 x18085 - x23034 = 0 e68537: - 0.5 b3686 + 0.5 x18086 - x23035 = 0 e68538: - 0.5 b3687 + 0.5 x18087 - x23036 = 0 e68539: - 0.5 b3688 + 0.5 x18088 - x23037 = 0 e68540: - 0.5 b3689 + 0.5 x18089 - x23038 = 0 e68541: - 0.5 b3690 + 0.5 x18090 - x23039 = 0 e68542: - 0.5 b3691 + 0.5 x18091 - x23040 = 0 e68543: - 0.5 b3692 + 0.5 x18092 - x23041 = 0 e68544: - 0.5 b3693 + 0.5 x18093 - x23042 = 0 e68545: - 0.5 b3694 + 0.5 x18094 - x23043 = 0 e68546: - 0.5 b3695 + 0.5 x18095 - x23044 = 0 e68547: - 0.5 b3696 + 0.5 x18096 - x23045 = 0 e68548: - 0.5 b3697 + 0.5 x18097 - x23046 = 0 e68549: - 0.5 b3698 + 0.5 x18098 - x23047 = 0 e68550: - 0.5 b3699 + 0.5 x18099 - x23048 = 0 e68551: - 0.5 b3700 + 0.5 x18100 - x23049 = 0 e68552: - 0.5 b3701 + 0.5 x18101 - x23050 = 0 e68553: - 0.5 b3702 + 0.5 x18102 - x23051 = 0 e68554: - 0.5 b3703 + 0.5 x18103 - x23052 = 0 e68555: - 0.5 b3704 + 0.5 x18104 - x23053 = 0 e68556: - 0.5 b3705 + 0.5 x18105 - x23054 = 0 e68557: - 0.5 b3706 + 0.5 x18106 - x23055 = 0 e68558: - 0.5 b3707 + 0.5 x18107 - x23056 = 0 e68559: - 0.5 b3708 + 0.5 x18108 - x23057 = 0 e68560: - 0.5 b3709 + 0.5 x18109 - x23058 = 0 e68561: - 0.5 b3710 + 0.5 x18110 - x23059 = 0 e68562: - 0.5 b3711 + 0.5 x18111 - x23060 = 0 e68563: - 0.5 b3712 + 0.5 x18112 - x23061 = 0 e68564: - 0.5 b3713 + 0.5 x18113 - x23062 = 0 e68565: - 0.5 b3714 + 0.5 x18114 - x23063 = 0 e68566: - 0.5 b3715 + 0.5 x18115 - x23064 = 0 e68567: - 0.5 b3716 + 0.5 x18116 - x23065 = 0 e68568: - 0.5 b3717 + 0.5 x18117 - x23066 = 0 e68569: - 0.5 b3718 + 0.5 x18118 - x23067 = 0 e68570: - 0.5 b3719 + 0.5 x18119 - x23068 = 0 e68571: - 0.5 b3720 + 0.5 x18120 - x23069 = 0 e68572: - 0.5 b3721 + 0.5 x18121 - x23070 = 0 e68573: - 0.5 b3722 + 0.5 x18122 - x23071 = 0 e68574: - 0.5 b3723 + 0.5 x18123 - x23072 = 0 e68575: - 0.5 b3724 + 0.5 x18124 - x23073 = 0 e68576: - 0.5 b3725 + 0.5 x18125 - x23074 = 0 e68577: - 0.5 b3726 + 0.5 x18126 - x23075 = 0 e68578: - 0.5 b3727 + 0.5 x18127 - x23076 = 0 e68579: - 0.5 b3728 + 0.5 x18128 - x23077 = 0 e68580: - 0.5 b3729 + 0.5 x18129 - x23078 = 0 e68581: - 0.5 b3730 + 0.5 x18130 - x23079 = 0 e68582: - 0.5 b3731 + 0.5 x18131 - x23080 = 0 e68583: - 0.5 b3732 + 0.5 x18132 - x23081 = 0 e68584: - 0.5 b3733 + 0.5 x18133 - x23082 = 0 e68585: - 0.5 b3734 + 0.5 x18134 - x23083 = 0 e68586: - 0.5 b3735 + 0.5 x18135 - x23084 = 0 e68587: - 0.5 b3736 + 0.5 x18136 - x23085 = 0 e68588: - 0.5 b3737 + 0.5 x18137 - x23086 = 0 e68589: - 0.5 b3738 + 0.5 x18138 - x23087 = 0 e68590: - 0.5 b3739 + 0.5 x18139 - x23088 = 0 e68591: - 0.5 b3740 + 0.5 x18140 - x23089 = 0 e68592: - 0.5 b3741 + 0.5 x18141 - x23090 = 0 e68593: - 0.5 b3742 + 0.5 x18142 - x23091 = 0 e68594: - 0.5 b3743 + 0.5 x18143 - x23092 = 0 e68595: - 0.5 b3744 + 0.5 x18144 - x23093 = 0 e68596: - 0.5 b3745 + 0.5 x18145 - x23094 = 0 e68597: - 0.5 b3746 + 0.5 x18146 - x23095 = 0 e68598: - 0.5 b3747 + 0.5 x18147 - x23096 = 0 e68599: - 0.5 b3748 + 0.5 x18148 - x23097 = 0 e68600: - 0.5 b3749 + 0.5 x18149 - x23098 = 0 e68601: - 0.5 b3750 + 0.5 x18150 - x23099 = 0 e68602: - 0.5 b3751 + 0.5 x18151 - x23100 = 0 e68603: - 0.5 b3752 + 0.5 x18152 - x23101 = 0 e68604: - 0.5 b3753 + 0.5 x18153 - x23102 = 0 e68605: - 0.5 b3754 + 0.5 x18154 - x23103 = 0 e68606: - 0.5 b3755 + 0.5 x18155 - x23104 = 0 e68607: - 0.5 b3756 + 0.5 x18156 - x23105 = 0 e68608: - 0.5 b3757 + 0.5 x18157 - x23106 = 0 e68609: - 0.5 b3758 + 0.5 x18158 - x23107 = 0 e68610: - 0.5 b3759 + 0.5 x18159 - x23108 = 0 e68611: - 0.5 b3760 + 0.5 x18160 - x23109 = 0 e68612: - 0.5 b3761 + 0.5 x18161 - x23110 = 0 e68613: - 0.5 b3762 + 0.5 x18162 - x23111 = 0 e68614: - 0.5 b3763 + 0.5 x18163 - x23112 = 0 e68615: - 0.5 b3764 + 0.5 x18164 - x23113 = 0 e68616: - 0.5 b3765 + 0.5 x18165 - x23114 = 0 e68617: - 0.5 b3766 + 0.5 x18166 - x23115 = 0 e68618: - 0.5 b3767 + 0.5 x18167 - x23116 = 0 e68619: - 0.5 b3768 + 0.5 x18168 - x23117 = 0 e68620: - 0.5 b3769 + 0.5 x18169 - x23118 = 0 e68621: - 0.5 b3770 + 0.5 x18170 - x23119 = 0 e68622: - 0.5 b3771 + 0.5 x18171 - x23120 = 0 e68623: - 0.5 b3772 + 0.5 x18172 - x23121 = 0 e68624: - 0.5 b3773 + 0.5 x18173 - x23122 = 0 e68625: - 0.5 b3774 + 0.5 x18174 - x23123 = 0 e68626: - 0.5 b3775 + 0.5 x18175 - x23124 = 0 e68627: - 0.5 b3776 + 0.5 x18176 - x23125 = 0 e68628: - 0.5 b3777 + 0.5 x18177 - x23126 = 0 e68629: - 0.5 b3778 + 0.5 x18178 - x23127 = 0 e68630: - 0.5 b3779 + 0.5 x18179 - x23128 = 0 e68631: - 0.5 b3780 + 0.5 x18180 - x23129 = 0 e68632: - 0.5 b3781 + 0.5 x18181 - x23130 = 0 e68633: - 0.5 b3782 + 0.5 x18182 - x23131 = 0 e68634: - 0.5 b3783 + 0.5 x18183 - x23132 = 0 e68635: - 0.5 b3784 + 0.5 x18184 - x23133 = 0 e68636: - 0.5 b3785 + 0.5 x18185 - x23134 = 0 e68637: - 0.5 b3786 + 0.5 x18186 - x23135 = 0 e68638: - 0.5 b3787 + 0.5 x18187 - x23136 = 0 e68639: - 0.5 b3788 + 0.5 x18188 - x23137 = 0 e68640: - 0.5 b3789 + 0.5 x18189 - x23138 = 0 e68641: - 0.5 b3790 + 0.5 x18190 - x23139 = 0 e68642: - 0.5 b3791 + 0.5 x18191 - x23140 = 0 e68643: - 0.5 b3792 + 0.5 x18192 - x23141 = 0 e68644: - 0.5 b3793 + 0.5 x18193 - x23142 = 0 e68645: - 0.5 b3794 + 0.5 x18194 - x23143 = 0 e68646: - 0.5 b3795 + 0.5 x18195 - x23144 = 0 e68647: - 0.5 b3796 + 0.5 x18196 - x23145 = 0 e68648: - 0.5 b3797 + 0.5 x18197 - x23146 = 0 e68649: - 0.5 b3798 + 0.5 x18198 - x23147 = 0 e68650: - 0.5 b3799 + 0.5 x18199 - x23148 = 0 e68651: - 0.5 b3800 + 0.5 x18200 - x23149 = 0 e68652: - 0.5 b3801 + 0.5 x18201 - x23150 = 0 e68653: - 0.5 b3802 + 0.5 x18202 - x23151 = 0 e68654: - 0.5 b3803 + 0.5 x18203 - x23152 = 0 e68655: - 0.5 b3804 + 0.5 x18204 - x23153 = 0 e68656: - 0.5 b3805 + 0.5 x18205 - x23154 = 0 e68657: - 0.5 b3806 + 0.5 x18206 - x23155 = 0 e68658: - 0.5 b3807 + 0.5 x18207 - x23156 = 0 e68659: - 0.5 b3808 + 0.5 x18208 - x23157 = 0 e68660: - 0.5 b3809 + 0.5 x18209 - x23158 = 0 e68661: - 0.5 b3810 + 0.5 x18210 - x23159 = 0 e68662: - 0.5 b3811 + 0.5 x18211 - x23160 = 0 e68663: - 0.5 b3812 + 0.5 x18212 - x23161 = 0 e68664: - 0.5 b3813 + 0.5 x18213 - x23162 = 0 e68665: - 0.5 b3814 + 0.5 x18214 - x23163 = 0 e68666: - 0.5 b3815 + 0.5 x18215 - x23164 = 0 e68667: - 0.5 b3816 + 0.5 x18216 - x23165 = 0 e68668: - 0.5 b3817 + 0.5 x18217 - x23166 = 0 e68669: - 0.5 b3818 + 0.5 x18218 - x23167 = 0 e68670: - 0.5 b3819 + 0.5 x18219 - x23168 = 0 e68671: - 0.5 b3820 + 0.5 x18220 - x23169 = 0 e68672: - 0.5 b3821 + 0.5 x18221 - x23170 = 0 e68673: - 0.5 b3822 + 0.5 x18222 - x23171 = 0 e68674: - 0.5 b3823 + 0.5 x18223 - x23172 = 0 e68675: - 0.5 b3824 + 0.5 x18224 - x23173 = 0 e68676: - 0.5 b3825 + 0.5 x18225 - x23174 = 0 e68677: - 0.5 b3826 + 0.5 x18226 - x23175 = 0 e68678: - 0.5 b3827 + 0.5 x18227 - x23176 = 0 e68679: - 0.5 b3828 + 0.5 x18228 - x23177 = 0 e68680: - 0.5 b3829 + 0.5 x18229 - x23178 = 0 e68681: - 0.5 b3830 + 0.5 x18230 - x23179 = 0 e68682: - 0.5 b3831 + 0.5 x18231 - x23180 = 0 e68683: - 0.5 b3832 + 0.5 x18232 - x23181 = 0 e68684: - 0.5 b3833 + 0.5 x18233 - x23182 = 0 e68685: - 0.5 b3834 + 0.5 x18234 - x23183 = 0 e68686: - 0.5 b3835 + 0.5 x18235 - x23184 = 0 e68687: - 0.5 b3836 + 0.5 x18236 - x23185 = 0 e68688: - 0.5 b3837 + 0.5 x18237 - x23186 = 0 e68689: - 0.5 b3838 + 0.5 x18238 - x23187 = 0 e68690: - 0.5 b3839 + 0.5 x18239 - x23188 = 0 e68691: - 0.5 b3840 + 0.5 x18240 - x23189 = 0 e68692: - 0.5 b3841 + 0.5 x18241 - x23190 = 0 e68693: - 0.5 b3842 + 0.5 x18242 - x23191 = 0 e68694: - 0.5 b3843 + 0.5 x18243 - x23192 = 0 e68695: - 0.5 b3844 + 0.5 x18244 - x23193 = 0 e68696: - 0.5 b3845 + 0.5 x18245 - x23194 = 0 e68697: - 0.5 b3846 + 0.5 x18246 - x23195 = 0 e68698: - 0.5 b3847 + 0.5 x18247 - x23196 = 0 e68699: - 0.5 b3848 + 0.5 x18248 - x23197 = 0 e68700: - 0.5 b3849 + 0.5 x18249 - x23198 = 0 e68701: - 0.5 b3850 + 0.5 x18250 - x23199 = 0 e68702: - 0.5 b3851 + 0.5 x18251 - x23200 = 0 e68703: - 0.5 b3852 + 0.5 x18252 - x23201 = 0 e68704: - 0.5 b3853 + 0.5 x18253 - x23202 = 0 e68705: - 0.5 b3854 + 0.5 x18254 - x23203 = 0 e68706: - 0.5 b3855 + 0.5 x18255 - x23204 = 0 e68707: - 0.5 b3856 + 0.5 x18256 - x23205 = 0 e68708: - 0.5 b3857 + 0.5 x18257 - x23206 = 0 e68709: - 0.5 b3858 + 0.5 x18258 - x23207 = 0 e68710: - 0.5 b3859 + 0.5 x18259 - x23208 = 0 e68711: - 0.5 b3860 + 0.5 x18260 - x23209 = 0 e68712: - 0.5 b3861 + 0.5 x18261 - x23210 = 0 e68713: - 0.5 b3862 + 0.5 x18262 - x23211 = 0 e68714: - 0.5 b3863 + 0.5 x18263 - x23212 = 0 e68715: - 0.5 b3864 + 0.5 x18264 - x23213 = 0 e68716: - 0.5 b3865 + 0.5 x18265 - x23214 = 0 e68717: - 0.5 b3866 + 0.5 x18266 - x23215 = 0 e68718: - 0.5 b3867 + 0.5 x18267 - x23216 = 0 e68719: - 0.5 b3868 + 0.5 x18268 - x23217 = 0 e68720: - 0.5 b3869 + 0.5 x18269 - x23218 = 0 e68721: - 0.5 b3870 + 0.5 x18270 - x23219 = 0 e68722: - 0.5 b3871 + 0.5 x18271 - x23220 = 0 e68723: - 0.5 b3872 + 0.5 x18272 - x23221 = 0 e68724: - 0.5 b3873 + 0.5 x18273 - x23222 = 0 e68725: - 0.5 b3874 + 0.5 x18274 - x23223 = 0 e68726: - 0.5 b3875 + 0.5 x18275 - x23224 = 0 e68727: - 0.5 b3876 + 0.5 x18276 - x23225 = 0 e68728: - 0.5 b3877 + 0.5 x18277 - x23226 = 0 e68729: - 0.5 b3878 + 0.5 x18278 - x23227 = 0 e68730: - 0.5 b3879 + 0.5 x18279 - x23228 = 0 e68731: - 0.5 b3880 + 0.5 x18280 - x23229 = 0 e68732: - 0.5 b3881 + 0.5 x18281 - x23230 = 0 e68733: - 0.5 b3882 + 0.5 x18282 - x23231 = 0 e68734: - 0.5 b3883 + 0.5 x18283 - x23232 = 0 e68735: - 0.5 b3884 + 0.5 x18284 - x23233 = 0 e68736: - 0.5 b3885 + 0.5 x18285 - x23234 = 0 e68737: - 0.5 b3886 + 0.5 x18286 - x23235 = 0 e68738: - 0.5 b3887 + 0.5 x18287 - x23236 = 0 e68739: - 0.5 b3888 + 0.5 x18288 - x23237 = 0 e68740: - 0.5 b3889 + 0.5 x18289 - x23238 = 0 e68741: - 0.5 b3890 + 0.5 x18290 - x23239 = 0 e68742: - 0.5 b3891 + 0.5 x18291 - x23240 = 0 e68743: - 0.5 b3892 + 0.5 x18292 - x23241 = 0 e68744: - 0.5 b3893 + 0.5 x18293 - x23242 = 0 e68745: - 0.5 b3894 + 0.5 x18294 - x23243 = 0 e68746: - 0.5 b3895 + 0.5 x18295 - x23244 = 0 e68747: - 0.5 b3896 + 0.5 x18296 - x23245 = 0 e68748: - 0.5 b3897 + 0.5 x18297 - x23246 = 0 e68749: - 0.5 b3898 + 0.5 x18298 - x23247 = 0 e68750: - 0.5 b3899 + 0.5 x18299 - x23248 = 0 e68751: - 0.5 b3900 + 0.5 x18300 - x23249 = 0 e68752: - 0.5 b3901 + 0.5 x18301 - x23250 = 0 e68753: - 0.5 b3902 + 0.5 x18302 - x23251 = 0 e68754: - 0.5 b3903 + 0.5 x18303 - x23252 = 0 e68755: - 0.5 b3904 + 0.5 x18304 - x23253 = 0 e68756: - 0.5 b3905 + 0.5 x18305 - x23254 = 0 e68757: - 0.5 b3906 + 0.5 x18306 - x23255 = 0 e68758: - 0.5 b3907 + 0.5 x18307 - x23256 = 0 e68759: - 0.5 b3908 + 0.5 x18308 - x23257 = 0 e68760: - 0.5 b3909 + 0.5 x18309 - x23258 = 0 e68761: - 0.5 b3910 + 0.5 x18310 - x23259 = 0 e68762: - 0.5 b3911 + 0.5 x18311 - x23260 = 0 e68763: - 0.5 b3912 + 0.5 x18312 - x23261 = 0 e68764: - 0.5 b3913 + 0.5 x18313 - x23262 = 0 e68765: - 0.5 b3914 + 0.5 x18314 - x23263 = 0 e68766: - 0.5 b3915 + 0.5 x18315 - x23264 = 0 e68767: - 0.5 b3916 + 0.5 x18316 - x23265 = 0 e68768: - 0.5 b3917 + 0.5 x18317 - x23266 = 0 e68769: - 0.5 b3918 + 0.5 x18318 - x23267 = 0 e68770: - 0.5 b3919 + 0.5 x18319 - x23268 = 0 e68771: - 0.5 b3920 + 0.5 x18320 - x23269 = 0 e68772: - 0.5 b3921 + 0.5 x18321 - x23270 = 0 e68773: - 0.5 b3922 + 0.5 x18322 - x23271 = 0 e68774: - 0.5 b3923 + 0.5 x18323 - x23272 = 0 e68775: - 0.5 b3924 + 0.5 x18324 - x23273 = 0 e68776: - 0.5 b3925 + 0.5 x18325 - x23274 = 0 e68777: - 0.5 b3926 + 0.5 x18326 - x23275 = 0 e68778: - 0.5 b3927 + 0.5 x18327 - x23276 = 0 e68779: - 0.5 b3928 + 0.5 x18328 - x23277 = 0 e68780: - 0.5 b3929 + 0.5 x18329 - x23278 = 0 e68781: - 0.5 b3930 + 0.5 x18330 - x23279 = 0 e68782: - 0.5 b3931 + 0.5 x18331 - x23280 = 0 e68783: - 0.5 b3932 + 0.5 x18332 - x23281 = 0 e68784: - 0.5 b3933 + 0.5 x18333 - x23282 = 0 e68785: - 0.5 b3934 + 0.5 x18334 - x23283 = 0 e68786: - 0.5 b3935 + 0.5 x18335 - x23284 = 0 e68787: - 0.5 b3936 + 0.5 x18336 - x23285 = 0 e68788: - 0.5 b3937 + 0.5 x18337 - x23286 = 0 e68789: - 0.5 b3938 + 0.5 x18338 - x23287 = 0 e68790: - 0.5 b3939 + 0.5 x18339 - x23288 = 0 e68791: - 0.5 b3940 + 0.5 x18340 - x23289 = 0 e68792: - 0.5 b3941 + 0.5 x18341 - x23290 = 0 e68793: - 0.5 b3942 + 0.5 x18342 - x23291 = 0 e68794: - 0.5 b3943 + 0.5 x18343 - x23292 = 0 e68795: - 0.5 b3944 + 0.5 x18344 - x23293 = 0 e68796: - 0.5 b3945 + 0.5 x18345 - x23294 = 0 e68797: - 0.5 b3946 + 0.5 x18346 - x23295 = 0 e68798: - 0.5 b3947 + 0.5 x18347 - x23296 = 0 e68799: - 0.5 b3948 + 0.5 x18348 - x23297 = 0 e68800: - 0.5 b3949 + 0.5 x18349 - x23298 = 0 e68801: - 0.5 b3950 + 0.5 x18350 - x23299 = 0 e68802: - 0.5 b3951 + 0.5 x18351 - x23300 = 0 e68803: - 0.5 b3952 + 0.5 x18352 - x23301 = 0 e68804: - 0.5 b3953 + 0.5 x18353 - x23302 = 0 e68805: - 0.5 b3954 + 0.5 x18354 - x23303 = 0 e68806: - 0.5 b3955 + 0.5 x18355 - x23304 = 0 e68807: - 0.5 b3956 + 0.5 x18356 - x23305 = 0 e68808: - 0.5 b3957 + 0.5 x18357 - x23306 = 0 e68809: - 0.5 b3958 + 0.5 x18358 - x23307 = 0 e68810: - 0.5 b3959 + 0.5 x18359 - x23308 = 0 e68811: - 0.5 b3960 + 0.5 x18360 - x23309 = 0 e68812: - 0.5 b3961 + 0.5 x18361 - x23310 = 0 e68813: - 0.5 b3962 + 0.5 x18362 - x23311 = 0 e68814: - 0.5 b3963 + 0.5 x18363 - x23312 = 0 e68815: - 0.5 b3964 + 0.5 x18364 - x23313 = 0 e68816: - 0.5 b3965 + 0.5 x18365 - x23314 = 0 e68817: - 0.5 b3966 + 0.5 x18366 - x23315 = 0 e68818: - 0.5 b3967 + 0.5 x18367 - x23316 = 0 e68819: - 0.5 b3968 + 0.5 x18368 - x23317 = 0 e68820: - 0.5 b3969 + 0.5 x18369 - x23318 = 0 e68821: - 0.5 b3970 + 0.5 x18370 - x23319 = 0 e68822: - 0.5 b3971 + 0.5 x18371 - x23320 = 0 e68823: - 0.5 b3972 + 0.5 x18372 - x23321 = 0 e68824: - 0.5 b3973 + 0.5 x18373 - x23322 = 0 e68825: - 0.5 b3974 + 0.5 x18374 - x23323 = 0 e68826: - 0.5 b3975 + 0.5 x18375 - x23324 = 0 e68827: - 0.5 b3976 + 0.5 x18376 - x23325 = 0 e68828: - 0.5 b3977 + 0.5 x18377 - x23326 = 0 e68829: - 0.5 b3978 + 0.5 x18378 - x23327 = 0 e68830: - 0.5 b3979 + 0.5 x18379 - x23328 = 0 e68831: - 0.5 b3980 + 0.5 x18380 - x23329 = 0 e68832: - 0.5 b3981 + 0.5 x18381 - x23330 = 0 e68833: - 0.5 b3982 + 0.5 x18382 - x23331 = 0 e68834: - 0.5 b3983 + 0.5 x18383 - x23332 = 0 e68835: - 0.5 b3984 + 0.5 x18384 - x23333 = 0 e68836: - 0.5 b3985 + 0.5 x18385 - x23334 = 0 e68837: - 0.5 b3986 + 0.5 x18386 - x23335 = 0 e68838: - 0.5 b3987 + 0.5 x18387 - x23336 = 0 e68839: - 0.5 b3988 + 0.5 x18388 - x23337 = 0 e68840: - 0.5 b3989 + 0.5 x18389 - x23338 = 0 e68841: - 0.5 b3990 + 0.5 x18390 - x23339 = 0 e68842: - 0.5 b3991 + 0.5 x18391 - x23340 = 0 e68843: - 0.5 b3992 + 0.5 x18392 - x23341 = 0 e68844: - 0.5 b3993 + 0.5 x18393 - x23342 = 0 e68845: - 0.5 b3994 + 0.5 x18394 - x23343 = 0 e68846: - 0.5 b3995 + 0.5 x18395 - x23344 = 0 e68847: - 0.5 b3996 + 0.5 x18396 - x23345 = 0 e68848: - 0.5 b3997 + 0.5 x18397 - x23346 = 0 e68849: - 0.5 b3998 + 0.5 x18398 - x23347 = 0 e68850: - 0.5 b3999 + 0.5 x18399 - x23348 = 0 e68851: - 0.5 b4000 + 0.5 x18400 - x23349 = 0 e68852: - 0.5 b4001 + 0.5 x18401 - x23350 = 0 e68853: - 0.5 b4002 + 0.5 x18402 - x23351 = 0 e68854: - 0.5 b4003 + 0.5 x18403 - x23352 = 0 e68855: - 0.5 b4004 + 0.5 x18404 - x23353 = 0 e68856: - 0.5 b4005 + 0.5 x18405 - x23354 = 0 e68857: - 0.5 b4006 + 0.5 x18406 - x23355 = 0 e68858: - 0.5 b4007 + 0.5 x18407 - x23356 = 0 e68859: - 0.5 b4008 + 0.5 x18408 - x23357 = 0 e68860: - 0.5 b4009 + 0.5 x18409 - x23358 = 0 e68861: - 0.5 b4010 + 0.5 x18410 - x23359 = 0 e68862: - 0.5 b4011 + 0.5 x18411 - x23360 = 0 e68863: - 0.5 b4012 + 0.5 x18412 - x23361 = 0 e68864: - 0.5 b4013 + 0.5 x18413 - x23362 = 0 e68865: - 0.5 b4014 + 0.5 x18414 - x23363 = 0 e68866: - 0.5 b4015 + 0.5 x18415 - x23364 = 0 e68867: - 0.5 b4016 + 0.5 x18416 - x23365 = 0 e68868: - 0.5 b4017 + 0.5 x18417 - x23366 = 0 e68869: - 0.5 b4018 + 0.5 x18418 - x23367 = 0 e68870: - 0.5 b4019 + 0.5 x18419 - x23368 = 0 e68871: - 0.5 b4020 + 0.5 x18420 - x23369 = 0 e68872: - 0.5 b4021 + 0.5 x18421 - x23370 = 0 e68873: - 0.5 b4022 + 0.5 x18422 - x23371 = 0 e68874: - 0.5 b4023 + 0.5 x18423 - x23372 = 0 e68875: - 0.5 b4024 + 0.5 x18424 - x23373 = 0 e68876: - 0.5 b4025 + 0.5 x18425 - x23374 = 0 e68877: - 0.5 b4026 + 0.5 x18426 - x23375 = 0 e68878: - 0.5 b4027 + 0.5 x18427 - x23376 = 0 e68879: - 0.5 b4028 + 0.5 x18428 - x23377 = 0 e68880: - 0.5 b4029 + 0.5 x18429 - x23378 = 0 e68881: - 0.5 b4030 + 0.5 x18430 - x23379 = 0 e68882: - 0.5 b4031 + 0.5 x18431 - x23380 = 0 e68883: - 0.5 b4032 + 0.5 x18432 - x23381 = 0 e68884: - 0.5 b4033 + 0.5 x18433 - x23382 = 0 e68885: - 0.5 b4034 + 0.5 x18434 - x23383 = 0 e68886: - 0.5 b4035 + 0.5 x18435 - x23384 = 0 e68887: - 0.5 b4036 + 0.5 x18436 - x23385 = 0 e68888: - 0.5 b4037 + 0.5 x18437 - x23386 = 0 e68889: - 0.5 b4038 + 0.5 x18438 - x23387 = 0 e68890: - 0.5 b4039 + 0.5 x18439 - x23388 = 0 e68891: - 0.5 b4040 + 0.5 x18440 - x23389 = 0 e68892: - 0.5 b4041 + 0.5 x18441 - x23390 = 0 e68893: - 0.5 b4042 + 0.5 x18442 - x23391 = 0 e68894: - 0.5 b4043 + 0.5 x18443 - x23392 = 0 e68895: - 0.5 b4044 + 0.5 x18444 - x23393 = 0 e68896: - 0.5 b4045 + 0.5 x18445 - x23394 = 0 e68897: - 0.5 b4046 + 0.5 x18446 - x23395 = 0 e68898: - 0.5 b4047 + 0.5 x18447 - x23396 = 0 e68899: - 0.5 b4048 + 0.5 x18448 - x23397 = 0 e68900: - 0.5 b4049 + 0.5 x18449 - x23398 = 0 e68901: - 0.5 b4050 + 0.5 x18450 - x23399 = 0 e68902: - 0.5 b4051 + 0.5 x18451 - x23400 = 0 e68903: - 0.5 b4052 + 0.5 x18452 - x23401 = 0 e68904: - 0.5 b4053 + 0.5 x18453 - x23402 = 0 e68905: - 0.5 b4054 + 0.5 x18454 - x23403 = 0 e68906: - 0.5 b4055 + 0.5 x18455 - x23404 = 0 e68907: - 0.5 b4056 + 0.5 x18456 - x23405 = 0 e68908: - 0.5 b4057 + 0.5 x18457 - x23406 = 0 e68909: - 0.5 b4058 + 0.5 x18458 - x23407 = 0 e68910: - 0.5 b4059 + 0.5 x18459 - x23408 = 0 e68911: - 0.5 b4060 + 0.5 x18460 - x23409 = 0 e68912: - 0.5 b4061 + 0.5 x18461 - x23410 = 0 e68913: - 0.5 b4062 + 0.5 x18462 - x23411 = 0 e68914: - 0.5 b4063 + 0.5 x18463 - x23412 = 0 e68915: - 0.5 b4064 + 0.5 x18464 - x23413 = 0 e68916: - 0.5 b4065 + 0.5 x18465 - x23414 = 0 e68917: - 0.5 b4066 + 0.5 x18466 - x23415 = 0 e68918: - 0.5 b4067 + 0.5 x18467 - x23416 = 0 e68919: - 0.5 b4068 + 0.5 x18468 - x23417 = 0 e68920: - 0.5 b4069 + 0.5 x18469 - x23418 = 0 e68921: - 0.5 b4070 + 0.5 x18470 - x23419 = 0 e68922: - 0.5 b4071 + 0.5 x18471 - x23420 = 0 e68923: - 0.5 b4072 + 0.5 x18472 - x23421 = 0 e68924: - 0.5 b4073 + 0.5 x18473 - x23422 = 0 e68925: - 0.5 b4074 + 0.5 x18474 - x23423 = 0 e68926: - 0.5 b4075 + 0.5 x18475 - x23424 = 0 e68927: - 0.5 b4076 + 0.5 x18476 - x23425 = 0 e68928: - 0.5 b4077 + 0.5 x18477 - x23426 = 0 e68929: - 0.5 b4078 + 0.5 x18478 - x23427 = 0 e68930: - 0.5 b4079 + 0.5 x18479 - x23428 = 0 e68931: - 0.5 b4080 + 0.5 x18480 - x23429 = 0 e68932: - 0.5 b4081 + 0.5 x18481 - x23430 = 0 e68933: - 0.5 b4082 + 0.5 x18482 - x23431 = 0 e68934: - 0.5 b4083 + 0.5 x18483 - x23432 = 0 e68935: - 0.5 b4084 + 0.5 x18484 - x23433 = 0 e68936: - 0.5 b4085 + 0.5 x18485 - x23434 = 0 e68937: - 0.5 b4086 + 0.5 x18486 - x23435 = 0 e68938: - 0.5 b4087 + 0.5 x18487 - x23436 = 0 e68939: - 0.5 b4088 + 0.5 x18488 - x23437 = 0 e68940: - 0.5 b4089 + 0.5 x18489 - x23438 = 0 e68941: - 0.5 b4090 + 0.5 x18490 - x23439 = 0 e68942: - 0.5 b4091 + 0.5 x18491 - x23440 = 0 e68943: - 0.5 b4092 + 0.5 x18492 - x23441 = 0 e68944: - 0.5 b4093 + 0.5 x18493 - x23442 = 0 e68945: - 0.5 b4094 + 0.5 x18494 - x23443 = 0 e68946: - 0.5 b4095 + 0.5 x18495 - x23444 = 0 e68947: - 0.5 b4096 + 0.5 x18496 - x23445 = 0 e68948: - 0.5 b4097 + 0.5 x18497 - x23446 = 0 e68949: - 0.5 b4098 + 0.5 x18498 - x23447 = 0 e68950: - 0.5 b4099 + 0.5 x18499 - x23448 = 0 e68951: - 0.5 b4100 + 0.5 x18500 - x23449 = 0 e68952: - 0.5 b4101 + 0.5 x18501 - x23450 = 0 e68953: - 0.5 b4102 + 0.5 x18502 - x23451 = 0 e68954: - 0.5 b4103 + 0.5 x18503 - x23452 = 0 e68955: - 0.5 b4104 + 0.5 x18504 - x23453 = 0 e68956: - 0.5 b4105 + 0.5 x18505 - x23454 = 0 e68957: - 0.5 b4106 + 0.5 x18506 - x23455 = 0 e68958: - 0.5 b4107 + 0.5 x18507 - x23456 = 0 e68959: - 0.5 b4108 + 0.5 x18508 - x23457 = 0 e68960: - 0.5 b4109 + 0.5 x18509 - x23458 = 0 e68961: - 0.5 b4110 + 0.5 x18510 - x23459 = 0 e68962: - 0.5 b4111 + 0.5 x18511 - x23460 = 0 e68963: - 0.5 b4112 + 0.5 x18512 - x23461 = 0 e68964: - 0.5 b4113 + 0.5 x18513 - x23462 = 0 e68965: - 0.5 b4114 + 0.5 x18514 - x23463 = 0 e68966: - 0.5 b4115 + 0.5 x18515 - x23464 = 0 e68967: - 0.5 b4116 + 0.5 x18516 - x23465 = 0 e68968: - 0.5 b4117 + 0.5 x18517 - x23466 = 0 e68969: - 0.5 b4118 + 0.5 x18518 - x23467 = 0 e68970: - 0.5 b4119 + 0.5 x18519 - x23468 = 0 e68971: - 0.5 b4120 + 0.5 x18520 - x23469 = 0 e68972: - 0.5 b4121 + 0.5 x18521 - x23470 = 0 e68973: - 0.5 b4122 + 0.5 x18522 - x23471 = 0 e68974: - 0.5 b4123 + 0.5 x18523 - x23472 = 0 e68975: - 0.5 b4124 + 0.5 x18524 - x23473 = 0 e68976: - 0.5 b4125 + 0.5 x18525 - x23474 = 0 e68977: - 0.5 b4126 + 0.5 x18526 - x23475 = 0 e68978: - 0.5 b4127 + 0.5 x18527 - x23476 = 0 e68979: - 0.5 b4128 + 0.5 x18528 - x23477 = 0 e68980: - 0.5 b4129 + 0.5 x18529 - x23478 = 0 e68981: - 0.5 b4130 + 0.5 x18530 - x23479 = 0 e68982: - 0.5 b4131 + 0.5 x18531 - x23480 = 0 e68983: - 0.5 b4132 + 0.5 x18532 - x23481 = 0 e68984: - 0.5 b4133 + 0.5 x18533 - x23482 = 0 e68985: - 0.5 b4134 + 0.5 x18534 - x23483 = 0 e68986: - 0.5 b4135 + 0.5 x18535 - x23484 = 0 e68987: - 0.5 b4136 + 0.5 x18536 - x23485 = 0 e68988: - 0.5 b4137 + 0.5 x18537 - x23486 = 0 e68989: - 0.5 b4138 + 0.5 x18538 - x23487 = 0 e68990: - 0.5 b4139 + 0.5 x18539 - x23488 = 0 e68991: - 0.5 b4140 + 0.5 x18540 - x23489 = 0 e68992: - 0.5 b4141 + 0.5 x18541 - x23490 = 0 e68993: - 0.5 b4142 + 0.5 x18542 - x23491 = 0 e68994: - 0.5 b4143 + 0.5 x18543 - x23492 = 0 e68995: - 0.5 b4144 + 0.5 x18544 - x23493 = 0 e68996: - 0.5 b4145 + 0.5 x18545 - x23494 = 0 e68997: - 0.5 b4146 + 0.5 x18546 - x23495 = 0 e68998: - 0.5 b4147 + 0.5 x18547 - x23496 = 0 e68999: - 0.5 b4148 + 0.5 x18548 - x23497 = 0 e69000: - 0.5 b4149 + 0.5 x18549 - x23498 = 0 e69001: - 0.5 b4150 + 0.5 x18550 - x23499 = 0 e69002: - 0.5 b4151 + 0.5 x18551 - x23500 = 0 e69003: - 0.5 b4152 + 0.5 x18552 - x23501 = 0 e69004: - 0.5 b4153 + 0.5 x18553 - x23502 = 0 e69005: - 0.5 b4154 + 0.5 x18554 - x23503 = 0 e69006: - 0.5 b4155 + 0.5 x18555 - x23504 = 0 e69007: - 0.5 b4156 + 0.5 x18556 - x23505 = 0 e69008: - 0.5 b4157 + 0.5 x18557 - x23506 = 0 e69009: - 0.5 b4158 + 0.5 x18558 - x23507 = 0 e69010: - 0.5 b4159 + 0.5 x18559 - x23508 = 0 e69011: - 0.5 b4160 + 0.5 x18560 - x23509 = 0 e69012: - 0.5 b4161 + 0.5 x18561 - x23510 = 0 e69013: - 0.5 b4162 + 0.5 x18562 - x23511 = 0 e69014: - 0.5 b4163 + 0.5 x18563 - x23512 = 0 e69015: - 0.5 b4164 + 0.5 x18564 - x23513 = 0 e69016: - 0.5 b4165 + 0.5 x18565 - x23514 = 0 e69017: - 0.5 b4166 + 0.5 x18566 - x23515 = 0 e69018: - 0.5 b4167 + 0.5 x18567 - x23516 = 0 e69019: - 0.5 b4168 + 0.5 x18568 - x23517 = 0 e69020: - 0.5 b4169 + 0.5 x18569 - x23518 = 0 e69021: - 0.5 b4170 + 0.5 x18570 - x23519 = 0 e69022: - 0.5 b4171 + 0.5 x18571 - x23520 = 0 e69023: - 0.5 b4172 + 0.5 x18572 - x23521 = 0 e69024: - 0.5 b4173 + 0.5 x18573 - x23522 = 0 e69025: - 0.5 b4174 + 0.5 x18574 - x23523 = 0 e69026: - 0.5 b4175 + 0.5 x18575 - x23524 = 0 e69027: - 0.5 b4176 + 0.5 x18576 - x23525 = 0 e69028: - 0.5 b4177 + 0.5 x18577 - x23526 = 0 e69029: - 0.5 b4178 + 0.5 x18578 - x23527 = 0 e69030: - 0.5 b4179 + 0.5 x18579 - x23528 = 0 e69031: - 0.5 b4180 + 0.5 x18580 - x23529 = 0 e69032: - 0.5 b4181 + 0.5 x18581 - x23530 = 0 e69033: - 0.5 b4182 + 0.5 x18582 - x23531 = 0 e69034: - 0.5 b4183 + 0.5 x18583 - x23532 = 0 e69035: - 0.5 b4184 + 0.5 x18584 - x23533 = 0 e69036: - 0.5 b4185 + 0.5 x18585 - x23534 = 0 e69037: - 0.5 b4186 + 0.5 x18586 - x23535 = 0 e69038: - 0.5 b4187 + 0.5 x18587 - x23536 = 0 e69039: - 0.5 b4188 + 0.5 x18588 - x23537 = 0 e69040: - 0.5 b4189 + 0.5 x18589 - x23538 = 0 e69041: - 0.5 b4190 + 0.5 x18590 - x23539 = 0 e69042: - 0.5 b4191 + 0.5 x18591 - x23540 = 0 e69043: - 0.5 b4192 + 0.5 x18592 - x23541 = 0 e69044: - 0.5 b4193 + 0.5 x18593 - x23542 = 0 e69045: - 0.5 b4194 + 0.5 x18594 - x23543 = 0 e69046: - 0.5 b4195 + 0.5 x18595 - x23544 = 0 e69047: - 0.5 b4196 + 0.5 x18596 - x23545 = 0 e69048: - 0.5 b4197 + 0.5 x18597 - x23546 = 0 e69049: - 0.5 b4198 + 0.5 x18598 - x23547 = 0 e69050: - 0.5 b4199 + 0.5 x18599 - x23548 = 0 e69051: - 0.5 b4200 + 0.5 x18600 - x23549 = 0 e69052: - 0.5 b4201 + 0.5 x18601 - x23550 = 0 e69053: - 0.5 b4202 + 0.5 x18602 - x23551 = 0 e69054: - 0.5 b4203 + 0.5 x18603 - x23552 = 0 e69055: - 0.5 b4204 + 0.5 x18604 - x23553 = 0 e69056: - 0.5 b4205 + 0.5 x18605 - x23554 = 0 e69057: - 0.5 b4206 + 0.5 x18606 - x23555 = 0 e69058: - 0.5 b4207 + 0.5 x18607 - x23556 = 0 e69059: - 0.5 b4208 + 0.5 x18608 - x23557 = 0 e69060: - 0.5 b4209 + 0.5 x18609 - x23558 = 0 e69061: - 0.5 b4210 + 0.5 x18610 - x23559 = 0 e69062: - 0.5 b4211 + 0.5 x18611 - x23560 = 0 e69063: - 0.5 b4212 + 0.5 x18612 - x23561 = 0 e69064: - 0.5 b4213 + 0.5 x18613 - x23562 = 0 e69065: - 0.5 b4214 + 0.5 x18614 - x23563 = 0 e69066: - 0.5 b4215 + 0.5 x18615 - x23564 = 0 e69067: - 0.5 b4216 + 0.5 x18616 - x23565 = 0 e69068: - 0.5 b4217 + 0.5 x18617 - x23566 = 0 e69069: - 0.5 b4218 + 0.5 x18618 - x23567 = 0 e69070: - 0.5 b4219 + 0.5 x18619 - x23568 = 0 e69071: - 0.5 b4220 + 0.5 x18620 - x23569 = 0 e69072: - 0.5 b4221 + 0.5 x18621 - x23570 = 0 e69073: - 0.5 b4222 + 0.5 x18622 - x23571 = 0 e69074: - 0.5 b4223 + 0.5 x18623 - x23572 = 0 e69075: - 0.5 b4224 + 0.5 x18624 - x23573 = 0 e69076: - 0.5 b4225 + 0.5 x18625 - x23574 = 0 e69077: - 0.5 b4226 + 0.5 x18626 - x23575 = 0 e69078: - 0.5 b4227 + 0.5 x18627 - x23576 = 0 e69079: - 0.5 b4228 + 0.5 x18628 - x23577 = 0 e69080: - 0.5 b4229 + 0.5 x18629 - x23578 = 0 e69081: - 0.5 b4230 + 0.5 x18630 - x23579 = 0 e69082: - 0.5 b4231 + 0.5 x18631 - x23580 = 0 e69083: - 0.5 b4232 + 0.5 x18632 - x23581 = 0 e69084: - 0.5 b4233 + 0.5 x18633 - x23582 = 0 e69085: - 0.5 b4234 + 0.5 x18634 - x23583 = 0 e69086: - 0.5 b4235 + 0.5 x18635 - x23584 = 0 e69087: - 0.5 b4236 + 0.5 x18636 - x23585 = 0 e69088: - 0.5 b4237 + 0.5 x18637 - x23586 = 0 e69089: - 0.5 b4238 + 0.5 x18638 - x23587 = 0 e69090: - 0.5 b4239 + 0.5 x18639 - x23588 = 0 e69091: - 0.5 b4240 + 0.5 x18640 - x23589 = 0 e69092: - 0.5 b4241 + 0.5 x18641 - x23590 = 0 e69093: - 0.5 b4242 + 0.5 x18642 - x23591 = 0 e69094: - 0.5 b4243 + 0.5 x18643 - x23592 = 0 e69095: - 0.5 b4244 + 0.5 x18644 - x23593 = 0 e69096: - 0.5 b4245 + 0.5 x18645 - x23594 = 0 e69097: - 0.5 b4246 + 0.5 x18646 - x23595 = 0 e69098: - 0.5 b4247 + 0.5 x18647 - x23596 = 0 e69099: - 0.5 b4248 + 0.5 x18648 - x23597 = 0 e69100: - 0.5 b4249 + 0.5 x18649 - x23598 = 0 e69101: - 0.5 b4250 + 0.5 x18650 - x23599 = 0 e69102: - 0.5 b4251 + 0.5 x18651 - x23600 = 0 e69103: - 0.5 b4252 + 0.5 x18652 - x23601 = 0 e69104: - 0.5 b4253 + 0.5 x18653 - x23602 = 0 e69105: - 0.5 b4254 + 0.5 x18654 - x23603 = 0 e69106: - 0.5 b4255 + 0.5 x18655 - x23604 = 0 e69107: - 0.5 b4256 + 0.5 x18656 - x23605 = 0 e69108: - 0.5 b4257 + 0.5 x18657 - x23606 = 0 e69109: - 0.5 b4258 + 0.5 x18658 - x23607 = 0 e69110: - 0.5 b4259 + 0.5 x18659 - x23608 = 0 e69111: - 0.5 b4260 + 0.5 x18660 - x23609 = 0 e69112: - 0.5 b4261 + 0.5 x18661 - x23610 = 0 e69113: - 0.5 b4262 + 0.5 x18662 - x23611 = 0 e69114: - 0.5 b4263 + 0.5 x18663 - x23612 = 0 e69115: - 0.5 b4264 + 0.5 x18664 - x23613 = 0 e69116: - 0.5 b4265 + 0.5 x18665 - x23614 = 0 e69117: - 0.5 b4266 + 0.5 x18666 - x23615 = 0 e69118: - 0.5 b4267 + 0.5 x18667 - x23616 = 0 e69119: - 0.5 b4268 + 0.5 x18668 - x23617 = 0 e69120: - 0.5 b4269 + 0.5 x18669 - x23618 = 0 e69121: - 0.5 b4270 + 0.5 x18670 - x23619 = 0 e69122: - 0.5 b4271 + 0.5 x18671 - x23620 = 0 e69123: - 0.5 b4272 + 0.5 x18672 - x23621 = 0 e69124: - 0.5 b4273 + 0.5 x18673 - x23622 = 0 e69125: - 0.5 b4274 + 0.5 x18674 - x23623 = 0 e69126: - 0.5 b4275 + 0.5 x18675 - x23624 = 0 e69127: - 0.5 b4276 + 0.5 x18676 - x23625 = 0 e69128: - 0.5 b4277 + 0.5 x18677 - x23626 = 0 e69129: - 0.5 b4278 + 0.5 x18678 - x23627 = 0 e69130: - 0.5 b4279 + 0.5 x18679 - x23628 = 0 e69131: - 0.5 b4280 + 0.5 x18680 - x23629 = 0 e69132: - 0.5 b4281 + 0.5 x18681 - x23630 = 0 e69133: - 0.5 b4282 + 0.5 x18682 - x23631 = 0 e69134: - 0.5 b4283 + 0.5 x18683 - x23632 = 0 e69135: - 0.5 b4284 + 0.5 x18684 - x23633 = 0 e69136: - 0.5 b4285 + 0.5 x18685 - x23634 = 0 e69137: - 0.5 b4286 + 0.5 x18686 - x23635 = 0 e69138: - 0.5 b4287 + 0.5 x18687 - x23636 = 0 e69139: - 0.5 b4288 + 0.5 x18688 - x23637 = 0 e69140: - 0.5 b4289 + 0.5 x18689 - x23638 = 0 e69141: - 0.5 b4290 + 0.5 x18690 - x23639 = 0 e69142: - 0.5 b4291 + 0.5 x18691 - x23640 = 0 e69143: - 0.5 b4292 + 0.5 x18692 - x23641 = 0 e69144: - 0.5 b4293 + 0.5 x18693 - x23642 = 0 e69145: - 0.5 b4294 + 0.5 x18694 - x23643 = 0 e69146: - 0.5 b4295 + 0.5 x18695 - x23644 = 0 e69147: - 0.5 b4296 + 0.5 x18696 - x23645 = 0 e69148: - 0.5 b4297 + 0.5 x18697 - x23646 = 0 e69149: - 0.5 b4298 + 0.5 x18698 - x23647 = 0 e69150: - 0.5 b4299 + 0.5 x18699 - x23648 = 0 e69151: - 0.5 b4300 + 0.5 x18700 - x23649 = 0 e69152: - 0.5 b4301 + 0.5 x18701 - x23650 = 0 e69153: - 0.5 b4302 + 0.5 x18702 - x23651 = 0 e69154: - 0.5 b4303 + 0.5 x18703 - x23652 = 0 e69155: - 0.5 b4304 + 0.5 x18704 - x23653 = 0 e69156: - 0.5 b4305 + 0.5 x18705 - x23654 = 0 e69157: - 0.5 b4306 + 0.5 x18706 - x23655 = 0 e69158: - 0.5 b4307 + 0.5 x18707 - x23656 = 0 e69159: - 0.5 b4308 + 0.5 x18708 - x23657 = 0 e69160: - 0.5 b4309 + 0.5 x18709 - x23658 = 0 e69161: - 0.5 b4310 + 0.5 x18710 - x23659 = 0 e69162: - 0.5 b4311 + 0.5 x18711 - x23660 = 0 e69163: - 0.5 b4312 + 0.5 x18712 - x23661 = 0 e69164: - 0.5 b4313 + 0.5 x18713 - x23662 = 0 e69165: - 0.5 b4314 + 0.5 x18714 - x23663 = 0 e69166: - 0.5 b4315 + 0.5 x18715 - x23664 = 0 e69167: - 0.5 b4316 + 0.5 x18716 - x23665 = 0 e69168: - 0.5 b4317 + 0.5 x18717 - x23666 = 0 e69169: - 0.5 b4318 + 0.5 x18718 - x23667 = 0 e69170: - 0.5 b4319 + 0.5 x18719 - x23668 = 0 e69171: - 0.5 b4320 + 0.5 x18720 - x23669 = 0 e69172: - 0.5 b4321 + 0.5 x18721 - x23670 = 0 e69173: - 0.5 b4322 + 0.5 x18722 - x23671 = 0 e69174: - 0.5 b4323 + 0.5 x18723 - x23672 = 0 e69175: - 0.5 b4324 + 0.5 x18724 - x23673 = 0 e69176: - 0.5 b4325 + 0.5 x18725 - x23674 = 0 e69177: - 0.5 b4326 + 0.5 x18726 - x23675 = 0 e69178: - 0.5 b4327 + 0.5 x18727 - x23676 = 0 e69179: - 0.5 b4328 + 0.5 x18728 - x23677 = 0 e69180: - 0.5 b4329 + 0.5 x18729 - x23678 = 0 e69181: - 0.5 b4330 + 0.5 x18730 - x23679 = 0 e69182: - 0.5 b4331 + 0.5 x18731 - x23680 = 0 e69183: - 0.5 b4332 + 0.5 x18732 - x23681 = 0 e69184: - 0.5 b4333 + 0.5 x18733 - x23682 = 0 e69185: - 0.5 b4334 + 0.5 x18734 - x23683 = 0 e69186: - 0.5 b4335 + 0.5 x18735 - x23684 = 0 e69187: - 0.5 b4336 + 0.5 x18736 - x23685 = 0 e69188: - 0.5 b4337 + 0.5 x18737 - x23686 = 0 e69189: - 0.5 b4338 + 0.5 x18738 - x23687 = 0 e69190: - 0.5 b4339 + 0.5 x18739 - x23688 = 0 e69191: - 0.5 b4340 + 0.5 x18740 - x23689 = 0 e69192: - 0.5 b4341 + 0.5 x18741 - x23690 = 0 e69193: - 0.5 b4342 + 0.5 x18742 - x23691 = 0 e69194: - 0.5 b4343 + 0.5 x18743 - x23692 = 0 e69195: - 0.5 b4344 + 0.5 x18744 - x23693 = 0 e69196: - 0.5 b4345 + 0.5 x18745 - x23694 = 0 e69197: - 0.5 b4346 + 0.5 x18746 - x23695 = 0 e69198: - 0.5 b4347 + 0.5 x18747 - x23696 = 0 e69199: - 0.5 b4348 + 0.5 x18748 - x23697 = 0 e69200: - 0.5 b4349 + 0.5 x18749 - x23698 = 0 e69201: - 0.5 b4350 + 0.5 x18750 - x23699 = 0 e69202: - 0.5 b4351 + 0.5 x18751 - x23700 = 0 e69203: - 0.5 b4352 + 0.5 x18752 - x23701 = 0 e69204: - 0.5 b4353 + 0.5 x18753 - x23702 = 0 e69205: - 0.5 b4354 + 0.5 x18754 - x23703 = 0 e69206: - 0.5 b4355 + 0.5 x18755 - x23704 = 0 e69207: - 0.5 b4356 + 0.5 x18756 - x23705 = 0 e69208: - 0.5 b4357 + 0.5 x18757 - x23706 = 0 e69209: - 0.5 b4358 + 0.5 x18758 - x23707 = 0 e69210: - 0.5 b4359 + 0.5 x18759 - x23708 = 0 e69211: - 0.5 b4360 + 0.5 x18760 - x23709 = 0 e69212: - 0.5 b4361 + 0.5 x18761 - x23710 = 0 e69213: - 0.5 b4362 + 0.5 x18762 - x23711 = 0 e69214: - 0.5 b4363 + 0.5 x18763 - x23712 = 0 e69215: - 0.5 b4364 + 0.5 x18764 - x23713 = 0 e69216: - 0.5 b4365 + 0.5 x18765 - x23714 = 0 e69217: - 0.5 b4366 + 0.5 x18766 - x23715 = 0 e69218: - 0.5 b4367 + 0.5 x18767 - x23716 = 0 e69219: - 0.5 b4368 + 0.5 x18768 - x23717 = 0 e69220: - 0.5 b4369 + 0.5 x18769 - x23718 = 0 e69221: - 0.5 b4370 + 0.5 x18770 - x23719 = 0 e69222: - 0.5 b4371 + 0.5 x18771 - x23720 = 0 e69223: - 0.5 b4372 + 0.5 x18772 - x23721 = 0 e69224: - 0.5 b4373 + 0.5 x18773 - x23722 = 0 e69225: - 0.5 b4374 + 0.5 x18774 - x23723 = 0 e69226: - 0.5 b4375 + 0.5 x18775 - x23724 = 0 e69227: - 0.5 b4376 + 0.5 x18776 - x23725 = 0 e69228: - 0.5 b4377 + 0.5 x18777 - x23726 = 0 e69229: - 0.5 b4378 + 0.5 x18778 - x23727 = 0 e69230: - 0.5 b4379 + 0.5 x18779 - x23728 = 0 e69231: - 0.5 b4380 + 0.5 x18780 - x23729 = 0 e69232: - 0.5 b4381 + 0.5 x18781 - x23730 = 0 e69233: - 0.5 b4382 + 0.5 x18782 - x23731 = 0 e69234: - 0.5 b4383 + 0.5 x18783 - x23732 = 0 e69235: - 0.5 b4384 + 0.5 x18784 - x23733 = 0 e69236: - 0.5 b4385 + 0.5 x18785 - x23734 = 0 e69237: - 0.5 b4386 + 0.5 x18786 - x23735 = 0 e69238: - 0.5 b4387 + 0.5 x18787 - x23736 = 0 e69239: - 0.5 b4388 + 0.5 x18788 - x23737 = 0 e69240: - 0.5 b4389 + 0.5 x18789 - x23738 = 0 e69241: - 0.5 b4390 + 0.5 x18790 - x23739 = 0 e69242: - 0.5 b4391 + 0.5 x18791 - x23740 = 0 e69243: - 0.5 b4392 + 0.5 x18792 - x23741 = 0 e69244: - 0.5 b4393 + 0.5 x18793 - x23742 = 0 e69245: - 0.5 b4394 + 0.5 x18794 - x23743 = 0 e69246: - 0.5 b4395 + 0.5 x18795 - x23744 = 0 e69247: - 0.5 b4396 + 0.5 x18796 - x23745 = 0 e69248: - 0.5 b4397 + 0.5 x18797 - x23746 = 0 e69249: - 0.5 b4398 + 0.5 x18798 - x23747 = 0 e69250: - 0.5 b4399 + 0.5 x18799 - x23748 = 0 e69251: - 0.5 b4400 + 0.5 x18800 - x23749 = 0 e69252: - 0.5 b4401 + 0.5 x18801 - x23750 = 0 e69253: - 0.5 b4402 + 0.5 x18802 - x23751 = 0 e69254: - 0.5 b4403 + 0.5 x18803 - x23752 = 0 e69255: - 0.5 b4404 + 0.5 x18804 - x23753 = 0 e69256: - 0.5 b4405 + 0.5 x18805 - x23754 = 0 e69257: - 0.5 b4406 + 0.5 x18806 - x23755 = 0 e69258: - 0.5 b4407 + 0.5 x18807 - x23756 = 0 e69259: - 0.5 b4408 + 0.5 x18808 - x23757 = 0 e69260: - 0.5 b4409 + 0.5 x18809 - x23758 = 0 e69261: - 0.5 b4410 + 0.5 x18810 - x23759 = 0 e69262: - 0.5 b4411 + 0.5 x18811 - x23760 = 0 e69263: - 0.5 b4412 + 0.5 x18812 - x23761 = 0 e69264: - 0.5 b4413 + 0.5 x18813 - x23762 = 0 e69265: - 0.5 b4414 + 0.5 x18814 - x23763 = 0 e69266: - 0.5 b4415 + 0.5 x18815 - x23764 = 0 e69267: - 0.5 b4416 + 0.5 x18816 - x23765 = 0 e69268: - 0.5 b4417 + 0.5 x18817 - x23766 = 0 e69269: - 0.5 b4418 + 0.5 x18818 - x23767 = 0 e69270: - 0.5 b4419 + 0.5 x18819 - x23768 = 0 e69271: - 0.5 b4420 + 0.5 x18820 - x23769 = 0 e69272: - 0.5 b4421 + 0.5 x18821 - x23770 = 0 e69273: - 0.5 b4422 + 0.5 x18822 - x23771 = 0 e69274: - 0.5 b4423 + 0.5 x18823 - x23772 = 0 e69275: - 0.5 b4424 + 0.5 x18824 - x23773 = 0 e69276: - 0.5 b4425 + 0.5 x18825 - x23774 = 0 e69277: - 0.5 b4426 + 0.5 x18826 - x23775 = 0 e69278: - 0.5 b4427 + 0.5 x18827 - x23776 = 0 e69279: - 0.5 b4428 + 0.5 x18828 - x23777 = 0 e69280: - 0.5 b4429 + 0.5 x18829 - x23778 = 0 e69281: - 0.5 b4430 + 0.5 x18830 - x23779 = 0 e69282: - 0.5 b4431 + 0.5 x18831 - x23780 = 0 e69283: - 0.5 b4432 + 0.5 x18832 - x23781 = 0 e69284: - 0.5 b4433 + 0.5 x18833 - x23782 = 0 e69285: - 0.5 b4434 + 0.5 x18834 - x23783 = 0 e69286: - 0.5 b4435 + 0.5 x18835 - x23784 = 0 e69287: - 0.5 b4436 + 0.5 x18836 - x23785 = 0 e69288: - 0.5 b4437 + 0.5 x18837 - x23786 = 0 e69289: - 0.5 b4438 + 0.5 x18838 - x23787 = 0 e69290: - 0.5 b4439 + 0.5 x18839 - x23788 = 0 e69291: - 0.5 b4440 + 0.5 x18840 - x23789 = 0 e69292: - 0.5 b4441 + 0.5 x18841 - x23790 = 0 e69293: - 0.5 b4442 + 0.5 x18842 - x23791 = 0 e69294: - 0.5 b4443 + 0.5 x18843 - x23792 = 0 e69295: - 0.5 b4444 + 0.5 x18844 - x23793 = 0 e69296: - 0.5 b4445 + 0.5 x18845 - x23794 = 0 e69297: - 0.5 b4446 + 0.5 x18846 - x23795 = 0 e69298: - 0.5 b4447 + 0.5 x18847 - x23796 = 0 e69299: - 0.5 b4448 + 0.5 x18848 - x23797 = 0 e69300: - 0.5 b4449 + 0.5 x18849 - x23798 = 0 e69301: - 0.5 b4450 + 0.5 x18850 - x23799 = 0 e69302: - 0.5 b4451 + 0.5 x18851 - x23800 = 0 e69303: - 0.5 b4452 + 0.5 x18852 - x23801 = 0 e69304: - 0.5 b4453 + 0.5 x18853 - x23802 = 0 e69305: - 0.5 b4454 + 0.5 x18854 - x23803 = 0 e69306: - 0.5 b4455 + 0.5 x18855 - x23804 = 0 e69307: - 0.5 b4456 + 0.5 x18856 - x23805 = 0 e69308: - 0.5 b4457 + 0.5 x18857 - x23806 = 0 e69309: - 0.5 b4458 + 0.5 x18858 - x23807 = 0 e69310: - 0.5 b4459 + 0.5 x18859 - x23808 = 0 e69311: - 0.5 b4460 + 0.5 x18860 - x23809 = 0 e69312: - 0.5 b4461 + 0.5 x18861 - x23810 = 0 e69313: - 0.5 b4462 + 0.5 x18862 - x23811 = 0 e69314: - 0.5 b4463 + 0.5 x18863 - x23812 = 0 e69315: - 0.5 b4464 + 0.5 x18864 - x23813 = 0 e69316: - 0.5 b4465 + 0.5 x18865 - x23814 = 0 e69317: - 0.5 b4466 + 0.5 x18866 - x23815 = 0 e69318: - 0.5 b4467 + 0.5 x18867 - x23816 = 0 e69319: - 0.5 b4468 + 0.5 x18868 - x23817 = 0 e69320: - 0.5 b4469 + 0.5 x18869 - x23818 = 0 e69321: - 0.5 b4470 + 0.5 x18870 - x23819 = 0 e69322: - 0.5 b4471 + 0.5 x18871 - x23820 = 0 e69323: - 0.5 b4472 + 0.5 x18872 - x23821 = 0 e69324: - 0.5 b4473 + 0.5 x18873 - x23822 = 0 e69325: - 0.5 b4474 + 0.5 x18874 - x23823 = 0 e69326: - 0.5 b4475 + 0.5 x18875 - x23824 = 0 e69327: - 0.5 b4476 + 0.5 x18876 - x23825 = 0 e69328: - 0.5 b4477 + 0.5 x18877 - x23826 = 0 e69329: - 0.5 b4478 + 0.5 x18878 - x23827 = 0 e69330: - 0.5 b4479 + 0.5 x18879 - x23828 = 0 e69331: - 0.5 b4480 + 0.5 x18880 - x23829 = 0 e69332: - 0.5 b4481 + 0.5 x18881 - x23830 = 0 e69333: - 0.5 b4482 + 0.5 x18882 - x23831 = 0 e69334: - 0.5 b4483 + 0.5 x18883 - x23832 = 0 e69335: - 0.5 b4484 + 0.5 x18884 - x23833 = 0 e69336: - 0.5 b4485 + 0.5 x18885 - x23834 = 0 e69337: - 0.5 b4486 + 0.5 x18886 - x23835 = 0 e69338: - 0.5 b4487 + 0.5 x18887 - x23836 = 0 e69339: - 0.5 b4488 + 0.5 x18888 - x23837 = 0 e69340: - 0.5 b4489 + 0.5 x18889 - x23838 = 0 e69341: - 0.5 b4490 + 0.5 x18890 - x23839 = 0 e69342: - 0.5 b4491 + 0.5 x18891 - x23840 = 0 e69343: - 0.5 b4492 + 0.5 x18892 - x23841 = 0 e69344: - 0.5 b4493 + 0.5 x18893 - x23842 = 0 e69345: - 0.5 b4494 + 0.5 x18894 - x23843 = 0 e69346: - 0.5 b4495 + 0.5 x18895 - x23844 = 0 e69347: - 0.5 b4496 + 0.5 x18896 - x23845 = 0 e69348: - 0.5 b4497 + 0.5 x18897 - x23846 = 0 e69349: - 0.5 b4498 + 0.5 x18898 - x23847 = 0 e69350: - 0.5 b4499 + 0.5 x18899 - x23848 = 0 e69351: - 0.5 b4500 + 0.5 x18900 - x23849 = 0 e69352: - 0.5 b4501 + 0.5 x18901 - x23850 = 0 e69353: - 0.5 b4502 + 0.5 x18902 - x23851 = 0 e69354: - 0.5 b4503 + 0.5 x18903 - x23852 = 0 e69355: - 0.5 b4504 + 0.5 x18904 - x23853 = 0 e69356: - 0.5 b4505 + 0.5 x18905 - x23854 = 0 e69357: - 0.5 b4506 + 0.5 x18906 - x23855 = 0 e69358: - 0.5 b4507 + 0.5 x18907 - x23856 = 0 e69359: - 0.5 b4508 + 0.5 x18908 - x23857 = 0 e69360: - 0.5 b4509 + 0.5 x18909 - x23858 = 0 e69361: - 0.5 b4510 + 0.5 x18910 - x23859 = 0 e69362: - 0.5 b4511 + 0.5 x18911 - x23860 = 0 e69363: - 0.5 b4512 + 0.5 x18912 - x23861 = 0 e69364: - 0.5 b4513 + 0.5 x18913 - x23862 = 0 e69365: - 0.5 b4514 + 0.5 x18914 - x23863 = 0 e69366: - 0.5 b4515 + 0.5 x18915 - x23864 = 0 e69367: - 0.5 b4516 + 0.5 x18916 - x23865 = 0 e69368: - 0.5 b4517 + 0.5 x18917 - x23866 = 0 e69369: - 0.5 b4518 + 0.5 x18918 - x23867 = 0 e69370: - 0.5 b4519 + 0.5 x18919 - x23868 = 0 e69371: - 0.5 b4520 + 0.5 x18920 - x23869 = 0 e69372: - 0.5 b4521 + 0.5 x18921 - x23870 = 0 e69373: - 0.5 b4522 + 0.5 x18922 - x23871 = 0 e69374: - 0.5 b4523 + 0.5 x18923 - x23872 = 0 e69375: - 0.5 b4524 + 0.5 x18924 - x23873 = 0 e69376: - 0.5 b4525 + 0.5 x18925 - x23874 = 0 e69377: - 0.5 b4526 + 0.5 x18926 - x23875 = 0 e69378: - 0.5 b4527 + 0.5 x18927 - x23876 = 0 e69379: - 0.5 b4528 + 0.5 x18928 - x23877 = 0 e69380: - 0.5 b4529 + 0.5 x18929 - x23878 = 0 e69381: - 0.5 b4530 + 0.5 x18930 - x23879 = 0 e69382: - 0.5 b4531 + 0.5 x18931 - x23880 = 0 e69383: - 0.5 b4532 + 0.5 x18932 - x23881 = 0 e69384: - 0.5 b4533 + 0.5 x18933 - x23882 = 0 e69385: - 0.5 b4534 + 0.5 x18934 - x23883 = 0 e69386: - 0.5 b4535 + 0.5 x18935 - x23884 = 0 e69387: - 0.5 b4536 + 0.5 x18936 - x23885 = 0 e69388: - 0.5 b4537 + 0.5 x18937 - x23886 = 0 e69389: - 0.5 b4538 + 0.5 x18938 - x23887 = 0 e69390: - 0.5 b4539 + 0.5 x18939 - x23888 = 0 e69391: - 0.5 b4540 + 0.5 x18940 - x23889 = 0 e69392: - 0.5 b4541 + 0.5 x18941 - x23890 = 0 e69393: - 0.5 b4542 + 0.5 x18942 - x23891 = 0 e69394: - 0.5 b4543 + 0.5 x18943 - x23892 = 0 e69395: - 0.5 b4544 + 0.5 x18944 - x23893 = 0 e69396: - 0.5 b4545 + 0.5 x18945 - x23894 = 0 e69397: - 0.5 b4546 + 0.5 x18946 - x23895 = 0 e69398: - 0.5 b4547 + 0.5 x18947 - x23896 = 0 e69399: - 0.5 b4548 + 0.5 x18948 - x23897 = 0 e69400: - 0.5 b4549 + 0.5 x18949 - x23898 = 0 e69401: - 0.5 b4550 + 0.5 x18950 - x23899 = 0 e69402: - 0.5 b4551 + 0.5 x18951 - x23900 = 0 e69403: - 0.5 b4552 + 0.5 x18952 - x23901 = 0 e69404: - 0.5 b4553 + 0.5 x18953 - x23902 = 0 e69405: - 0.5 b4554 + 0.5 x18954 - x23903 = 0 e69406: - 0.5 b4555 + 0.5 x18955 - x23904 = 0 e69407: - 0.5 b4556 + 0.5 x18956 - x23905 = 0 e69408: - 0.5 b4557 + 0.5 x18957 - x23906 = 0 e69409: - 0.5 b4558 + 0.5 x18958 - x23907 = 0 e69410: - 0.5 b4559 + 0.5 x18959 - x23908 = 0 e69411: - 0.5 b4560 + 0.5 x18960 - x23909 = 0 e69412: - 0.5 b4561 + 0.5 x18961 - x23910 = 0 e69413: - 0.5 b4562 + 0.5 x18962 - x23911 = 0 e69414: - 0.5 b4563 + 0.5 x18963 - x23912 = 0 e69415: - 0.5 b4564 + 0.5 x18964 - x23913 = 0 e69416: - 0.5 b4565 + 0.5 x18965 - x23914 = 0 e69417: - 0.5 b4566 + 0.5 x18966 - x23915 = 0 e69418: - 0.5 b4567 + 0.5 x18967 - x23916 = 0 e69419: - 0.5 b4568 + 0.5 x18968 - x23917 = 0 e69420: - 0.5 b4569 + 0.5 x18969 - x23918 = 0 e69421: - 0.5 b4570 + 0.5 x18970 - x23919 = 0 e69422: - 0.5 b4571 + 0.5 x18971 - x23920 = 0 e69423: - 0.5 b4572 + 0.5 x18972 - x23921 = 0 e69424: - 0.5 b4573 + 0.5 x18973 - x23922 = 0 e69425: - 0.5 b4574 + 0.5 x18974 - x23923 = 0 e69426: - 0.5 b4575 + 0.5 x18975 - x23924 = 0 e69427: - 0.5 b4576 + 0.5 x18976 - x23925 = 0 e69428: - 0.5 b4577 + 0.5 x18977 - x23926 = 0 e69429: - 0.5 b4578 + 0.5 x18978 - x23927 = 0 e69430: - 0.5 b4579 + 0.5 x18979 - x23928 = 0 e69431: - 0.5 b4580 + 0.5 x18980 - x23929 = 0 e69432: - 0.5 b4581 + 0.5 x18981 - x23930 = 0 e69433: - 0.5 b4582 + 0.5 x18982 - x23931 = 0 e69434: - 0.5 b4583 + 0.5 x18983 - x23932 = 0 e69435: - 0.5 b4584 + 0.5 x18984 - x23933 = 0 e69436: - 0.5 b4585 + 0.5 x18985 - x23934 = 0 e69437: - 0.5 b4586 + 0.5 x18986 - x23935 = 0 e69438: - 0.5 b4587 + 0.5 x18987 - x23936 = 0 e69439: - 0.5 b4588 + 0.5 x18988 - x23937 = 0 e69440: - 0.5 b4589 + 0.5 x18989 - x23938 = 0 e69441: - 0.5 b4590 + 0.5 x18990 - x23939 = 0 e69442: - 0.5 b4591 + 0.5 x18991 - x23940 = 0 e69443: - 0.5 b4592 + 0.5 x18992 - x23941 = 0 e69444: - 0.5 b4593 + 0.5 x18993 - x23942 = 0 e69445: - 0.5 b4594 + 0.5 x18994 - x23943 = 0 e69446: - 0.5 b4595 + 0.5 x18995 - x23944 = 0 e69447: - 0.5 b4596 + 0.5 x18996 - x23945 = 0 e69448: - 0.5 b4597 + 0.5 x18997 - x23946 = 0 e69449: - 0.5 b4598 + 0.5 x18998 - x23947 = 0 e69450: - 0.5 b4599 + 0.5 x18999 - x23948 = 0 e69451: - 0.5 b4600 + 0.5 x19000 - x23949 = 0 e69452: - 0.5 b4601 + 0.5 x19001 - x23950 = 0 e69453: - 0.5 b4602 + 0.5 x19002 - x23951 = 0 e69454: - 0.5 b4603 + 0.5 x19003 - x23952 = 0 e69455: - 0.5 b4604 + 0.5 x19004 - x23953 = 0 e69456: - 0.5 b4605 + 0.5 x19005 - x23954 = 0 e69457: - 0.5 b4606 + 0.5 x19006 - x23955 = 0 e69458: - 0.5 b4607 + 0.5 x19007 - x23956 = 0 e69459: - 0.5 b4608 + 0.5 x19008 - x23957 = 0 e69460: - 0.5 b4609 + 0.5 x19009 - x23958 = 0 e69461: - 0.5 b4610 + 0.5 x19010 - x23959 = 0 e69462: - 0.5 b4611 + 0.5 x19011 - x23960 = 0 e69463: - 0.5 b4612 + 0.5 x19012 - x23961 = 0 e69464: - 0.5 b4613 + 0.5 x19013 - x23962 = 0 e69465: - 0.5 b4614 + 0.5 x19014 - x23963 = 0 e69466: - 0.5 b4615 + 0.5 x19015 - x23964 = 0 e69467: - 0.5 b4616 + 0.5 x19016 - x23965 = 0 e69468: - 0.5 b4617 + 0.5 x19017 - x23966 = 0 e69469: - 0.5 b4618 + 0.5 x19018 - x23967 = 0 e69470: - 0.5 b4619 + 0.5 x19019 - x23968 = 0 e69471: - 0.5 b4620 + 0.5 x19020 - x23969 = 0 e69472: - 0.5 b4621 + 0.5 x19021 - x23970 = 0 e69473: - 0.5 b4622 + 0.5 x19022 - x23971 = 0 e69474: - 0.5 b4623 + 0.5 x19023 - x23972 = 0 e69475: - 0.5 b4624 + 0.5 x19024 - x23973 = 0 e69476: - 0.5 b4625 + 0.5 x19025 - x23974 = 0 e69477: - 0.5 b4626 + 0.5 x19026 - x23975 = 0 e69478: - 0.5 b4627 + 0.5 x19027 - x23976 = 0 e69479: - 0.5 b4628 + 0.5 x19028 - x23977 = 0 e69480: - 0.5 b4629 + 0.5 x19029 - x23978 = 0 e69481: - 0.5 b4630 + 0.5 x19030 - x23979 = 0 e69482: - 0.5 b4631 + 0.5 x19031 - x23980 = 0 e69483: - 0.5 b4632 + 0.5 x19032 - x23981 = 0 e69484: - 0.5 b4633 + 0.5 x19033 - x23982 = 0 e69485: - 0.5 b4634 + 0.5 x19034 - x23983 = 0 e69486: - 0.5 b4635 + 0.5 x19035 - x23984 = 0 e69487: - 0.5 b4636 + 0.5 x19036 - x23985 = 0 e69488: - 0.5 b4637 + 0.5 x19037 - x23986 = 0 e69489: - 0.5 b4638 + 0.5 x19038 - x23987 = 0 e69490: - 0.5 b4639 + 0.5 x19039 - x23988 = 0 e69491: - 0.5 b4640 + 0.5 x19040 - x23989 = 0 e69492: - 0.5 b4641 + 0.5 x19041 - x23990 = 0 e69493: - 0.5 b4642 + 0.5 x19042 - x23991 = 0 e69494: - 0.5 b4643 + 0.5 x19043 - x23992 = 0 e69495: - 0.5 b4644 + 0.5 x19044 - x23993 = 0 e69496: - 0.5 b4645 + 0.5 x19045 - x23994 = 0 e69497: - 0.5 b4646 + 0.5 x19046 - x23995 = 0 e69498: - 0.5 b4647 + 0.5 x19047 - x23996 = 0 e69499: - 0.5 b4648 + 0.5 x19048 - x23997 = 0 e69500: - 0.5 b4649 + 0.5 x19049 - x23998 = 0 e69501: - 0.5 b4650 + 0.5 x19050 - x23999 = 0 e69502: - 0.5 b4651 + 0.5 x19051 - x24000 = 0 e69503: - 0.5 b4652 + 0.5 x19052 - x24001 = 0 e69504: - 0.5 b4653 + 0.5 x19053 - x24002 = 0 e69505: - 0.5 b4654 + 0.5 x19054 - x24003 = 0 e69506: - 0.5 b4655 + 0.5 x19055 - x24004 = 0 e69507: - 0.5 b4656 + 0.5 x19056 - x24005 = 0 e69508: - 0.5 b4657 + 0.5 x19057 - x24006 = 0 e69509: - 0.5 b4658 + 0.5 x19058 - x24007 = 0 e69510: - 0.5 b4659 + 0.5 x19059 - x24008 = 0 e69511: - 0.5 b4660 + 0.5 x19060 - x24009 = 0 e69512: - 0.5 b4661 + 0.5 x19061 - x24010 = 0 e69513: - 0.5 b4662 + 0.5 x19062 - x24011 = 0 e69514: - 0.5 b4663 + 0.5 x19063 - x24012 = 0 e69515: - 0.5 b4664 + 0.5 x19064 - x24013 = 0 e69516: - 0.5 b4665 + 0.5 x19065 - x24014 = 0 e69517: - 0.5 b4666 + 0.5 x19066 - x24015 = 0 e69518: - 0.5 b4667 + 0.5 x19067 - x24016 = 0 e69519: - 0.5 b4668 + 0.5 x19068 - x24017 = 0 e69520: - 0.5 b4669 + 0.5 x19069 - x24018 = 0 e69521: - 0.5 b4670 + 0.5 x19070 - x24019 = 0 e69522: - 0.5 b4671 + 0.5 x19071 - x24020 = 0 e69523: - 0.5 b4672 + 0.5 x19072 - x24021 = 0 e69524: - 0.5 b4673 + 0.5 x19073 - x24022 = 0 e69525: - 0.5 b4674 + 0.5 x19074 - x24023 = 0 e69526: - 0.5 b4675 + 0.5 x19075 - x24024 = 0 e69527: - 0.5 b4676 + 0.5 x19076 - x24025 = 0 e69528: - 0.5 b4677 + 0.5 x19077 - x24026 = 0 e69529: - 0.5 b4678 + 0.5 x19078 - x24027 = 0 e69530: - 0.5 b4679 + 0.5 x19079 - x24028 = 0 e69531: - 0.5 b4680 + 0.5 x19080 - x24029 = 0 e69532: - 0.5 b4681 + 0.5 x19081 - x24030 = 0 e69533: - 0.5 b4682 + 0.5 x19082 - x24031 = 0 e69534: - 0.5 b4683 + 0.5 x19083 - x24032 = 0 e69535: - 0.5 b4684 + 0.5 x19084 - x24033 = 0 e69536: - 0.5 b4685 + 0.5 x19085 - x24034 = 0 e69537: - 0.5 b4686 + 0.5 x19086 - x24035 = 0 e69538: - 0.5 b4687 + 0.5 x19087 - x24036 = 0 e69539: - 0.5 b4688 + 0.5 x19088 - x24037 = 0 e69540: - 0.5 b4689 + 0.5 x19089 - x24038 = 0 e69541: - 0.5 b4690 + 0.5 x19090 - x24039 = 0 e69542: - 0.5 b4691 + 0.5 x19091 - x24040 = 0 e69543: - 0.5 b4692 + 0.5 x19092 - x24041 = 0 e69544: - 0.5 b4693 + 0.5 x19093 - x24042 = 0 e69545: - 0.5 b4694 + 0.5 x19094 - x24043 = 0 e69546: - 0.5 b4695 + 0.5 x19095 - x24044 = 0 e69547: - 0.5 b4696 + 0.5 x19096 - x24045 = 0 e69548: - 0.5 b4697 + 0.5 x19097 - x24046 = 0 e69549: - 0.5 b4698 + 0.5 x19098 - x24047 = 0 e69550: - 0.5 b4699 + 0.5 x19099 - x24048 = 0 e69551: - 0.5 b4700 + 0.5 x19100 - x24049 = 0 e69552: - 0.5 b4701 + 0.5 x19101 - x24050 = 0 e69553: - 0.5 b4702 + 0.5 x19102 - x24051 = 0 e69554: - 0.5 b4703 + 0.5 x19103 - x24052 = 0 e69555: - 0.5 b4704 + 0.5 x19104 - x24053 = 0 e69556: - 0.5 b4705 + 0.5 x19105 - x24054 = 0 e69557: - 0.5 b4706 + 0.5 x19106 - x24055 = 0 e69558: - 0.5 b4707 + 0.5 x19107 - x24056 = 0 e69559: - 0.5 b4708 + 0.5 x19108 - x24057 = 0 e69560: - 0.5 b4709 + 0.5 x19109 - x24058 = 0 e69561: - 0.5 b4710 + 0.5 x19110 - x24059 = 0 e69562: - 0.5 b4711 + 0.5 x19111 - x24060 = 0 e69563: - 0.5 b4712 + 0.5 x19112 - x24061 = 0 e69564: - 0.5 b4713 + 0.5 x19113 - x24062 = 0 e69565: - 0.5 b4714 + 0.5 x19114 - x24063 = 0 e69566: - 0.5 b4715 + 0.5 x19115 - x24064 = 0 e69567: - 0.5 b4716 + 0.5 x19116 - x24065 = 0 e69568: - 0.5 b4717 + 0.5 x19117 - x24066 = 0 e69569: - 0.5 b4718 + 0.5 x19118 - x24067 = 0 e69570: - 0.5 b4719 + 0.5 x19119 - x24068 = 0 e69571: - 0.5 b4720 + 0.5 x19120 - x24069 = 0 e69572: - 0.5 b4721 + 0.5 x19121 - x24070 = 0 e69573: - 0.5 b4722 + 0.5 x19122 - x24071 = 0 e69574: - 0.5 b4723 + 0.5 x19123 - x24072 = 0 e69575: - 0.5 b4724 + 0.5 x19124 - x24073 = 0 e69576: - 0.5 b4725 + 0.5 x19125 - x24074 = 0 e69577: - 0.5 b4726 + 0.5 x19126 - x24075 = 0 e69578: - 0.5 b4727 + 0.5 x19127 - x24076 = 0 e69579: - 0.5 b4728 + 0.5 x19128 - x24077 = 0 e69580: - 0.5 b4729 + 0.5 x19129 - x24078 = 0 e69581: - 0.5 b4730 + 0.5 x19130 - x24079 = 0 e69582: - 0.5 b4731 + 0.5 x19131 - x24080 = 0 e69583: - 0.5 b4732 + 0.5 x19132 - x24081 = 0 e69584: - 0.5 b4733 + 0.5 x19133 - x24082 = 0 e69585: - 0.5 b4734 + 0.5 x19134 - x24083 = 0 e69586: - 0.5 b4735 + 0.5 x19135 - x24084 = 0 e69587: - 0.5 b4736 + 0.5 x19136 - x24085 = 0 e69588: - 0.5 b4737 + 0.5 x19137 - x24086 = 0 e69589: - 0.5 b4738 + 0.5 x19138 - x24087 = 0 e69590: - 0.5 b4739 + 0.5 x19139 - x24088 = 0 e69591: - 0.5 b4740 + 0.5 x19140 - x24089 = 0 e69592: - 0.5 b4741 + 0.5 x19141 - x24090 = 0 e69593: - 0.5 b4742 + 0.5 x19142 - x24091 = 0 e69594: - 0.5 b4743 + 0.5 x19143 - x24092 = 0 e69595: - 0.5 b4744 + 0.5 x19144 - x24093 = 0 e69596: - 0.5 b4745 + 0.5 x19145 - x24094 = 0 e69597: - 0.5 b4746 + 0.5 x19146 - x24095 = 0 e69598: - 0.5 b4747 + 0.5 x19147 - x24096 = 0 e69599: - 0.5 b4748 + 0.5 x19148 - x24097 = 0 e69600: - 0.5 b4749 + 0.5 x19149 - x24098 = 0 e69601: - 0.5 b4750 + 0.5 x19150 - x24099 = 0 e69602: - 0.5 b4751 + 0.5 x19151 - x24100 = 0 e69603: - 0.5 b4752 + 0.5 x19152 - x24101 = 0 e69604: - 0.5 b4753 + 0.5 x19153 - x24102 = 0 e69605: - 0.5 b4754 + 0.5 x19154 - x24103 = 0 e69606: - 0.5 b4755 + 0.5 x19155 - x24104 = 0 e69607: - 0.5 b4756 + 0.5 x19156 - x24105 = 0 e69608: - 0.5 b4757 + 0.5 x19157 - x24106 = 0 e69609: - 0.5 b4758 + 0.5 x19158 - x24107 = 0 e69610: - 0.5 b4759 + 0.5 x19159 - x24108 = 0 e69611: - 0.5 b4760 + 0.5 x19160 - x24109 = 0 e69612: - 0.5 b4761 + 0.5 x19161 - x24110 = 0 e69613: - 0.5 b4762 + 0.5 x19162 - x24111 = 0 e69614: - 0.5 b4763 + 0.5 x19163 - x24112 = 0 e69615: - 0.5 b4764 + 0.5 x19164 - x24113 = 0 e69616: - 0.5 b4765 + 0.5 x19165 - x24114 = 0 e69617: - 0.5 b4766 + 0.5 x19166 - x24115 = 0 e69618: - 0.5 b4767 + 0.5 x19167 - x24116 = 0 e69619: - 0.5 b4768 + 0.5 x19168 - x24117 = 0 e69620: - 0.5 b4769 + 0.5 x19169 - x24118 = 0 e69621: - 0.5 b4770 + 0.5 x19170 - x24119 = 0 e69622: - 0.5 b4771 + 0.5 x19171 - x24120 = 0 e69623: - 0.5 b4772 + 0.5 x19172 - x24121 = 0 e69624: - 0.5 b4773 + 0.5 x19173 - x24122 = 0 e69625: - 0.5 b4774 + 0.5 x19174 - x24123 = 0 e69626: - 0.5 b4775 + 0.5 x19175 - x24124 = 0 e69627: - 0.5 b4776 + 0.5 x19176 - x24125 = 0 e69628: - 0.5 b4777 + 0.5 x19177 - x24126 = 0 e69629: - 0.5 b4778 + 0.5 x19178 - x24127 = 0 e69630: - 0.5 b4779 + 0.5 x19179 - x24128 = 0 e69631: - 0.5 b4780 + 0.5 x19180 - x24129 = 0 e69632: - 0.5 b4781 + 0.5 x19181 - x24130 = 0 e69633: - 0.5 b4782 + 0.5 x19182 - x24131 = 0 e69634: - 0.5 b4783 + 0.5 x19183 - x24132 = 0 e69635: - 0.5 b4784 + 0.5 x19184 - x24133 = 0 e69636: - 0.5 b4785 + 0.5 x19185 - x24134 = 0 e69637: - 0.5 b4786 + 0.5 x19186 - x24135 = 0 e69638: - 0.5 b4787 + 0.5 x19187 - x24136 = 0 e69639: - 0.5 b4788 + 0.5 x19188 - x24137 = 0 e69640: - 0.5 b4789 + 0.5 x19189 - x24138 = 0 e69641: - 0.5 b4790 + 0.5 x19190 - x24139 = 0 e69642: - 0.5 b4791 + 0.5 x19191 - x24140 = 0 e69643: - 0.5 b4792 + 0.5 x19192 - x24141 = 0 e69644: - 0.5 b4793 + 0.5 x19193 - x24142 = 0 e69645: - 0.5 b4794 + 0.5 x19194 - x24143 = 0 e69646: - 0.5 b4795 + 0.5 x19195 - x24144 = 0 e69647: - 0.5 b4796 + 0.5 x19196 - x24145 = 0 e69648: - 0.5 b4797 + 0.5 x19197 - x24146 = 0 e69649: - 0.5 b4798 + 0.5 x19198 - x24147 = 0 e69650: - 0.5 b4799 + 0.5 x19199 - x24148 = 0 e69651: - 0.5 b4800 + 0.5 x19200 - x24149 = 0 e69652: - 0.5 b4801 + 0.5 x19201 - x24150 = 0 e69653: 0.5 b2 + 0.5 x14402 - x24151 = 0 e69654: 0.5 b3 + 0.5 x14403 - x24152 = 0 e69655: 0.5 b4 + 0.5 x14404 - x24153 = 0 e69656: 0.5 b5 + 0.5 x14405 - x24154 = 0 e69657: 0.5 b6 + 0.5 x14406 - x24155 = 0 e69658: 0.5 b7 + 0.5 x14407 - x24156 = 0 e69659: 0.5 b8 + 0.5 x14408 - x24157 = 0 e69660: 0.5 b9 + 0.5 x14409 - x24158 = 0 e69661: 0.5 b10 + 0.5 x14410 - x24159 = 0 e69662: 0.5 b11 + 0.5 x14411 - x24160 = 0 e69663: 0.5 b12 + 0.5 x14412 - x24161 = 0 e69664: 0.5 b13 + 0.5 x14413 - x24162 = 0 e69665: 0.5 b14 + 0.5 x14414 - x24163 = 0 e69666: 0.5 b15 + 0.5 x14415 - x24164 = 0 e69667: 0.5 b16 + 0.5 x14416 - x24165 = 0 e69668: 0.5 b17 + 0.5 x14417 - x24166 = 0 e69669: 0.5 b18 + 0.5 x14418 - x24167 = 0 e69670: 0.5 b19 + 0.5 x14419 - x24168 = 0 e69671: 0.5 b20 + 0.5 x14420 - x24169 = 0 e69672: 0.5 b21 + 0.5 x14421 - x24170 = 0 e69673: 0.5 b22 + 0.5 x14422 - x24171 = 0 e69674: 0.5 b23 + 0.5 x14423 - x24172 = 0 e69675: 0.5 b24 + 0.5 x14424 - x24173 = 0 e69676: 0.5 b25 + 0.5 x14425 - x24174 = 0 e69677: 0.5 b26 + 0.5 x14426 - x24175 = 0 e69678: 0.5 b27 + 0.5 x14427 - x24176 = 0 e69679: 0.5 b28 + 0.5 x14428 - x24177 = 0 e69680: 0.5 b29 + 0.5 x14429 - x24178 = 0 e69681: 0.5 b30 + 0.5 x14430 - x24179 = 0 e69682: 0.5 b31 + 0.5 x14431 - x24180 = 0 e69683: 0.5 b32 + 0.5 x14432 - x24181 = 0 e69684: 0.5 b33 + 0.5 x14433 - x24182 = 0 e69685: 0.5 b34 + 0.5 x14434 - x24183 = 0 e69686: 0.5 b35 + 0.5 x14435 - x24184 = 0 e69687: 0.5 b36 + 0.5 x14436 - x24185 = 0 e69688: 0.5 b37 + 0.5 x14437 - x24186 = 0 e69689: 0.5 b38 + 0.5 x14438 - x24187 = 0 e69690: 0.5 b39 + 0.5 x14439 - x24188 = 0 e69691: 0.5 b40 + 0.5 x14440 - x24189 = 0 e69692: 0.5 b41 + 0.5 x14441 - x24190 = 0 e69693: 0.5 b42 + 0.5 x14442 - x24191 = 0 e69694: 0.5 b43 + 0.5 x14443 - x24192 = 0 e69695: 0.5 b44 + 0.5 x14444 - x24193 = 0 e69696: 0.5 b45 + 0.5 x14445 - x24194 = 0 e69697: 0.5 b46 + 0.5 x14446 - x24195 = 0 e69698: 0.5 b47 + 0.5 x14447 - x24196 = 0 e69699: 0.5 b48 + 0.5 x14448 - x24197 = 0 e69700: 0.5 b49 + 0.5 x14449 - x24198 = 0 e69701: 0.5 b50 + 0.5 x14450 - x24199 = 0 e69702: 0.5 b51 + 0.5 x14451 - x24200 = 0 e69703: 0.5 b52 + 0.5 x14452 - x24201 = 0 e69704: 0.5 b53 + 0.5 x14453 - x24202 = 0 e69705: 0.5 b54 + 0.5 x14454 - x24203 = 0 e69706: 0.5 b55 + 0.5 x14455 - x24204 = 0 e69707: 0.5 b56 + 0.5 x14456 - x24205 = 0 e69708: 0.5 b57 + 0.5 x14457 - x24206 = 0 e69709: 0.5 b58 + 0.5 x14458 - x24207 = 0 e69710: 0.5 b59 + 0.5 x14459 - x24208 = 0 e69711: 0.5 b60 + 0.5 x14460 - x24209 = 0 e69712: 0.5 b61 + 0.5 x14461 - x24210 = 0 e69713: 0.5 b62 + 0.5 x14462 - x24211 = 0 e69714: 0.5 b63 + 0.5 x14463 - x24212 = 0 e69715: 0.5 b64 + 0.5 x14464 - x24213 = 0 e69716: 0.5 b65 + 0.5 x14465 - x24214 = 0 e69717: 0.5 b66 + 0.5 x14466 - x24215 = 0 e69718: 0.5 b67 + 0.5 x14467 - x24216 = 0 e69719: 0.5 b68 + 0.5 x14468 - x24217 = 0 e69720: 0.5 b69 + 0.5 x14469 - x24218 = 0 e69721: 0.5 b70 + 0.5 x14470 - x24219 = 0 e69722: 0.5 b71 + 0.5 x14471 - x24220 = 0 e69723: 0.5 b72 + 0.5 x14472 - x24221 = 0 e69724: 0.5 b73 + 0.5 x14473 - x24222 = 0 e69725: 0.5 b74 + 0.5 x14474 - x24223 = 0 e69726: 0.5 b75 + 0.5 x14475 - x24224 = 0 e69727: 0.5 b76 + 0.5 x14476 - x24225 = 0 e69728: 0.5 b77 + 0.5 x14477 - x24226 = 0 e69729: 0.5 b78 + 0.5 x14478 - x24227 = 0 e69730: 0.5 b79 + 0.5 x14479 - x24228 = 0 e69731: 0.5 b80 + 0.5 x14480 - x24229 = 0 e69732: 0.5 b81 + 0.5 x14481 - x24230 = 0 e69733: 0.5 b82 + 0.5 x14482 - x24231 = 0 e69734: 0.5 b83 + 0.5 x14483 - x24232 = 0 e69735: 0.5 b84 + 0.5 x14484 - x24233 = 0 e69736: 0.5 b85 + 0.5 x14485 - x24234 = 0 e69737: 0.5 b86 + 0.5 x14486 - x24235 = 0 e69738: 0.5 b87 + 0.5 x14487 - x24236 = 0 e69739: 0.5 b88 + 0.5 x14488 - x24237 = 0 e69740: 0.5 b89 + 0.5 x14489 - x24238 = 0 e69741: 0.5 b90 + 0.5 x14490 - x24239 = 0 e69742: 0.5 b91 + 0.5 x14491 - x24240 = 0 e69743: 0.5 b92 + 0.5 x14492 - x24241 = 0 e69744: 0.5 b93 + 0.5 x14493 - x24242 = 0 e69745: 0.5 b94 + 0.5 x14494 - x24243 = 0 e69746: 0.5 b95 + 0.5 x14495 - x24244 = 0 e69747: 0.5 b96 + 0.5 x14496 - x24245 = 0 e69748: 0.5 b97 + 0.5 x14497 - x24246 = 0 e69749: 0.5 b98 + 0.5 x14498 - x24247 = 0 e69750: 0.5 b99 + 0.5 x14499 - x24248 = 0 e69751: 0.5 b100 + 0.5 x14500 - x24249 = 0 e69752: 0.5 b101 + 0.5 x14501 - x24250 = 0 e69753: 0.5 b102 + 0.5 x14502 - x24251 = 0 e69754: 0.5 b103 + 0.5 x14503 - x24252 = 0 e69755: 0.5 b104 + 0.5 x14504 - x24253 = 0 e69756: 0.5 b105 + 0.5 x14505 - x24254 = 0 e69757: 0.5 b106 + 0.5 x14506 - x24255 = 0 e69758: 0.5 b107 + 0.5 x14507 - x24256 = 0 e69759: 0.5 b108 + 0.5 x14508 - x24257 = 0 e69760: 0.5 b109 + 0.5 x14509 - x24258 = 0 e69761: 0.5 b110 + 0.5 x14510 - x24259 = 0 e69762: 0.5 b111 + 0.5 x14511 - x24260 = 0 e69763: 0.5 b112 + 0.5 x14512 - x24261 = 0 e69764: 0.5 b113 + 0.5 x14513 - x24262 = 0 e69765: 0.5 b114 + 0.5 x14514 - x24263 = 0 e69766: 0.5 b115 + 0.5 x14515 - x24264 = 0 e69767: 0.5 b116 + 0.5 x14516 - x24265 = 0 e69768: 0.5 b117 + 0.5 x14517 - x24266 = 0 e69769: 0.5 b118 + 0.5 x14518 - x24267 = 0 e69770: 0.5 b119 + 0.5 x14519 - x24268 = 0 e69771: 0.5 b120 + 0.5 x14520 - x24269 = 0 e69772: 0.5 b121 + 0.5 x14521 - x24270 = 0 e69773: 0.5 b122 + 0.5 x14522 - x24271 = 0 e69774: 0.5 b123 + 0.5 x14523 - x24272 = 0 e69775: 0.5 b124 + 0.5 x14524 - x24273 = 0 e69776: 0.5 b125 + 0.5 x14525 - x24274 = 0 e69777: 0.5 b126 + 0.5 x14526 - x24275 = 0 e69778: 0.5 b127 + 0.5 x14527 - x24276 = 0 e69779: 0.5 b128 + 0.5 x14528 - x24277 = 0 e69780: 0.5 b129 + 0.5 x14529 - x24278 = 0 e69781: 0.5 b130 + 0.5 x14530 - x24279 = 0 e69782: 0.5 b131 + 0.5 x14531 - x24280 = 0 e69783: 0.5 b132 + 0.5 x14532 - x24281 = 0 e69784: 0.5 b133 + 0.5 x14533 - x24282 = 0 e69785: 0.5 b134 + 0.5 x14534 - x24283 = 0 e69786: 0.5 b135 + 0.5 x14535 - x24284 = 0 e69787: 0.5 b136 + 0.5 x14536 - x24285 = 0 e69788: 0.5 b137 + 0.5 x14537 - x24286 = 0 e69789: 0.5 b138 + 0.5 x14538 - x24287 = 0 e69790: 0.5 b139 + 0.5 x14539 - x24288 = 0 e69791: 0.5 b140 + 0.5 x14540 - x24289 = 0 e69792: 0.5 b141 + 0.5 x14541 - x24290 = 0 e69793: 0.5 b142 + 0.5 x14542 - x24291 = 0 e69794: 0.5 b143 + 0.5 x14543 - x24292 = 0 e69795: 0.5 b144 + 0.5 x14544 - x24293 = 0 e69796: 0.5 b145 + 0.5 x14545 - x24294 = 0 e69797: 0.5 b146 + 0.5 x14546 - x24295 = 0 e69798: 0.5 b147 + 0.5 x14547 - x24296 = 0 e69799: 0.5 b148 + 0.5 x14548 - x24297 = 0 e69800: 0.5 b149 + 0.5 x14549 - x24298 = 0 e69801: 0.5 b150 + 0.5 x14550 - x24299 = 0 e69802: 0.5 b151 + 0.5 x14551 - x24300 = 0 e69803: 0.5 b152 + 0.5 x14552 - x24301 = 0 e69804: 0.5 b153 + 0.5 x14553 - x24302 = 0 e69805: 0.5 b154 + 0.5 x14554 - x24303 = 0 e69806: 0.5 b155 + 0.5 x14555 - x24304 = 0 e69807: 0.5 b156 + 0.5 x14556 - x24305 = 0 e69808: 0.5 b157 + 0.5 x14557 - x24306 = 0 e69809: 0.5 b158 + 0.5 x14558 - x24307 = 0 e69810: 0.5 b159 + 0.5 x14559 - x24308 = 0 e69811: 0.5 b160 + 0.5 x14560 - x24309 = 0 e69812: 0.5 b161 + 0.5 x14561 - x24310 = 0 e69813: 0.5 b162 + 0.5 x14562 - x24311 = 0 e69814: 0.5 b163 + 0.5 x14563 - x24312 = 0 e69815: 0.5 b164 + 0.5 x14564 - x24313 = 0 e69816: 0.5 b165 + 0.5 x14565 - x24314 = 0 e69817: 0.5 b166 + 0.5 x14566 - x24315 = 0 e69818: 0.5 b167 + 0.5 x14567 - x24316 = 0 e69819: 0.5 b168 + 0.5 x14568 - x24317 = 0 e69820: 0.5 b169 + 0.5 x14569 - x24318 = 0 e69821: 0.5 b170 + 0.5 x14570 - x24319 = 0 e69822: 0.5 b171 + 0.5 x14571 - x24320 = 0 e69823: 0.5 b172 + 0.5 x14572 - x24321 = 0 e69824: 0.5 b173 + 0.5 x14573 - x24322 = 0 e69825: 0.5 b174 + 0.5 x14574 - x24323 = 0 e69826: 0.5 b175 + 0.5 x14575 - x24324 = 0 e69827: 0.5 b176 + 0.5 x14576 - x24325 = 0 e69828: 0.5 b177 + 0.5 x14577 - x24326 = 0 e69829: 0.5 b178 + 0.5 x14578 - x24327 = 0 e69830: 0.5 b179 + 0.5 x14579 - x24328 = 0 e69831: 0.5 b180 + 0.5 x14580 - x24329 = 0 e69832: 0.5 b181 + 0.5 x14581 - x24330 = 0 e69833: 0.5 b182 + 0.5 x14582 - x24331 = 0 e69834: 0.5 b183 + 0.5 x14583 - x24332 = 0 e69835: 0.5 b184 + 0.5 x14584 - x24333 = 0 e69836: 0.5 b185 + 0.5 x14585 - x24334 = 0 e69837: 0.5 b186 + 0.5 x14586 - x24335 = 0 e69838: 0.5 b187 + 0.5 x14587 - x24336 = 0 e69839: 0.5 b188 + 0.5 x14588 - x24337 = 0 e69840: 0.5 b189 + 0.5 x14589 - x24338 = 0 e69841: 0.5 b190 + 0.5 x14590 - x24339 = 0 e69842: 0.5 b191 + 0.5 x14591 - x24340 = 0 e69843: 0.5 b192 + 0.5 x14592 - x24341 = 0 e69844: 0.5 b193 + 0.5 x14593 - x24342 = 0 e69845: 0.5 b194 + 0.5 x14594 - x24343 = 0 e69846: 0.5 b195 + 0.5 x14595 - x24344 = 0 e69847: 0.5 b196 + 0.5 x14596 - x24345 = 0 e69848: 0.5 b197 + 0.5 x14597 - x24346 = 0 e69849: 0.5 b198 + 0.5 x14598 - x24347 = 0 e69850: 0.5 b199 + 0.5 x14599 - x24348 = 0 e69851: 0.5 b200 + 0.5 x14600 - x24349 = 0 e69852: 0.5 b201 + 0.5 x14601 - x24350 = 0 e69853: 0.5 b202 + 0.5 x14602 - x24351 = 0 e69854: 0.5 b203 + 0.5 x14603 - x24352 = 0 e69855: 0.5 b204 + 0.5 x14604 - x24353 = 0 e69856: 0.5 b205 + 0.5 x14605 - x24354 = 0 e69857: 0.5 b206 + 0.5 x14606 - x24355 = 0 e69858: 0.5 b207 + 0.5 x14607 - x24356 = 0 e69859: 0.5 b208 + 0.5 x14608 - x24357 = 0 e69860: 0.5 b209 + 0.5 x14609 - x24358 = 0 e69861: 0.5 b210 + 0.5 x14610 - x24359 = 0 e69862: 0.5 b211 + 0.5 x14611 - x24360 = 0 e69863: 0.5 b212 + 0.5 x14612 - x24361 = 0 e69864: 0.5 b213 + 0.5 x14613 - x24362 = 0 e69865: 0.5 b214 + 0.5 x14614 - x24363 = 0 e69866: 0.5 b215 + 0.5 x14615 - x24364 = 0 e69867: 0.5 b216 + 0.5 x14616 - x24365 = 0 e69868: 0.5 b217 + 0.5 x14617 - x24366 = 0 e69869: 0.5 b218 + 0.5 x14618 - x24367 = 0 e69870: 0.5 b219 + 0.5 x14619 - x24368 = 0 e69871: 0.5 b220 + 0.5 x14620 - x24369 = 0 e69872: 0.5 b221 + 0.5 x14621 - x24370 = 0 e69873: 0.5 b222 + 0.5 x14622 - x24371 = 0 e69874: 0.5 b223 + 0.5 x14623 - x24372 = 0 e69875: 0.5 b224 + 0.5 x14624 - x24373 = 0 e69876: 0.5 b225 + 0.5 x14625 - x24374 = 0 e69877: 0.5 b226 + 0.5 x14626 - x24375 = 0 e69878: 0.5 b227 + 0.5 x14627 - x24376 = 0 e69879: 0.5 b228 + 0.5 x14628 - x24377 = 0 e69880: 0.5 b229 + 0.5 x14629 - x24378 = 0 e69881: 0.5 b230 + 0.5 x14630 - x24379 = 0 e69882: 0.5 b231 + 0.5 x14631 - x24380 = 0 e69883: 0.5 b232 + 0.5 x14632 - x24381 = 0 e69884: 0.5 b233 + 0.5 x14633 - x24382 = 0 e69885: 0.5 b234 + 0.5 x14634 - x24383 = 0 e69886: 0.5 b235 + 0.5 x14635 - x24384 = 0 e69887: 0.5 b236 + 0.5 x14636 - x24385 = 0 e69888: 0.5 b237 + 0.5 x14637 - x24386 = 0 e69889: 0.5 b238 + 0.5 x14638 - x24387 = 0 e69890: 0.5 b239 + 0.5 x14639 - x24388 = 0 e69891: 0.5 b240 + 0.5 x14640 - x24389 = 0 e69892: 0.5 b241 + 0.5 x14641 - x24390 = 0 e69893: 0.5 b242 + 0.5 x14642 - x24391 = 0 e69894: 0.5 b243 + 0.5 x14643 - x24392 = 0 e69895: 0.5 b244 + 0.5 x14644 - x24393 = 0 e69896: 0.5 b245 + 0.5 x14645 - x24394 = 0 e69897: 0.5 b246 + 0.5 x14646 - x24395 = 0 e69898: 0.5 b247 + 0.5 x14647 - x24396 = 0 e69899: 0.5 b248 + 0.5 x14648 - x24397 = 0 e69900: 0.5 b249 + 0.5 x14649 - x24398 = 0 e69901: 0.5 b250 + 0.5 x14650 - x24399 = 0 e69902: 0.5 b251 + 0.5 x14651 - x24400 = 0 e69903: 0.5 b252 + 0.5 x14652 - x24401 = 0 e69904: 0.5 b253 + 0.5 x14653 - x24402 = 0 e69905: 0.5 b254 + 0.5 x14654 - x24403 = 0 e69906: 0.5 b255 + 0.5 x14655 - x24404 = 0 e69907: 0.5 b256 + 0.5 x14656 - x24405 = 0 e69908: 0.5 b257 + 0.5 x14657 - x24406 = 0 e69909: 0.5 b258 + 0.5 x14658 - x24407 = 0 e69910: 0.5 b259 + 0.5 x14659 - x24408 = 0 e69911: 0.5 b260 + 0.5 x14660 - x24409 = 0 e69912: 0.5 b261 + 0.5 x14661 - x24410 = 0 e69913: 0.5 b262 + 0.5 x14662 - x24411 = 0 e69914: 0.5 b263 + 0.5 x14663 - x24412 = 0 e69915: 0.5 b264 + 0.5 x14664 - x24413 = 0 e69916: 0.5 b265 + 0.5 x14665 - x24414 = 0 e69917: 0.5 b266 + 0.5 x14666 - x24415 = 0 e69918: 0.5 b267 + 0.5 x14667 - x24416 = 0 e69919: 0.5 b268 + 0.5 x14668 - x24417 = 0 e69920: 0.5 b269 + 0.5 x14669 - x24418 = 0 e69921: 0.5 b270 + 0.5 x14670 - x24419 = 0 e69922: 0.5 b271 + 0.5 x14671 - x24420 = 0 e69923: 0.5 b272 + 0.5 x14672 - x24421 = 0 e69924: 0.5 b273 + 0.5 x14673 - x24422 = 0 e69925: 0.5 b274 + 0.5 x14674 - x24423 = 0 e69926: 0.5 b275 + 0.5 x14675 - x24424 = 0 e69927: 0.5 b276 + 0.5 x14676 - x24425 = 0 e69928: 0.5 b277 + 0.5 x14677 - x24426 = 0 e69929: 0.5 b278 + 0.5 x14678 - x24427 = 0 e69930: 0.5 b279 + 0.5 x14679 - x24428 = 0 e69931: 0.5 b280 + 0.5 x14680 - x24429 = 0 e69932: 0.5 b281 + 0.5 x14681 - x24430 = 0 e69933: 0.5 b282 + 0.5 x14682 - x24431 = 0 e69934: 0.5 b283 + 0.5 x14683 - x24432 = 0 e69935: 0.5 b284 + 0.5 x14684 - x24433 = 0 e69936: 0.5 b285 + 0.5 x14685 - x24434 = 0 e69937: 0.5 b286 + 0.5 x14686 - x24435 = 0 e69938: 0.5 b287 + 0.5 x14687 - x24436 = 0 e69939: 0.5 b288 + 0.5 x14688 - x24437 = 0 e69940: 0.5 b289 + 0.5 x14689 - x24438 = 0 e69941: 0.5 b290 + 0.5 x14690 - x24439 = 0 e69942: 0.5 b291 + 0.5 x14691 - x24440 = 0 e69943: 0.5 b292 + 0.5 x14692 - x24441 = 0 e69944: 0.5 b293 + 0.5 x14693 - x24442 = 0 e69945: 0.5 b294 + 0.5 x14694 - x24443 = 0 e69946: 0.5 b295 + 0.5 x14695 - x24444 = 0 e69947: 0.5 b296 + 0.5 x14696 - x24445 = 0 e69948: 0.5 b297 + 0.5 x14697 - x24446 = 0 e69949: 0.5 b298 + 0.5 x14698 - x24447 = 0 e69950: 0.5 b299 + 0.5 x14699 - x24448 = 0 e69951: 0.5 b300 + 0.5 x14700 - x24449 = 0 e69952: 0.5 b301 + 0.5 x14701 - x24450 = 0 e69953: 0.5 b302 + 0.5 x14702 - x24451 = 0 e69954: 0.5 b303 + 0.5 x14703 - x24452 = 0 e69955: 0.5 b304 + 0.5 x14704 - x24453 = 0 e69956: 0.5 b305 + 0.5 x14705 - x24454 = 0 e69957: 0.5 b306 + 0.5 x14706 - x24455 = 0 e69958: 0.5 b307 + 0.5 x14707 - x24456 = 0 e69959: 0.5 b308 + 0.5 x14708 - x24457 = 0 e69960: 0.5 b309 + 0.5 x14709 - x24458 = 0 e69961: 0.5 b310 + 0.5 x14710 - x24459 = 0 e69962: 0.5 b311 + 0.5 x14711 - x24460 = 0 e69963: 0.5 b312 + 0.5 x14712 - x24461 = 0 e69964: 0.5 b313 + 0.5 x14713 - x24462 = 0 e69965: 0.5 b314 + 0.5 x14714 - x24463 = 0 e69966: 0.5 b315 + 0.5 x14715 - x24464 = 0 e69967: 0.5 b316 + 0.5 x14716 - x24465 = 0 e69968: 0.5 b317 + 0.5 x14717 - x24466 = 0 e69969: 0.5 b318 + 0.5 x14718 - x24467 = 0 e69970: 0.5 b319 + 0.5 x14719 - x24468 = 0 e69971: 0.5 b320 + 0.5 x14720 - x24469 = 0 e69972: 0.5 b321 + 0.5 x14721 - x24470 = 0 e69973: 0.5 b322 + 0.5 x14722 - x24471 = 0 e69974: 0.5 b323 + 0.5 x14723 - x24472 = 0 e69975: 0.5 b324 + 0.5 x14724 - x24473 = 0 e69976: 0.5 b325 + 0.5 x14725 - x24474 = 0 e69977: 0.5 b326 + 0.5 x14726 - x24475 = 0 e69978: 0.5 b327 + 0.5 x14727 - x24476 = 0 e69979: 0.5 b328 + 0.5 x14728 - x24477 = 0 e69980: 0.5 b329 + 0.5 x14729 - x24478 = 0 e69981: 0.5 b330 + 0.5 x14730 - x24479 = 0 e69982: 0.5 b331 + 0.5 x14731 - x24480 = 0 e69983: 0.5 b332 + 0.5 x14732 - x24481 = 0 e69984: 0.5 b333 + 0.5 x14733 - x24482 = 0 e69985: 0.5 b334 + 0.5 x14734 - x24483 = 0 e69986: 0.5 b335 + 0.5 x14735 - x24484 = 0 e69987: 0.5 b336 + 0.5 x14736 - x24485 = 0 e69988: 0.5 b337 + 0.5 x14737 - x24486 = 0 e69989: 0.5 b338 + 0.5 x14738 - x24487 = 0 e69990: 0.5 b339 + 0.5 x14739 - x24488 = 0 e69991: 0.5 b340 + 0.5 x14740 - x24489 = 0 e69992: 0.5 b341 + 0.5 x14741 - x24490 = 0 e69993: 0.5 b342 + 0.5 x14742 - x24491 = 0 e69994: 0.5 b343 + 0.5 x14743 - x24492 = 0 e69995: 0.5 b344 + 0.5 x14744 - x24493 = 0 e69996: 0.5 b345 + 0.5 x14745 - x24494 = 0 e69997: 0.5 b346 + 0.5 x14746 - x24495 = 0 e69998: 0.5 b347 + 0.5 x14747 - x24496 = 0 e69999: 0.5 b348 + 0.5 x14748 - x24497 = 0 e70000: 0.5 b349 + 0.5 x14749 - x24498 = 0 e70001: 0.5 b350 + 0.5 x14750 - x24499 = 0 e70002: 0.5 b351 + 0.5 x14751 - x24500 = 0 e70003: 0.5 b352 + 0.5 x14752 - x24501 = 0 e70004: 0.5 b353 + 0.5 x14753 - x24502 = 0 e70005: 0.5 b354 + 0.5 x14754 - x24503 = 0 e70006: 0.5 b355 + 0.5 x14755 - x24504 = 0 e70007: 0.5 b356 + 0.5 x14756 - x24505 = 0 e70008: 0.5 b357 + 0.5 x14757 - x24506 = 0 e70009: 0.5 b358 + 0.5 x14758 - x24507 = 0 e70010: 0.5 b359 + 0.5 x14759 - x24508 = 0 e70011: 0.5 b360 + 0.5 x14760 - x24509 = 0 e70012: 0.5 b361 + 0.5 x14761 - x24510 = 0 e70013: 0.5 b362 + 0.5 x14762 - x24511 = 0 e70014: 0.5 b363 + 0.5 x14763 - x24512 = 0 e70015: 0.5 b364 + 0.5 x14764 - x24513 = 0 e70016: 0.5 b365 + 0.5 x14765 - x24514 = 0 e70017: 0.5 b366 + 0.5 x14766 - x24515 = 0 e70018: 0.5 b367 + 0.5 x14767 - x24516 = 0 e70019: 0.5 b368 + 0.5 x14768 - x24517 = 0 e70020: 0.5 b369 + 0.5 x14769 - x24518 = 0 e70021: 0.5 b370 + 0.5 x14770 - x24519 = 0 e70022: 0.5 b371 + 0.5 x14771 - x24520 = 0 e70023: 0.5 b372 + 0.5 x14772 - x24521 = 0 e70024: 0.5 b373 + 0.5 x14773 - x24522 = 0 e70025: 0.5 b374 + 0.5 x14774 - x24523 = 0 e70026: 0.5 b375 + 0.5 x14775 - x24524 = 0 e70027: 0.5 b376 + 0.5 x14776 - x24525 = 0 e70028: 0.5 b377 + 0.5 x14777 - x24526 = 0 e70029: 0.5 b378 + 0.5 x14778 - x24527 = 0 e70030: 0.5 b379 + 0.5 x14779 - x24528 = 0 e70031: 0.5 b380 + 0.5 x14780 - x24529 = 0 e70032: 0.5 b381 + 0.5 x14781 - x24530 = 0 e70033: 0.5 b382 + 0.5 x14782 - x24531 = 0 e70034: 0.5 b383 + 0.5 x14783 - x24532 = 0 e70035: 0.5 b384 + 0.5 x14784 - x24533 = 0 e70036: 0.5 b385 + 0.5 x14785 - x24534 = 0 e70037: 0.5 b386 + 0.5 x14786 - x24535 = 0 e70038: 0.5 b387 + 0.5 x14787 - x24536 = 0 e70039: 0.5 b388 + 0.5 x14788 - x24537 = 0 e70040: 0.5 b389 + 0.5 x14789 - x24538 = 0 e70041: 0.5 b390 + 0.5 x14790 - x24539 = 0 e70042: 0.5 b391 + 0.5 x14791 - x24540 = 0 e70043: 0.5 b392 + 0.5 x14792 - x24541 = 0 e70044: 0.5 b393 + 0.5 x14793 - x24542 = 0 e70045: 0.5 b394 + 0.5 x14794 - x24543 = 0 e70046: 0.5 b395 + 0.5 x14795 - x24544 = 0 e70047: 0.5 b396 + 0.5 x14796 - x24545 = 0 e70048: 0.5 b397 + 0.5 x14797 - x24546 = 0 e70049: 0.5 b398 + 0.5 x14798 - x24547 = 0 e70050: 0.5 b399 + 0.5 x14799 - x24548 = 0 e70051: 0.5 b400 + 0.5 x14800 - x24549 = 0 e70052: 0.5 b401 + 0.5 x14801 - x24550 = 0 e70053: 0.5 b402 + 0.5 x14802 - x24551 = 0 e70054: 0.5 b403 + 0.5 x14803 - x24552 = 0 e70055: 0.5 b404 + 0.5 x14804 - x24553 = 0 e70056: 0.5 b405 + 0.5 x14805 - x24554 = 0 e70057: 0.5 b406 + 0.5 x14806 - x24555 = 0 e70058: 0.5 b407 + 0.5 x14807 - x24556 = 0 e70059: 0.5 b408 + 0.5 x14808 - x24557 = 0 e70060: 0.5 b409 + 0.5 x14809 - x24558 = 0 e70061: 0.5 b410 + 0.5 x14810 - x24559 = 0 e70062: 0.5 b411 + 0.5 x14811 - x24560 = 0 e70063: 0.5 b412 + 0.5 x14812 - x24561 = 0 e70064: 0.5 b413 + 0.5 x14813 - x24562 = 0 e70065: 0.5 b414 + 0.5 x14814 - x24563 = 0 e70066: 0.5 b415 + 0.5 x14815 - x24564 = 0 e70067: 0.5 b416 + 0.5 x14816 - x24565 = 0 e70068: 0.5 b417 + 0.5 x14817 - x24566 = 0 e70069: 0.5 b418 + 0.5 x14818 - x24567 = 0 e70070: 0.5 b419 + 0.5 x14819 - x24568 = 0 e70071: 0.5 b420 + 0.5 x14820 - x24569 = 0 e70072: 0.5 b421 + 0.5 x14821 - x24570 = 0 e70073: 0.5 b422 + 0.5 x14822 - x24571 = 0 e70074: 0.5 b423 + 0.5 x14823 - x24572 = 0 e70075: 0.5 b424 + 0.5 x14824 - x24573 = 0 e70076: 0.5 b425 + 0.5 x14825 - x24574 = 0 e70077: 0.5 b426 + 0.5 x14826 - x24575 = 0 e70078: 0.5 b427 + 0.5 x14827 - x24576 = 0 e70079: 0.5 b428 + 0.5 x14828 - x24577 = 0 e70080: 0.5 b429 + 0.5 x14829 - x24578 = 0 e70081: 0.5 b430 + 0.5 x14830 - x24579 = 0 e70082: 0.5 b431 + 0.5 x14831 - x24580 = 0 e70083: 0.5 b432 + 0.5 x14832 - x24581 = 0 e70084: 0.5 b433 + 0.5 x14833 - x24582 = 0 e70085: 0.5 b434 + 0.5 x14834 - x24583 = 0 e70086: 0.5 b435 + 0.5 x14835 - x24584 = 0 e70087: 0.5 b436 + 0.5 x14836 - x24585 = 0 e70088: 0.5 b437 + 0.5 x14837 - x24586 = 0 e70089: 0.5 b438 + 0.5 x14838 - x24587 = 0 e70090: 0.5 b439 + 0.5 x14839 - x24588 = 0 e70091: 0.5 b440 + 0.5 x14840 - x24589 = 0 e70092: 0.5 b441 + 0.5 x14841 - x24590 = 0 e70093: 0.5 b442 + 0.5 x14842 - x24591 = 0 e70094: 0.5 b443 + 0.5 x14843 - x24592 = 0 e70095: 0.5 b444 + 0.5 x14844 - x24593 = 0 e70096: 0.5 b445 + 0.5 x14845 - x24594 = 0 e70097: 0.5 b446 + 0.5 x14846 - x24595 = 0 e70098: 0.5 b447 + 0.5 x14847 - x24596 = 0 e70099: 0.5 b448 + 0.5 x14848 - x24597 = 0 e70100: 0.5 b449 + 0.5 x14849 - x24598 = 0 e70101: 0.5 b450 + 0.5 x14850 - x24599 = 0 e70102: 0.5 b451 + 0.5 x14851 - x24600 = 0 e70103: 0.5 b452 + 0.5 x14852 - x24601 = 0 e70104: 0.5 b453 + 0.5 x14853 - x24602 = 0 e70105: 0.5 b454 + 0.5 x14854 - x24603 = 0 e70106: 0.5 b455 + 0.5 x14855 - x24604 = 0 e70107: 0.5 b456 + 0.5 x14856 - x24605 = 0 e70108: 0.5 b457 + 0.5 x14857 - x24606 = 0 e70109: 0.5 b458 + 0.5 x14858 - x24607 = 0 e70110: 0.5 b459 + 0.5 x14859 - x24608 = 0 e70111: 0.5 b460 + 0.5 x14860 - x24609 = 0 e70112: 0.5 b461 + 0.5 x14861 - x24610 = 0 e70113: 0.5 b462 + 0.5 x14862 - x24611 = 0 e70114: 0.5 b463 + 0.5 x14863 - x24612 = 0 e70115: 0.5 b464 + 0.5 x14864 - x24613 = 0 e70116: 0.5 b465 + 0.5 x14865 - x24614 = 0 e70117: 0.5 b466 + 0.5 x14866 - x24615 = 0 e70118: 0.5 b467 + 0.5 x14867 - x24616 = 0 e70119: 0.5 b468 + 0.5 x14868 - x24617 = 0 e70120: 0.5 b469 + 0.5 x14869 - x24618 = 0 e70121: 0.5 b470 + 0.5 x14870 - x24619 = 0 e70122: 0.5 b471 + 0.5 x14871 - x24620 = 0 e70123: 0.5 b472 + 0.5 x14872 - x24621 = 0 e70124: 0.5 b473 + 0.5 x14873 - x24622 = 0 e70125: 0.5 b474 + 0.5 x14874 - x24623 = 0 e70126: 0.5 b475 + 0.5 x14875 - x24624 = 0 e70127: 0.5 b476 + 0.5 x14876 - x24625 = 0 e70128: 0.5 b477 + 0.5 x14877 - x24626 = 0 e70129: 0.5 b478 + 0.5 x14878 - x24627 = 0 e70130: 0.5 b479 + 0.5 x14879 - x24628 = 0 e70131: 0.5 b480 + 0.5 x14880 - x24629 = 0 e70132: 0.5 b481 + 0.5 x14881 - x24630 = 0 e70133: 0.5 b482 + 0.5 x14882 - x24631 = 0 e70134: 0.5 b483 + 0.5 x14883 - x24632 = 0 e70135: 0.5 b484 + 0.5 x14884 - x24633 = 0 e70136: 0.5 b485 + 0.5 x14885 - x24634 = 0 e70137: 0.5 b486 + 0.5 x14886 - x24635 = 0 e70138: 0.5 b487 + 0.5 x14887 - x24636 = 0 e70139: 0.5 b488 + 0.5 x14888 - x24637 = 0 e70140: 0.5 b489 + 0.5 x14889 - x24638 = 0 e70141: 0.5 b490 + 0.5 x14890 - x24639 = 0 e70142: 0.5 b491 + 0.5 x14891 - x24640 = 0 e70143: 0.5 b492 + 0.5 x14892 - x24641 = 0 e70144: 0.5 b493 + 0.5 x14893 - x24642 = 0 e70145: 0.5 b494 + 0.5 x14894 - x24643 = 0 e70146: 0.5 b495 + 0.5 x14895 - x24644 = 0 e70147: 0.5 b496 + 0.5 x14896 - x24645 = 0 e70148: 0.5 b497 + 0.5 x14897 - x24646 = 0 e70149: 0.5 b498 + 0.5 x14898 - x24647 = 0 e70150: 0.5 b499 + 0.5 x14899 - x24648 = 0 e70151: 0.5 b500 + 0.5 x14900 - x24649 = 0 e70152: 0.5 b501 + 0.5 x14901 - x24650 = 0 e70153: 0.5 b502 + 0.5 x14902 - x24651 = 0 e70154: 0.5 b503 + 0.5 x14903 - x24652 = 0 e70155: 0.5 b504 + 0.5 x14904 - x24653 = 0 e70156: 0.5 b505 + 0.5 x14905 - x24654 = 0 e70157: 0.5 b506 + 0.5 x14906 - x24655 = 0 e70158: 0.5 b507 + 0.5 x14907 - x24656 = 0 e70159: 0.5 b508 + 0.5 x14908 - x24657 = 0 e70160: 0.5 b509 + 0.5 x14909 - x24658 = 0 e70161: 0.5 b510 + 0.5 x14910 - x24659 = 0 e70162: 0.5 b511 + 0.5 x14911 - x24660 = 0 e70163: 0.5 b512 + 0.5 x14912 - x24661 = 0 e70164: 0.5 b513 + 0.5 x14913 - x24662 = 0 e70165: 0.5 b514 + 0.5 x14914 - x24663 = 0 e70166: 0.5 b515 + 0.5 x14915 - x24664 = 0 e70167: 0.5 b516 + 0.5 x14916 - x24665 = 0 e70168: 0.5 b517 + 0.5 x14917 - x24666 = 0 e70169: 0.5 b518 + 0.5 x14918 - x24667 = 0 e70170: 0.5 b519 + 0.5 x14919 - x24668 = 0 e70171: 0.5 b520 + 0.5 x14920 - x24669 = 0 e70172: 0.5 b521 + 0.5 x14921 - x24670 = 0 e70173: 0.5 b522 + 0.5 x14922 - x24671 = 0 e70174: 0.5 b523 + 0.5 x14923 - x24672 = 0 e70175: 0.5 b524 + 0.5 x14924 - x24673 = 0 e70176: 0.5 b525 + 0.5 x14925 - x24674 = 0 e70177: 0.5 b526 + 0.5 x14926 - x24675 = 0 e70178: 0.5 b527 + 0.5 x14927 - x24676 = 0 e70179: 0.5 b528 + 0.5 x14928 - x24677 = 0 e70180: 0.5 b529 + 0.5 x14929 - x24678 = 0 e70181: 0.5 b530 + 0.5 x14930 - x24679 = 0 e70182: 0.5 b531 + 0.5 x14931 - x24680 = 0 e70183: 0.5 b532 + 0.5 x14932 - x24681 = 0 e70184: 0.5 b533 + 0.5 x14933 - x24682 = 0 e70185: 0.5 b534 + 0.5 x14934 - x24683 = 0 e70186: 0.5 b535 + 0.5 x14935 - x24684 = 0 e70187: 0.5 b536 + 0.5 x14936 - x24685 = 0 e70188: 0.5 b537 + 0.5 x14937 - x24686 = 0 e70189: 0.5 b538 + 0.5 x14938 - x24687 = 0 e70190: 0.5 b539 + 0.5 x14939 - x24688 = 0 e70191: 0.5 b540 + 0.5 x14940 - x24689 = 0 e70192: 0.5 b541 + 0.5 x14941 - x24690 = 0 e70193: 0.5 b542 + 0.5 x14942 - x24691 = 0 e70194: 0.5 b543 + 0.5 x14943 - x24692 = 0 e70195: 0.5 b544 + 0.5 x14944 - x24693 = 0 e70196: 0.5 b545 + 0.5 x14945 - x24694 = 0 e70197: 0.5 b546 + 0.5 x14946 - x24695 = 0 e70198: 0.5 b547 + 0.5 x14947 - x24696 = 0 e70199: 0.5 b548 + 0.5 x14948 - x24697 = 0 e70200: 0.5 b549 + 0.5 x14949 - x24698 = 0 e70201: 0.5 b550 + 0.5 x14950 - x24699 = 0 e70202: 0.5 b551 + 0.5 x14951 - x24700 = 0 e70203: 0.5 b552 + 0.5 x14952 - x24701 = 0 e70204: 0.5 b553 + 0.5 x14953 - x24702 = 0 e70205: 0.5 b554 + 0.5 x14954 - x24703 = 0 e70206: 0.5 b555 + 0.5 x14955 - x24704 = 0 e70207: 0.5 b556 + 0.5 x14956 - x24705 = 0 e70208: 0.5 b557 + 0.5 x14957 - x24706 = 0 e70209: 0.5 b558 + 0.5 x14958 - x24707 = 0 e70210: 0.5 b559 + 0.5 x14959 - x24708 = 0 e70211: 0.5 b560 + 0.5 x14960 - x24709 = 0 e70212: 0.5 b561 + 0.5 x14961 - x24710 = 0 e70213: 0.5 b562 + 0.5 x14962 - x24711 = 0 e70214: 0.5 b563 + 0.5 x14963 - x24712 = 0 e70215: 0.5 b564 + 0.5 x14964 - x24713 = 0 e70216: 0.5 b565 + 0.5 x14965 - x24714 = 0 e70217: 0.5 b566 + 0.5 x14966 - x24715 = 0 e70218: 0.5 b567 + 0.5 x14967 - x24716 = 0 e70219: 0.5 b568 + 0.5 x14968 - x24717 = 0 e70220: 0.5 b569 + 0.5 x14969 - x24718 = 0 e70221: 0.5 b570 + 0.5 x14970 - x24719 = 0 e70222: 0.5 b571 + 0.5 x14971 - x24720 = 0 e70223: 0.5 b572 + 0.5 x14972 - x24721 = 0 e70224: 0.5 b573 + 0.5 x14973 - x24722 = 0 e70225: 0.5 b574 + 0.5 x14974 - x24723 = 0 e70226: 0.5 b575 + 0.5 x14975 - x24724 = 0 e70227: 0.5 b576 + 0.5 x14976 - x24725 = 0 e70228: 0.5 b577 + 0.5 x14977 - x24726 = 0 e70229: 0.5 b578 + 0.5 x14978 - x24727 = 0 e70230: 0.5 b579 + 0.5 x14979 - x24728 = 0 e70231: 0.5 b580 + 0.5 x14980 - x24729 = 0 e70232: 0.5 b581 + 0.5 x14981 - x24730 = 0 e70233: 0.5 b582 + 0.5 x14982 - x24731 = 0 e70234: 0.5 b583 + 0.5 x14983 - x24732 = 0 e70235: 0.5 b584 + 0.5 x14984 - x24733 = 0 e70236: 0.5 b585 + 0.5 x14985 - x24734 = 0 e70237: 0.5 b586 + 0.5 x14986 - x24735 = 0 e70238: 0.5 b587 + 0.5 x14987 - x24736 = 0 e70239: 0.5 b588 + 0.5 x14988 - x24737 = 0 e70240: 0.5 b589 + 0.5 x14989 - x24738 = 0 e70241: 0.5 b590 + 0.5 x14990 - x24739 = 0 e70242: 0.5 b591 + 0.5 x14991 - x24740 = 0 e70243: 0.5 b592 + 0.5 x14992 - x24741 = 0 e70244: 0.5 b593 + 0.5 x14993 - x24742 = 0 e70245: 0.5 b594 + 0.5 x14994 - x24743 = 0 e70246: 0.5 b595 + 0.5 x14995 - x24744 = 0 e70247: 0.5 b596 + 0.5 x14996 - x24745 = 0 e70248: 0.5 b597 + 0.5 x14997 - x24746 = 0 e70249: 0.5 b598 + 0.5 x14998 - x24747 = 0 e70250: 0.5 b599 + 0.5 x14999 - x24748 = 0 e70251: 0.5 b600 + 0.5 x15000 - x24749 = 0 e70252: 0.5 b601 + 0.5 x15001 - x24750 = 0 e70253: 0.5 b602 + 0.5 x15002 - x24751 = 0 e70254: 0.5 b603 + 0.5 x15003 - x24752 = 0 e70255: 0.5 b604 + 0.5 x15004 - x24753 = 0 e70256: 0.5 b605 + 0.5 x15005 - x24754 = 0 e70257: 0.5 b606 + 0.5 x15006 - x24755 = 0 e70258: 0.5 b607 + 0.5 x15007 - x24756 = 0 e70259: 0.5 b608 + 0.5 x15008 - x24757 = 0 e70260: 0.5 b609 + 0.5 x15009 - x24758 = 0 e70261: 0.5 b610 + 0.5 x15010 - x24759 = 0 e70262: 0.5 b611 + 0.5 x15011 - x24760 = 0 e70263: 0.5 b612 + 0.5 x15012 - x24761 = 0 e70264: 0.5 b613 + 0.5 x15013 - x24762 = 0 e70265: 0.5 b614 + 0.5 x15014 - x24763 = 0 e70266: 0.5 b615 + 0.5 x15015 - x24764 = 0 e70267: 0.5 b616 + 0.5 x15016 - x24765 = 0 e70268: 0.5 b617 + 0.5 x15017 - x24766 = 0 e70269: 0.5 b618 + 0.5 x15018 - x24767 = 0 e70270: 0.5 b619 + 0.5 x15019 - x24768 = 0 e70271: 0.5 b620 + 0.5 x15020 - x24769 = 0 e70272: 0.5 b621 + 0.5 x15021 - x24770 = 0 e70273: 0.5 b622 + 0.5 x15022 - x24771 = 0 e70274: 0.5 b623 + 0.5 x15023 - x24772 = 0 e70275: 0.5 b624 + 0.5 x15024 - x24773 = 0 e70276: 0.5 b625 + 0.5 x15025 - x24774 = 0 e70277: 0.5 b626 + 0.5 x15026 - x24775 = 0 e70278: 0.5 b627 + 0.5 x15027 - x24776 = 0 e70279: 0.5 b628 + 0.5 x15028 - x24777 = 0 e70280: 0.5 b629 + 0.5 x15029 - x24778 = 0 e70281: 0.5 b630 + 0.5 x15030 - x24779 = 0 e70282: 0.5 b631 + 0.5 x15031 - x24780 = 0 e70283: 0.5 b632 + 0.5 x15032 - x24781 = 0 e70284: 0.5 b633 + 0.5 x15033 - x24782 = 0 e70285: 0.5 b634 + 0.5 x15034 - x24783 = 0 e70286: 0.5 b635 + 0.5 x15035 - x24784 = 0 e70287: 0.5 b636 + 0.5 x15036 - x24785 = 0 e70288: 0.5 b637 + 0.5 x15037 - x24786 = 0 e70289: 0.5 b638 + 0.5 x15038 - x24787 = 0 e70290: 0.5 b639 + 0.5 x15039 - x24788 = 0 e70291: 0.5 b640 + 0.5 x15040 - x24789 = 0 e70292: 0.5 b641 + 0.5 x15041 - x24790 = 0 e70293: 0.5 b642 + 0.5 x15042 - x24791 = 0 e70294: 0.5 b643 + 0.5 x15043 - x24792 = 0 e70295: 0.5 b644 + 0.5 x15044 - x24793 = 0 e70296: 0.5 b645 + 0.5 x15045 - x24794 = 0 e70297: 0.5 b646 + 0.5 x15046 - x24795 = 0 e70298: 0.5 b647 + 0.5 x15047 - x24796 = 0 e70299: 0.5 b648 + 0.5 x15048 - x24797 = 0 e70300: 0.5 b649 + 0.5 x15049 - x24798 = 0 e70301: 0.5 b650 + 0.5 x15050 - x24799 = 0 e70302: 0.5 b651 + 0.5 x15051 - x24800 = 0 e70303: 0.5 b652 + 0.5 x15052 - x24801 = 0 e70304: 0.5 b653 + 0.5 x15053 - x24802 = 0 e70305: 0.5 b654 + 0.5 x15054 - x24803 = 0 e70306: 0.5 b655 + 0.5 x15055 - x24804 = 0 e70307: 0.5 b656 + 0.5 x15056 - x24805 = 0 e70308: 0.5 b657 + 0.5 x15057 - x24806 = 0 e70309: 0.5 b658 + 0.5 x15058 - x24807 = 0 e70310: 0.5 b659 + 0.5 x15059 - x24808 = 0 e70311: 0.5 b660 + 0.5 x15060 - x24809 = 0 e70312: 0.5 b661 + 0.5 x15061 - x24810 = 0 e70313: 0.5 b662 + 0.5 x15062 - x24811 = 0 e70314: 0.5 b663 + 0.5 x15063 - x24812 = 0 e70315: 0.5 b664 + 0.5 x15064 - x24813 = 0 e70316: 0.5 b665 + 0.5 x15065 - x24814 = 0 e70317: 0.5 b666 + 0.5 x15066 - x24815 = 0 e70318: 0.5 b667 + 0.5 x15067 - x24816 = 0 e70319: 0.5 b668 + 0.5 x15068 - x24817 = 0 e70320: 0.5 b669 + 0.5 x15069 - x24818 = 0 e70321: 0.5 b670 + 0.5 x15070 - x24819 = 0 e70322: 0.5 b671 + 0.5 x15071 - x24820 = 0 e70323: 0.5 b672 + 0.5 x15072 - x24821 = 0 e70324: 0.5 b673 + 0.5 x15073 - x24822 = 0 e70325: 0.5 b674 + 0.5 x15074 - x24823 = 0 e70326: 0.5 b675 + 0.5 x15075 - x24824 = 0 e70327: 0.5 b676 + 0.5 x15076 - x24825 = 0 e70328: 0.5 b677 + 0.5 x15077 - x24826 = 0 e70329: 0.5 b678 + 0.5 x15078 - x24827 = 0 e70330: 0.5 b679 + 0.5 x15079 - x24828 = 0 e70331: 0.5 b680 + 0.5 x15080 - x24829 = 0 e70332: 0.5 b681 + 0.5 x15081 - x24830 = 0 e70333: 0.5 b682 + 0.5 x15082 - x24831 = 0 e70334: 0.5 b683 + 0.5 x15083 - x24832 = 0 e70335: 0.5 b684 + 0.5 x15084 - x24833 = 0 e70336: 0.5 b685 + 0.5 x15085 - x24834 = 0 e70337: 0.5 b686 + 0.5 x15086 - x24835 = 0 e70338: 0.5 b687 + 0.5 x15087 - x24836 = 0 e70339: 0.5 b688 + 0.5 x15088 - x24837 = 0 e70340: 0.5 b689 + 0.5 x15089 - x24838 = 0 e70341: 0.5 b690 + 0.5 x15090 - x24839 = 0 e70342: 0.5 b691 + 0.5 x15091 - x24840 = 0 e70343: 0.5 b692 + 0.5 x15092 - x24841 = 0 e70344: 0.5 b693 + 0.5 x15093 - x24842 = 0 e70345: 0.5 b694 + 0.5 x15094 - x24843 = 0 e70346: 0.5 b695 + 0.5 x15095 - x24844 = 0 e70347: 0.5 b696 + 0.5 x15096 - x24845 = 0 e70348: 0.5 b697 + 0.5 x15097 - x24846 = 0 e70349: 0.5 b698 + 0.5 x15098 - x24847 = 0 e70350: 0.5 b699 + 0.5 x15099 - x24848 = 0 e70351: 0.5 b700 + 0.5 x15100 - x24849 = 0 e70352: 0.5 b701 + 0.5 x15101 - x24850 = 0 e70353: 0.5 b702 + 0.5 x15102 - x24851 = 0 e70354: 0.5 b703 + 0.5 x15103 - x24852 = 0 e70355: 0.5 b704 + 0.5 x15104 - x24853 = 0 e70356: 0.5 b705 + 0.5 x15105 - x24854 = 0 e70357: 0.5 b706 + 0.5 x15106 - x24855 = 0 e70358: 0.5 b707 + 0.5 x15107 - x24856 = 0 e70359: 0.5 b708 + 0.5 x15108 - x24857 = 0 e70360: 0.5 b709 + 0.5 x15109 - x24858 = 0 e70361: 0.5 b710 + 0.5 x15110 - x24859 = 0 e70362: 0.5 b711 + 0.5 x15111 - x24860 = 0 e70363: 0.5 b712 + 0.5 x15112 - x24861 = 0 e70364: 0.5 b713 + 0.5 x15113 - x24862 = 0 e70365: 0.5 b714 + 0.5 x15114 - x24863 = 0 e70366: 0.5 b715 + 0.5 x15115 - x24864 = 0 e70367: 0.5 b716 + 0.5 x15116 - x24865 = 0 e70368: 0.5 b717 + 0.5 x15117 - x24866 = 0 e70369: 0.5 b718 + 0.5 x15118 - x24867 = 0 e70370: 0.5 b719 + 0.5 x15119 - x24868 = 0 e70371: 0.5 b720 + 0.5 x15120 - x24869 = 0 e70372: 0.5 b721 + 0.5 x15121 - x24870 = 0 e70373: 0.5 b722 + 0.5 x15122 - x24871 = 0 e70374: 0.5 b723 + 0.5 x15123 - x24872 = 0 e70375: 0.5 b724 + 0.5 x15124 - x24873 = 0 e70376: 0.5 b725 + 0.5 x15125 - x24874 = 0 e70377: 0.5 b726 + 0.5 x15126 - x24875 = 0 e70378: 0.5 b727 + 0.5 x15127 - x24876 = 0 e70379: 0.5 b728 + 0.5 x15128 - x24877 = 0 e70380: 0.5 b729 + 0.5 x15129 - x24878 = 0 e70381: 0.5 b730 + 0.5 x15130 - x24879 = 0 e70382: 0.5 b731 + 0.5 x15131 - x24880 = 0 e70383: 0.5 b732 + 0.5 x15132 - x24881 = 0 e70384: 0.5 b733 + 0.5 x15133 - x24882 = 0 e70385: 0.5 b734 + 0.5 x15134 - x24883 = 0 e70386: 0.5 b735 + 0.5 x15135 - x24884 = 0 e70387: 0.5 b736 + 0.5 x15136 - x24885 = 0 e70388: 0.5 b737 + 0.5 x15137 - x24886 = 0 e70389: 0.5 b738 + 0.5 x15138 - x24887 = 0 e70390: 0.5 b739 + 0.5 x15139 - x24888 = 0 e70391: 0.5 b740 + 0.5 x15140 - x24889 = 0 e70392: 0.5 b741 + 0.5 x15141 - x24890 = 0 e70393: 0.5 b742 + 0.5 x15142 - x24891 = 0 e70394: 0.5 b743 + 0.5 x15143 - x24892 = 0 e70395: 0.5 b744 + 0.5 x15144 - x24893 = 0 e70396: 0.5 b745 + 0.5 x15145 - x24894 = 0 e70397: 0.5 b746 + 0.5 x15146 - x24895 = 0 e70398: 0.5 b747 + 0.5 x15147 - x24896 = 0 e70399: 0.5 b748 + 0.5 x15148 - x24897 = 0 e70400: 0.5 b749 + 0.5 x15149 - x24898 = 0 e70401: 0.5 b750 + 0.5 x15150 - x24899 = 0 e70402: 0.5 b751 + 0.5 x15151 - x24900 = 0 e70403: 0.5 b752 + 0.5 x15152 - x24901 = 0 e70404: 0.5 b753 + 0.5 x15153 - x24902 = 0 e70405: 0.5 b754 + 0.5 x15154 - x24903 = 0 e70406: 0.5 b755 + 0.5 x15155 - x24904 = 0 e70407: 0.5 b756 + 0.5 x15156 - x24905 = 0 e70408: 0.5 b757 + 0.5 x15157 - x24906 = 0 e70409: 0.5 b758 + 0.5 x15158 - x24907 = 0 e70410: 0.5 b759 + 0.5 x15159 - x24908 = 0 e70411: 0.5 b760 + 0.5 x15160 - x24909 = 0 e70412: 0.5 b761 + 0.5 x15161 - x24910 = 0 e70413: 0.5 b762 + 0.5 x15162 - x24911 = 0 e70414: 0.5 b763 + 0.5 x15163 - x24912 = 0 e70415: 0.5 b764 + 0.5 x15164 - x24913 = 0 e70416: 0.5 b765 + 0.5 x15165 - x24914 = 0 e70417: 0.5 b766 + 0.5 x15166 - x24915 = 0 e70418: 0.5 b767 + 0.5 x15167 - x24916 = 0 e70419: 0.5 b768 + 0.5 x15168 - x24917 = 0 e70420: 0.5 b769 + 0.5 x15169 - x24918 = 0 e70421: 0.5 b770 + 0.5 x15170 - x24919 = 0 e70422: 0.5 b771 + 0.5 x15171 - x24920 = 0 e70423: 0.5 b772 + 0.5 x15172 - x24921 = 0 e70424: 0.5 b773 + 0.5 x15173 - x24922 = 0 e70425: 0.5 b774 + 0.5 x15174 - x24923 = 0 e70426: 0.5 b775 + 0.5 x15175 - x24924 = 0 e70427: 0.5 b776 + 0.5 x15176 - x24925 = 0 e70428: 0.5 b777 + 0.5 x15177 - x24926 = 0 e70429: 0.5 b778 + 0.5 x15178 - x24927 = 0 e70430: 0.5 b779 + 0.5 x15179 - x24928 = 0 e70431: 0.5 b780 + 0.5 x15180 - x24929 = 0 e70432: 0.5 b781 + 0.5 x15181 - x24930 = 0 e70433: 0.5 b782 + 0.5 x15182 - x24931 = 0 e70434: 0.5 b783 + 0.5 x15183 - x24932 = 0 e70435: 0.5 b784 + 0.5 x15184 - x24933 = 0 e70436: 0.5 b785 + 0.5 x15185 - x24934 = 0 e70437: 0.5 b786 + 0.5 x15186 - x24935 = 0 e70438: 0.5 b787 + 0.5 x15187 - x24936 = 0 e70439: 0.5 b788 + 0.5 x15188 - x24937 = 0 e70440: 0.5 b789 + 0.5 x15189 - x24938 = 0 e70441: 0.5 b790 + 0.5 x15190 - x24939 = 0 e70442: 0.5 b791 + 0.5 x15191 - x24940 = 0 e70443: 0.5 b792 + 0.5 x15192 - x24941 = 0 e70444: 0.5 b793 + 0.5 x15193 - x24942 = 0 e70445: 0.5 b794 + 0.5 x15194 - x24943 = 0 e70446: 0.5 b795 + 0.5 x15195 - x24944 = 0 e70447: 0.5 b796 + 0.5 x15196 - x24945 = 0 e70448: 0.5 b797 + 0.5 x15197 - x24946 = 0 e70449: 0.5 b798 + 0.5 x15198 - x24947 = 0 e70450: 0.5 b799 + 0.5 x15199 - x24948 = 0 e70451: 0.5 b800 + 0.5 x15200 - x24949 = 0 e70452: 0.5 b801 + 0.5 x15201 - x24950 = 0 e70453: 0.5 b802 + 0.5 x15202 - x24951 = 0 e70454: 0.5 b803 + 0.5 x15203 - x24952 = 0 e70455: 0.5 b804 + 0.5 x15204 - x24953 = 0 e70456: 0.5 b805 + 0.5 x15205 - x24954 = 0 e70457: 0.5 b806 + 0.5 x15206 - x24955 = 0 e70458: 0.5 b807 + 0.5 x15207 - x24956 = 0 e70459: 0.5 b808 + 0.5 x15208 - x24957 = 0 e70460: 0.5 b809 + 0.5 x15209 - x24958 = 0 e70461: 0.5 b810 + 0.5 x15210 - x24959 = 0 e70462: 0.5 b811 + 0.5 x15211 - x24960 = 0 e70463: 0.5 b812 + 0.5 x15212 - x24961 = 0 e70464: 0.5 b813 + 0.5 x15213 - x24962 = 0 e70465: 0.5 b814 + 0.5 x15214 - x24963 = 0 e70466: 0.5 b815 + 0.5 x15215 - x24964 = 0 e70467: 0.5 b816 + 0.5 x15216 - x24965 = 0 e70468: 0.5 b817 + 0.5 x15217 - x24966 = 0 e70469: 0.5 b818 + 0.5 x15218 - x24967 = 0 e70470: 0.5 b819 + 0.5 x15219 - x24968 = 0 e70471: 0.5 b820 + 0.5 x15220 - x24969 = 0 e70472: 0.5 b821 + 0.5 x15221 - x24970 = 0 e70473: 0.5 b822 + 0.5 x15222 - x24971 = 0 e70474: 0.5 b823 + 0.5 x15223 - x24972 = 0 e70475: 0.5 b824 + 0.5 x15224 - x24973 = 0 e70476: 0.5 b825 + 0.5 x15225 - x24974 = 0 e70477: 0.5 b826 + 0.5 x15226 - x24975 = 0 e70478: 0.5 b827 + 0.5 x15227 - x24976 = 0 e70479: 0.5 b828 + 0.5 x15228 - x24977 = 0 e70480: 0.5 b829 + 0.5 x15229 - x24978 = 0 e70481: 0.5 b830 + 0.5 x15230 - x24979 = 0 e70482: 0.5 b831 + 0.5 x15231 - x24980 = 0 e70483: 0.5 b832 + 0.5 x15232 - x24981 = 0 e70484: 0.5 b833 + 0.5 x15233 - x24982 = 0 e70485: 0.5 b834 + 0.5 x15234 - x24983 = 0 e70486: 0.5 b835 + 0.5 x15235 - x24984 = 0 e70487: 0.5 b836 + 0.5 x15236 - x24985 = 0 e70488: 0.5 b837 + 0.5 x15237 - x24986 = 0 e70489: 0.5 b838 + 0.5 x15238 - x24987 = 0 e70490: 0.5 b839 + 0.5 x15239 - x24988 = 0 e70491: 0.5 b840 + 0.5 x15240 - x24989 = 0 e70492: 0.5 b841 + 0.5 x15241 - x24990 = 0 e70493: 0.5 b842 + 0.5 x15242 - x24991 = 0 e70494: 0.5 b843 + 0.5 x15243 - x24992 = 0 e70495: 0.5 b844 + 0.5 x15244 - x24993 = 0 e70496: 0.5 b845 + 0.5 x15245 - x24994 = 0 e70497: 0.5 b846 + 0.5 x15246 - x24995 = 0 e70498: 0.5 b847 + 0.5 x15247 - x24996 = 0 e70499: 0.5 b848 + 0.5 x15248 - x24997 = 0 e70500: 0.5 b849 + 0.5 x15249 - x24998 = 0 e70501: 0.5 b850 + 0.5 x15250 - x24999 = 0 e70502: 0.5 b851 + 0.5 x15251 - x25000 = 0 e70503: 0.5 b852 + 0.5 x15252 - x25001 = 0 e70504: 0.5 b853 + 0.5 x15253 - x25002 = 0 e70505: 0.5 b854 + 0.5 x15254 - x25003 = 0 e70506: 0.5 b855 + 0.5 x15255 - x25004 = 0 e70507: 0.5 b856 + 0.5 x15256 - x25005 = 0 e70508: 0.5 b857 + 0.5 x15257 - x25006 = 0 e70509: 0.5 b858 + 0.5 x15258 - x25007 = 0 e70510: 0.5 b859 + 0.5 x15259 - x25008 = 0 e70511: 0.5 b860 + 0.5 x15260 - x25009 = 0 e70512: 0.5 b861 + 0.5 x15261 - x25010 = 0 e70513: 0.5 b862 + 0.5 x15262 - x25011 = 0 e70514: 0.5 b863 + 0.5 x15263 - x25012 = 0 e70515: 0.5 b864 + 0.5 x15264 - x25013 = 0 e70516: 0.5 b865 + 0.5 x15265 - x25014 = 0 e70517: 0.5 b866 + 0.5 x15266 - x25015 = 0 e70518: 0.5 b867 + 0.5 x15267 - x25016 = 0 e70519: 0.5 b868 + 0.5 x15268 - x25017 = 0 e70520: 0.5 b869 + 0.5 x15269 - x25018 = 0 e70521: 0.5 b870 + 0.5 x15270 - x25019 = 0 e70522: 0.5 b871 + 0.5 x15271 - x25020 = 0 e70523: 0.5 b872 + 0.5 x15272 - x25021 = 0 e70524: 0.5 b873 + 0.5 x15273 - x25022 = 0 e70525: 0.5 b874 + 0.5 x15274 - x25023 = 0 e70526: 0.5 b875 + 0.5 x15275 - x25024 = 0 e70527: 0.5 b876 + 0.5 x15276 - x25025 = 0 e70528: 0.5 b877 + 0.5 x15277 - x25026 = 0 e70529: 0.5 b878 + 0.5 x15278 - x25027 = 0 e70530: 0.5 b879 + 0.5 x15279 - x25028 = 0 e70531: 0.5 b880 + 0.5 x15280 - x25029 = 0 e70532: 0.5 b881 + 0.5 x15281 - x25030 = 0 e70533: 0.5 b882 + 0.5 x15282 - x25031 = 0 e70534: 0.5 b883 + 0.5 x15283 - x25032 = 0 e70535: 0.5 b884 + 0.5 x15284 - x25033 = 0 e70536: 0.5 b885 + 0.5 x15285 - x25034 = 0 e70537: 0.5 b886 + 0.5 x15286 - x25035 = 0 e70538: 0.5 b887 + 0.5 x15287 - x25036 = 0 e70539: 0.5 b888 + 0.5 x15288 - x25037 = 0 e70540: 0.5 b889 + 0.5 x15289 - x25038 = 0 e70541: 0.5 b890 + 0.5 x15290 - x25039 = 0 e70542: 0.5 b891 + 0.5 x15291 - x25040 = 0 e70543: 0.5 b892 + 0.5 x15292 - x25041 = 0 e70544: 0.5 b893 + 0.5 x15293 - x25042 = 0 e70545: 0.5 b894 + 0.5 x15294 - x25043 = 0 e70546: 0.5 b895 + 0.5 x15295 - x25044 = 0 e70547: 0.5 b896 + 0.5 x15296 - x25045 = 0 e70548: 0.5 b897 + 0.5 x15297 - x25046 = 0 e70549: 0.5 b898 + 0.5 x15298 - x25047 = 0 e70550: 0.5 b899 + 0.5 x15299 - x25048 = 0 e70551: 0.5 b900 + 0.5 x15300 - x25049 = 0 e70552: 0.5 b901 + 0.5 x15301 - x25050 = 0 e70553: 0.5 b902 + 0.5 x15302 - x25051 = 0 e70554: 0.5 b903 + 0.5 x15303 - x25052 = 0 e70555: 0.5 b904 + 0.5 x15304 - x25053 = 0 e70556: 0.5 b905 + 0.5 x15305 - x25054 = 0 e70557: 0.5 b906 + 0.5 x15306 - x25055 = 0 e70558: 0.5 b907 + 0.5 x15307 - x25056 = 0 e70559: 0.5 b908 + 0.5 x15308 - x25057 = 0 e70560: 0.5 b909 + 0.5 x15309 - x25058 = 0 e70561: 0.5 b910 + 0.5 x15310 - x25059 = 0 e70562: 0.5 b911 + 0.5 x15311 - x25060 = 0 e70563: 0.5 b912 + 0.5 x15312 - x25061 = 0 e70564: 0.5 b913 + 0.5 x15313 - x25062 = 0 e70565: 0.5 b914 + 0.5 x15314 - x25063 = 0 e70566: 0.5 b915 + 0.5 x15315 - x25064 = 0 e70567: 0.5 b916 + 0.5 x15316 - x25065 = 0 e70568: 0.5 b917 + 0.5 x15317 - x25066 = 0 e70569: 0.5 b918 + 0.5 x15318 - x25067 = 0 e70570: 0.5 b919 + 0.5 x15319 - x25068 = 0 e70571: 0.5 b920 + 0.5 x15320 - x25069 = 0 e70572: 0.5 b921 + 0.5 x15321 - x25070 = 0 e70573: 0.5 b922 + 0.5 x15322 - x25071 = 0 e70574: 0.5 b923 + 0.5 x15323 - x25072 = 0 e70575: 0.5 b924 + 0.5 x15324 - x25073 = 0 e70576: 0.5 b925 + 0.5 x15325 - x25074 = 0 e70577: 0.5 b926 + 0.5 x15326 - x25075 = 0 e70578: 0.5 b927 + 0.5 x15327 - x25076 = 0 e70579: 0.5 b928 + 0.5 x15328 - x25077 = 0 e70580: 0.5 b929 + 0.5 x15329 - x25078 = 0 e70581: 0.5 b930 + 0.5 x15330 - x25079 = 0 e70582: 0.5 b931 + 0.5 x15331 - x25080 = 0 e70583: 0.5 b932 + 0.5 x15332 - x25081 = 0 e70584: 0.5 b933 + 0.5 x15333 - x25082 = 0 e70585: 0.5 b934 + 0.5 x15334 - x25083 = 0 e70586: 0.5 b935 + 0.5 x15335 - x25084 = 0 e70587: 0.5 b936 + 0.5 x15336 - x25085 = 0 e70588: 0.5 b937 + 0.5 x15337 - x25086 = 0 e70589: 0.5 b938 + 0.5 x15338 - x25087 = 0 e70590: 0.5 b939 + 0.5 x15339 - x25088 = 0 e70591: 0.5 b940 + 0.5 x15340 - x25089 = 0 e70592: 0.5 b941 + 0.5 x15341 - x25090 = 0 e70593: 0.5 b942 + 0.5 x15342 - x25091 = 0 e70594: 0.5 b943 + 0.5 x15343 - x25092 = 0 e70595: 0.5 b944 + 0.5 x15344 - x25093 = 0 e70596: 0.5 b945 + 0.5 x15345 - x25094 = 0 e70597: 0.5 b946 + 0.5 x15346 - x25095 = 0 e70598: 0.5 b947 + 0.5 x15347 - x25096 = 0 e70599: 0.5 b948 + 0.5 x15348 - x25097 = 0 e70600: 0.5 b949 + 0.5 x15349 - x25098 = 0 e70601: 0.5 b950 + 0.5 x15350 - x25099 = 0 e70602: 0.5 b951 + 0.5 x15351 - x25100 = 0 e70603: 0.5 b952 + 0.5 x15352 - x25101 = 0 e70604: 0.5 b953 + 0.5 x15353 - x25102 = 0 e70605: 0.5 b954 + 0.5 x15354 - x25103 = 0 e70606: 0.5 b955 + 0.5 x15355 - x25104 = 0 e70607: 0.5 b956 + 0.5 x15356 - x25105 = 0 e70608: 0.5 b957 + 0.5 x15357 - x25106 = 0 e70609: 0.5 b958 + 0.5 x15358 - x25107 = 0 e70610: 0.5 b959 + 0.5 x15359 - x25108 = 0 e70611: 0.5 b960 + 0.5 x15360 - x25109 = 0 e70612: 0.5 b961 + 0.5 x15361 - x25110 = 0 e70613: 0.5 b962 + 0.5 x15362 - x25111 = 0 e70614: 0.5 b963 + 0.5 x15363 - x25112 = 0 e70615: 0.5 b964 + 0.5 x15364 - x25113 = 0 e70616: 0.5 b965 + 0.5 x15365 - x25114 = 0 e70617: 0.5 b966 + 0.5 x15366 - x25115 = 0 e70618: 0.5 b967 + 0.5 x15367 - x25116 = 0 e70619: 0.5 b968 + 0.5 x15368 - x25117 = 0 e70620: 0.5 b969 + 0.5 x15369 - x25118 = 0 e70621: 0.5 b970 + 0.5 x15370 - x25119 = 0 e70622: 0.5 b971 + 0.5 x15371 - x25120 = 0 e70623: 0.5 b972 + 0.5 x15372 - x25121 = 0 e70624: 0.5 b973 + 0.5 x15373 - x25122 = 0 e70625: 0.5 b974 + 0.5 x15374 - x25123 = 0 e70626: 0.5 b975 + 0.5 x15375 - x25124 = 0 e70627: 0.5 b976 + 0.5 x15376 - x25125 = 0 e70628: 0.5 b977 + 0.5 x15377 - x25126 = 0 e70629: 0.5 b978 + 0.5 x15378 - x25127 = 0 e70630: 0.5 b979 + 0.5 x15379 - x25128 = 0 e70631: 0.5 b980 + 0.5 x15380 - x25129 = 0 e70632: 0.5 b981 + 0.5 x15381 - x25130 = 0 e70633: 0.5 b982 + 0.5 x15382 - x25131 = 0 e70634: 0.5 b983 + 0.5 x15383 - x25132 = 0 e70635: 0.5 b984 + 0.5 x15384 - x25133 = 0 e70636: 0.5 b985 + 0.5 x15385 - x25134 = 0 e70637: 0.5 b986 + 0.5 x15386 - x25135 = 0 e70638: 0.5 b987 + 0.5 x15387 - x25136 = 0 e70639: 0.5 b988 + 0.5 x15388 - x25137 = 0 e70640: 0.5 b989 + 0.5 x15389 - x25138 = 0 e70641: 0.5 b990 + 0.5 x15390 - x25139 = 0 e70642: 0.5 b991 + 0.5 x15391 - x25140 = 0 e70643: 0.5 b992 + 0.5 x15392 - x25141 = 0 e70644: 0.5 b993 + 0.5 x15393 - x25142 = 0 e70645: 0.5 b994 + 0.5 x15394 - x25143 = 0 e70646: 0.5 b995 + 0.5 x15395 - x25144 = 0 e70647: 0.5 b996 + 0.5 x15396 - x25145 = 0 e70648: 0.5 b997 + 0.5 x15397 - x25146 = 0 e70649: 0.5 b998 + 0.5 x15398 - x25147 = 0 e70650: 0.5 b999 + 0.5 x15399 - x25148 = 0 e70651: 0.5 b1000 + 0.5 x15400 - x25149 = 0 e70652: 0.5 b1001 + 0.5 x15401 - x25150 = 0 e70653: 0.5 b1002 + 0.5 x15402 - x25151 = 0 e70654: 0.5 b1003 + 0.5 x15403 - x25152 = 0 e70655: 0.5 b1004 + 0.5 x15404 - x25153 = 0 e70656: 0.5 b1005 + 0.5 x15405 - x25154 = 0 e70657: 0.5 b1006 + 0.5 x15406 - x25155 = 0 e70658: 0.5 b1007 + 0.5 x15407 - x25156 = 0 e70659: 0.5 b1008 + 0.5 x15408 - x25157 = 0 e70660: 0.5 b1009 + 0.5 x15409 - x25158 = 0 e70661: 0.5 b1010 + 0.5 x15410 - x25159 = 0 e70662: 0.5 b1011 + 0.5 x15411 - x25160 = 0 e70663: 0.5 b1012 + 0.5 x15412 - x25161 = 0 e70664: 0.5 b1013 + 0.5 x15413 - x25162 = 0 e70665: 0.5 b1014 + 0.5 x15414 - x25163 = 0 e70666: 0.5 b1015 + 0.5 x15415 - x25164 = 0 e70667: 0.5 b1016 + 0.5 x15416 - x25165 = 0 e70668: 0.5 b1017 + 0.5 x15417 - x25166 = 0 e70669: 0.5 b1018 + 0.5 x15418 - x25167 = 0 e70670: 0.5 b1019 + 0.5 x15419 - x25168 = 0 e70671: 0.5 b1020 + 0.5 x15420 - x25169 = 0 e70672: 0.5 b1021 + 0.5 x15421 - x25170 = 0 e70673: 0.5 b1022 + 0.5 x15422 - x25171 = 0 e70674: 0.5 b1023 + 0.5 x15423 - x25172 = 0 e70675: 0.5 b1024 + 0.5 x15424 - x25173 = 0 e70676: 0.5 b1025 + 0.5 x15425 - x25174 = 0 e70677: 0.5 b1026 + 0.5 x15426 - x25175 = 0 e70678: 0.5 b1027 + 0.5 x15427 - x25176 = 0 e70679: 0.5 b1028 + 0.5 x15428 - x25177 = 0 e70680: 0.5 b1029 + 0.5 x15429 - x25178 = 0 e70681: 0.5 b1030 + 0.5 x15430 - x25179 = 0 e70682: 0.5 b1031 + 0.5 x15431 - x25180 = 0 e70683: 0.5 b1032 + 0.5 x15432 - x25181 = 0 e70684: 0.5 b1033 + 0.5 x15433 - x25182 = 0 e70685: 0.5 b1034 + 0.5 x15434 - x25183 = 0 e70686: 0.5 b1035 + 0.5 x15435 - x25184 = 0 e70687: 0.5 b1036 + 0.5 x15436 - x25185 = 0 e70688: 0.5 b1037 + 0.5 x15437 - x25186 = 0 e70689: 0.5 b1038 + 0.5 x15438 - x25187 = 0 e70690: 0.5 b1039 + 0.5 x15439 - x25188 = 0 e70691: 0.5 b1040 + 0.5 x15440 - x25189 = 0 e70692: 0.5 b1041 + 0.5 x15441 - x25190 = 0 e70693: 0.5 b1042 + 0.5 x15442 - x25191 = 0 e70694: 0.5 b1043 + 0.5 x15443 - x25192 = 0 e70695: 0.5 b1044 + 0.5 x15444 - x25193 = 0 e70696: 0.5 b1045 + 0.5 x15445 - x25194 = 0 e70697: 0.5 b1046 + 0.5 x15446 - x25195 = 0 e70698: 0.5 b1047 + 0.5 x15447 - x25196 = 0 e70699: 0.5 b1048 + 0.5 x15448 - x25197 = 0 e70700: 0.5 b1049 + 0.5 x15449 - x25198 = 0 e70701: 0.5 b1050 + 0.5 x15450 - x25199 = 0 e70702: 0.5 b1051 + 0.5 x15451 - x25200 = 0 e70703: 0.5 b1052 + 0.5 x15452 - x25201 = 0 e70704: 0.5 b1053 + 0.5 x15453 - x25202 = 0 e70705: 0.5 b1054 + 0.5 x15454 - x25203 = 0 e70706: 0.5 b1055 + 0.5 x15455 - x25204 = 0 e70707: 0.5 b1056 + 0.5 x15456 - x25205 = 0 e70708: 0.5 b1057 + 0.5 x15457 - x25206 = 0 e70709: 0.5 b1058 + 0.5 x15458 - x25207 = 0 e70710: 0.5 b1059 + 0.5 x15459 - x25208 = 0 e70711: 0.5 b1060 + 0.5 x15460 - x25209 = 0 e70712: 0.5 b1061 + 0.5 x15461 - x25210 = 0 e70713: 0.5 b1062 + 0.5 x15462 - x25211 = 0 e70714: 0.5 b1063 + 0.5 x15463 - x25212 = 0 e70715: 0.5 b1064 + 0.5 x15464 - x25213 = 0 e70716: 0.5 b1065 + 0.5 x15465 - x25214 = 0 e70717: 0.5 b1066 + 0.5 x15466 - x25215 = 0 e70718: 0.5 b1067 + 0.5 x15467 - x25216 = 0 e70719: 0.5 b1068 + 0.5 x15468 - x25217 = 0 e70720: 0.5 b1069 + 0.5 x15469 - x25218 = 0 e70721: 0.5 b1070 + 0.5 x15470 - x25219 = 0 e70722: 0.5 b1071 + 0.5 x15471 - x25220 = 0 e70723: 0.5 b1072 + 0.5 x15472 - x25221 = 0 e70724: 0.5 b1073 + 0.5 x15473 - x25222 = 0 e70725: 0.5 b1074 + 0.5 x15474 - x25223 = 0 e70726: 0.5 b1075 + 0.5 x15475 - x25224 = 0 e70727: 0.5 b1076 + 0.5 x15476 - x25225 = 0 e70728: 0.5 b1077 + 0.5 x15477 - x25226 = 0 e70729: 0.5 b1078 + 0.5 x15478 - x25227 = 0 e70730: 0.5 b1079 + 0.5 x15479 - x25228 = 0 e70731: 0.5 b1080 + 0.5 x15480 - x25229 = 0 e70732: 0.5 b1081 + 0.5 x15481 - x25230 = 0 e70733: 0.5 b1082 + 0.5 x15482 - x25231 = 0 e70734: 0.5 b1083 + 0.5 x15483 - x25232 = 0 e70735: 0.5 b1084 + 0.5 x15484 - x25233 = 0 e70736: 0.5 b1085 + 0.5 x15485 - x25234 = 0 e70737: 0.5 b1086 + 0.5 x15486 - x25235 = 0 e70738: 0.5 b1087 + 0.5 x15487 - x25236 = 0 e70739: 0.5 b1088 + 0.5 x15488 - x25237 = 0 e70740: 0.5 b1089 + 0.5 x15489 - x25238 = 0 e70741: 0.5 b1090 + 0.5 x15490 - x25239 = 0 e70742: 0.5 b1091 + 0.5 x15491 - x25240 = 0 e70743: 0.5 b1092 + 0.5 x15492 - x25241 = 0 e70744: 0.5 b1093 + 0.5 x15493 - x25242 = 0 e70745: 0.5 b1094 + 0.5 x15494 - x25243 = 0 e70746: 0.5 b1095 + 0.5 x15495 - x25244 = 0 e70747: 0.5 b1096 + 0.5 x15496 - x25245 = 0 e70748: 0.5 b1097 + 0.5 x15497 - x25246 = 0 e70749: 0.5 b1098 + 0.5 x15498 - x25247 = 0 e70750: 0.5 b1099 + 0.5 x15499 - x25248 = 0 e70751: 0.5 b1100 + 0.5 x15500 - x25249 = 0 e70752: 0.5 b1101 + 0.5 x15501 - x25250 = 0 e70753: 0.5 b1102 + 0.5 x15502 - x25251 = 0 e70754: 0.5 b1103 + 0.5 x15503 - x25252 = 0 e70755: 0.5 b1104 + 0.5 x15504 - x25253 = 0 e70756: 0.5 b1105 + 0.5 x15505 - x25254 = 0 e70757: 0.5 b1106 + 0.5 x15506 - x25255 = 0 e70758: 0.5 b1107 + 0.5 x15507 - x25256 = 0 e70759: 0.5 b1108 + 0.5 x15508 - x25257 = 0 e70760: 0.5 b1109 + 0.5 x15509 - x25258 = 0 e70761: 0.5 b1110 + 0.5 x15510 - x25259 = 0 e70762: 0.5 b1111 + 0.5 x15511 - x25260 = 0 e70763: 0.5 b1112 + 0.5 x15512 - x25261 = 0 e70764: 0.5 b1113 + 0.5 x15513 - x25262 = 0 e70765: 0.5 b1114 + 0.5 x15514 - x25263 = 0 e70766: 0.5 b1115 + 0.5 x15515 - x25264 = 0 e70767: 0.5 b1116 + 0.5 x15516 - x25265 = 0 e70768: 0.5 b1117 + 0.5 x15517 - x25266 = 0 e70769: 0.5 b1118 + 0.5 x15518 - x25267 = 0 e70770: 0.5 b1119 + 0.5 x15519 - x25268 = 0 e70771: 0.5 b1120 + 0.5 x15520 - x25269 = 0 e70772: 0.5 b1121 + 0.5 x15521 - x25270 = 0 e70773: 0.5 b1122 + 0.5 x15522 - x25271 = 0 e70774: 0.5 b1123 + 0.5 x15523 - x25272 = 0 e70775: 0.5 b1124 + 0.5 x15524 - x25273 = 0 e70776: 0.5 b1125 + 0.5 x15525 - x25274 = 0 e70777: 0.5 b1126 + 0.5 x15526 - x25275 = 0 e70778: 0.5 b1127 + 0.5 x15527 - x25276 = 0 e70779: 0.5 b1128 + 0.5 x15528 - x25277 = 0 e70780: 0.5 b1129 + 0.5 x15529 - x25278 = 0 e70781: 0.5 b1130 + 0.5 x15530 - x25279 = 0 e70782: 0.5 b1131 + 0.5 x15531 - x25280 = 0 e70783: 0.5 b1132 + 0.5 x15532 - x25281 = 0 e70784: 0.5 b1133 + 0.5 x15533 - x25282 = 0 e70785: 0.5 b1134 + 0.5 x15534 - x25283 = 0 e70786: 0.5 b1135 + 0.5 x15535 - x25284 = 0 e70787: 0.5 b1136 + 0.5 x15536 - x25285 = 0 e70788: 0.5 b1137 + 0.5 x15537 - x25286 = 0 e70789: 0.5 b1138 + 0.5 x15538 - x25287 = 0 e70790: 0.5 b1139 + 0.5 x15539 - x25288 = 0 e70791: 0.5 b1140 + 0.5 x15540 - x25289 = 0 e70792: 0.5 b1141 + 0.5 x15541 - x25290 = 0 e70793: 0.5 b1142 + 0.5 x15542 - x25291 = 0 e70794: 0.5 b1143 + 0.5 x15543 - x25292 = 0 e70795: 0.5 b1144 + 0.5 x15544 - x25293 = 0 e70796: 0.5 b1145 + 0.5 x15545 - x25294 = 0 e70797: 0.5 b1146 + 0.5 x15546 - x25295 = 0 e70798: 0.5 b1147 + 0.5 x15547 - x25296 = 0 e70799: 0.5 b1148 + 0.5 x15548 - x25297 = 0 e70800: 0.5 b1149 + 0.5 x15549 - x25298 = 0 e70801: 0.5 b1150 + 0.5 x15550 - x25299 = 0 e70802: 0.5 b1151 + 0.5 x15551 - x25300 = 0 e70803: 0.5 b1152 + 0.5 x15552 - x25301 = 0 e70804: 0.5 b1153 + 0.5 x15553 - x25302 = 0 e70805: 0.5 b1154 + 0.5 x15554 - x25303 = 0 e70806: 0.5 b1155 + 0.5 x15555 - x25304 = 0 e70807: 0.5 b1156 + 0.5 x15556 - x25305 = 0 e70808: 0.5 b1157 + 0.5 x15557 - x25306 = 0 e70809: 0.5 b1158 + 0.5 x15558 - x25307 = 0 e70810: 0.5 b1159 + 0.5 x15559 - x25308 = 0 e70811: 0.5 b1160 + 0.5 x15560 - x25309 = 0 e70812: 0.5 b1161 + 0.5 x15561 - x25310 = 0 e70813: 0.5 b1162 + 0.5 x15562 - x25311 = 0 e70814: 0.5 b1163 + 0.5 x15563 - x25312 = 0 e70815: 0.5 b1164 + 0.5 x15564 - x25313 = 0 e70816: 0.5 b1165 + 0.5 x15565 - x25314 = 0 e70817: 0.5 b1166 + 0.5 x15566 - x25315 = 0 e70818: 0.5 b1167 + 0.5 x15567 - x25316 = 0 e70819: 0.5 b1168 + 0.5 x15568 - x25317 = 0 e70820: 0.5 b1169 + 0.5 x15569 - x25318 = 0 e70821: 0.5 b1170 + 0.5 x15570 - x25319 = 0 e70822: 0.5 b1171 + 0.5 x15571 - x25320 = 0 e70823: 0.5 b1172 + 0.5 x15572 - x25321 = 0 e70824: 0.5 b1173 + 0.5 x15573 - x25322 = 0 e70825: 0.5 b1174 + 0.5 x15574 - x25323 = 0 e70826: 0.5 b1175 + 0.5 x15575 - x25324 = 0 e70827: 0.5 b1176 + 0.5 x15576 - x25325 = 0 e70828: 0.5 b1177 + 0.5 x15577 - x25326 = 0 e70829: 0.5 b1178 + 0.5 x15578 - x25327 = 0 e70830: 0.5 b1179 + 0.5 x15579 - x25328 = 0 e70831: 0.5 b1180 + 0.5 x15580 - x25329 = 0 e70832: 0.5 b1181 + 0.5 x15581 - x25330 = 0 e70833: 0.5 b1182 + 0.5 x15582 - x25331 = 0 e70834: 0.5 b1183 + 0.5 x15583 - x25332 = 0 e70835: 0.5 b1184 + 0.5 x15584 - x25333 = 0 e70836: 0.5 b1185 + 0.5 x15585 - x25334 = 0 e70837: 0.5 b1186 + 0.5 x15586 - x25335 = 0 e70838: 0.5 b1187 + 0.5 x15587 - x25336 = 0 e70839: 0.5 b1188 + 0.5 x15588 - x25337 = 0 e70840: 0.5 b1189 + 0.5 x15589 - x25338 = 0 e70841: 0.5 b1190 + 0.5 x15590 - x25339 = 0 e70842: 0.5 b1191 + 0.5 x15591 - x25340 = 0 e70843: 0.5 b1192 + 0.5 x15592 - x25341 = 0 e70844: 0.5 b1193 + 0.5 x15593 - x25342 = 0 e70845: 0.5 b1194 + 0.5 x15594 - x25343 = 0 e70846: 0.5 b1195 + 0.5 x15595 - x25344 = 0 e70847: 0.5 b1196 + 0.5 x15596 - x25345 = 0 e70848: 0.5 b1197 + 0.5 x15597 - x25346 = 0 e70849: 0.5 b1198 + 0.5 x15598 - x25347 = 0 e70850: 0.5 b1199 + 0.5 x15599 - x25348 = 0 e70851: 0.5 b1200 + 0.5 x15600 - x25349 = 0 e70852: 0.5 b1201 + 0.5 x15601 - x25350 = 0 e70853: 0.5 b1202 + 0.5 x15602 - x25351 = 0 e70854: 0.5 b1203 + 0.5 x15603 - x25352 = 0 e70855: 0.5 b1204 + 0.5 x15604 - x25353 = 0 e70856: 0.5 b1205 + 0.5 x15605 - x25354 = 0 e70857: 0.5 b1206 + 0.5 x15606 - x25355 = 0 e70858: 0.5 b1207 + 0.5 x15607 - x25356 = 0 e70859: 0.5 b1208 + 0.5 x15608 - x25357 = 0 e70860: 0.5 b1209 + 0.5 x15609 - x25358 = 0 e70861: 0.5 b1210 + 0.5 x15610 - x25359 = 0 e70862: 0.5 b1211 + 0.5 x15611 - x25360 = 0 e70863: 0.5 b1212 + 0.5 x15612 - x25361 = 0 e70864: 0.5 b1213 + 0.5 x15613 - x25362 = 0 e70865: 0.5 b1214 + 0.5 x15614 - x25363 = 0 e70866: 0.5 b1215 + 0.5 x15615 - x25364 = 0 e70867: 0.5 b1216 + 0.5 x15616 - x25365 = 0 e70868: 0.5 b1217 + 0.5 x15617 - x25366 = 0 e70869: 0.5 b1218 + 0.5 x15618 - x25367 = 0 e70870: 0.5 b1219 + 0.5 x15619 - x25368 = 0 e70871: 0.5 b1220 + 0.5 x15620 - x25369 = 0 e70872: 0.5 b1221 + 0.5 x15621 - x25370 = 0 e70873: 0.5 b1222 + 0.5 x15622 - x25371 = 0 e70874: 0.5 b1223 + 0.5 x15623 - x25372 = 0 e70875: 0.5 b1224 + 0.5 x15624 - x25373 = 0 e70876: 0.5 b1225 + 0.5 x15625 - x25374 = 0 e70877: 0.5 b1226 + 0.5 x15626 - x25375 = 0 e70878: 0.5 b1227 + 0.5 x15627 - x25376 = 0 e70879: 0.5 b1228 + 0.5 x15628 - x25377 = 0 e70880: 0.5 b1229 + 0.5 x15629 - x25378 = 0 e70881: 0.5 b1230 + 0.5 x15630 - x25379 = 0 e70882: 0.5 b1231 + 0.5 x15631 - x25380 = 0 e70883: 0.5 b1232 + 0.5 x15632 - x25381 = 0 e70884: 0.5 b1233 + 0.5 x15633 - x25382 = 0 e70885: 0.5 b1234 + 0.5 x15634 - x25383 = 0 e70886: 0.5 b1235 + 0.5 x15635 - x25384 = 0 e70887: 0.5 b1236 + 0.5 x15636 - x25385 = 0 e70888: 0.5 b1237 + 0.5 x15637 - x25386 = 0 e70889: 0.5 b1238 + 0.5 x15638 - x25387 = 0 e70890: 0.5 b1239 + 0.5 x15639 - x25388 = 0 e70891: 0.5 b1240 + 0.5 x15640 - x25389 = 0 e70892: 0.5 b1241 + 0.5 x15641 - x25390 = 0 e70893: 0.5 b1242 + 0.5 x15642 - x25391 = 0 e70894: 0.5 b1243 + 0.5 x15643 - x25392 = 0 e70895: 0.5 b1244 + 0.5 x15644 - x25393 = 0 e70896: 0.5 b1245 + 0.5 x15645 - x25394 = 0 e70897: 0.5 b1246 + 0.5 x15646 - x25395 = 0 e70898: 0.5 b1247 + 0.5 x15647 - x25396 = 0 e70899: 0.5 b1248 + 0.5 x15648 - x25397 = 0 e70900: 0.5 b1249 + 0.5 x15649 - x25398 = 0 e70901: 0.5 b1250 + 0.5 x15650 - x25399 = 0 e70902: 0.5 b1251 + 0.5 x15651 - x25400 = 0 e70903: 0.5 b1252 + 0.5 x15652 - x25401 = 0 e70904: 0.5 b1253 + 0.5 x15653 - x25402 = 0 e70905: 0.5 b1254 + 0.5 x15654 - x25403 = 0 e70906: 0.5 b1255 + 0.5 x15655 - x25404 = 0 e70907: 0.5 b1256 + 0.5 x15656 - x25405 = 0 e70908: 0.5 b1257 + 0.5 x15657 - x25406 = 0 e70909: 0.5 b1258 + 0.5 x15658 - x25407 = 0 e70910: 0.5 b1259 + 0.5 x15659 - x25408 = 0 e70911: 0.5 b1260 + 0.5 x15660 - x25409 = 0 e70912: 0.5 b1261 + 0.5 x15661 - x25410 = 0 e70913: 0.5 b1262 + 0.5 x15662 - x25411 = 0 e70914: 0.5 b1263 + 0.5 x15663 - x25412 = 0 e70915: 0.5 b1264 + 0.5 x15664 - x25413 = 0 e70916: 0.5 b1265 + 0.5 x15665 - x25414 = 0 e70917: 0.5 b1266 + 0.5 x15666 - x25415 = 0 e70918: 0.5 b1267 + 0.5 x15667 - x25416 = 0 e70919: 0.5 b1268 + 0.5 x15668 - x25417 = 0 e70920: 0.5 b1269 + 0.5 x15669 - x25418 = 0 e70921: 0.5 b1270 + 0.5 x15670 - x25419 = 0 e70922: 0.5 b1271 + 0.5 x15671 - x25420 = 0 e70923: 0.5 b1272 + 0.5 x15672 - x25421 = 0 e70924: 0.5 b1273 + 0.5 x15673 - x25422 = 0 e70925: 0.5 b1274 + 0.5 x15674 - x25423 = 0 e70926: 0.5 b1275 + 0.5 x15675 - x25424 = 0 e70927: 0.5 b1276 + 0.5 x15676 - x25425 = 0 e70928: 0.5 b1277 + 0.5 x15677 - x25426 = 0 e70929: 0.5 b1278 + 0.5 x15678 - x25427 = 0 e70930: 0.5 b1279 + 0.5 x15679 - x25428 = 0 e70931: 0.5 b1280 + 0.5 x15680 - x25429 = 0 e70932: 0.5 b1281 + 0.5 x15681 - x25430 = 0 e70933: 0.5 b1282 + 0.5 x15682 - x25431 = 0 e70934: 0.5 b1283 + 0.5 x15683 - x25432 = 0 e70935: 0.5 b1284 + 0.5 x15684 - x25433 = 0 e70936: 0.5 b1285 + 0.5 x15685 - x25434 = 0 e70937: 0.5 b1286 + 0.5 x15686 - x25435 = 0 e70938: 0.5 b1287 + 0.5 x15687 - x25436 = 0 e70939: 0.5 b1288 + 0.5 x15688 - x25437 = 0 e70940: 0.5 b1289 + 0.5 x15689 - x25438 = 0 e70941: 0.5 b1290 + 0.5 x15690 - x25439 = 0 e70942: 0.5 b1291 + 0.5 x15691 - x25440 = 0 e70943: 0.5 b1292 + 0.5 x15692 - x25441 = 0 e70944: 0.5 b1293 + 0.5 x15693 - x25442 = 0 e70945: 0.5 b1294 + 0.5 x15694 - x25443 = 0 e70946: 0.5 b1295 + 0.5 x15695 - x25444 = 0 e70947: 0.5 b1296 + 0.5 x15696 - x25445 = 0 e70948: 0.5 b1297 + 0.5 x15697 - x25446 = 0 e70949: 0.5 b1298 + 0.5 x15698 - x25447 = 0 e70950: 0.5 b1299 + 0.5 x15699 - x25448 = 0 e70951: 0.5 b1300 + 0.5 x15700 - x25449 = 0 e70952: 0.5 b1301 + 0.5 x15701 - x25450 = 0 e70953: 0.5 b1302 + 0.5 x15702 - x25451 = 0 e70954: 0.5 b1303 + 0.5 x15703 - x25452 = 0 e70955: 0.5 b1304 + 0.5 x15704 - x25453 = 0 e70956: 0.5 b1305 + 0.5 x15705 - x25454 = 0 e70957: 0.5 b1306 + 0.5 x15706 - x25455 = 0 e70958: 0.5 b1307 + 0.5 x15707 - x25456 = 0 e70959: 0.5 b1308 + 0.5 x15708 - x25457 = 0 e70960: 0.5 b1309 + 0.5 x15709 - x25458 = 0 e70961: 0.5 b1310 + 0.5 x15710 - x25459 = 0 e70962: 0.5 b1311 + 0.5 x15711 - x25460 = 0 e70963: 0.5 b1312 + 0.5 x15712 - x25461 = 0 e70964: 0.5 b1313 + 0.5 x15713 - x25462 = 0 e70965: 0.5 b1314 + 0.5 x15714 - x25463 = 0 e70966: 0.5 b1315 + 0.5 x15715 - x25464 = 0 e70967: 0.5 b1316 + 0.5 x15716 - x25465 = 0 e70968: 0.5 b1317 + 0.5 x15717 - x25466 = 0 e70969: 0.5 b1318 + 0.5 x15718 - x25467 = 0 e70970: 0.5 b1319 + 0.5 x15719 - x25468 = 0 e70971: 0.5 b1320 + 0.5 x15720 - x25469 = 0 e70972: 0.5 b1321 + 0.5 x15721 - x25470 = 0 e70973: 0.5 b1322 + 0.5 x15722 - x25471 = 0 e70974: 0.5 b1323 + 0.5 x15723 - x25472 = 0 e70975: 0.5 b1324 + 0.5 x15724 - x25473 = 0 e70976: 0.5 b1325 + 0.5 x15725 - x25474 = 0 e70977: 0.5 b1326 + 0.5 x15726 - x25475 = 0 e70978: 0.5 b1327 + 0.5 x15727 - x25476 = 0 e70979: 0.5 b1328 + 0.5 x15728 - x25477 = 0 e70980: 0.5 b1329 + 0.5 x15729 - x25478 = 0 e70981: 0.5 b1330 + 0.5 x15730 - x25479 = 0 e70982: 0.5 b1331 + 0.5 x15731 - x25480 = 0 e70983: 0.5 b1332 + 0.5 x15732 - x25481 = 0 e70984: 0.5 b1333 + 0.5 x15733 - x25482 = 0 e70985: 0.5 b1334 + 0.5 x15734 - x25483 = 0 e70986: 0.5 b1335 + 0.5 x15735 - x25484 = 0 e70987: 0.5 b1336 + 0.5 x15736 - x25485 = 0 e70988: 0.5 b1337 + 0.5 x15737 - x25486 = 0 e70989: 0.5 b1338 + 0.5 x15738 - x25487 = 0 e70990: 0.5 b1339 + 0.5 x15739 - x25488 = 0 e70991: 0.5 b1340 + 0.5 x15740 - x25489 = 0 e70992: 0.5 b1341 + 0.5 x15741 - x25490 = 0 e70993: 0.5 b1342 + 0.5 x15742 - x25491 = 0 e70994: 0.5 b1343 + 0.5 x15743 - x25492 = 0 e70995: 0.5 b1344 + 0.5 x15744 - x25493 = 0 e70996: 0.5 b1345 + 0.5 x15745 - x25494 = 0 e70997: 0.5 b1346 + 0.5 x15746 - x25495 = 0 e70998: 0.5 b1347 + 0.5 x15747 - x25496 = 0 e70999: 0.5 b1348 + 0.5 x15748 - x25497 = 0 e71000: 0.5 b1349 + 0.5 x15749 - x25498 = 0 e71001: 0.5 b1350 + 0.5 x15750 - x25499 = 0 e71002: 0.5 b1351 + 0.5 x15751 - x25500 = 0 e71003: 0.5 b1352 + 0.5 x15752 - x25501 = 0 e71004: 0.5 b1353 + 0.5 x15753 - x25502 = 0 e71005: 0.5 b1354 + 0.5 x15754 - x25503 = 0 e71006: 0.5 b1355 + 0.5 x15755 - x25504 = 0 e71007: 0.5 b1356 + 0.5 x15756 - x25505 = 0 e71008: 0.5 b1357 + 0.5 x15757 - x25506 = 0 e71009: 0.5 b1358 + 0.5 x15758 - x25507 = 0 e71010: 0.5 b1359 + 0.5 x15759 - x25508 = 0 e71011: 0.5 b1360 + 0.5 x15760 - x25509 = 0 e71012: 0.5 b1361 + 0.5 x15761 - x25510 = 0 e71013: 0.5 b1362 + 0.5 x15762 - x25511 = 0 e71014: 0.5 b1363 + 0.5 x15763 - x25512 = 0 e71015: 0.5 b1364 + 0.5 x15764 - x25513 = 0 e71016: 0.5 b1365 + 0.5 x15765 - x25514 = 0 e71017: 0.5 b1366 + 0.5 x15766 - x25515 = 0 e71018: 0.5 b1367 + 0.5 x15767 - x25516 = 0 e71019: 0.5 b1368 + 0.5 x15768 - x25517 = 0 e71020: 0.5 b1369 + 0.5 x15769 - x25518 = 0 e71021: 0.5 b1370 + 0.5 x15770 - x25519 = 0 e71022: 0.5 b1371 + 0.5 x15771 - x25520 = 0 e71023: 0.5 b1372 + 0.5 x15772 - x25521 = 0 e71024: 0.5 b1373 + 0.5 x15773 - x25522 = 0 e71025: 0.5 b1374 + 0.5 x15774 - x25523 = 0 e71026: 0.5 b1375 + 0.5 x15775 - x25524 = 0 e71027: 0.5 b1376 + 0.5 x15776 - x25525 = 0 e71028: 0.5 b1377 + 0.5 x15777 - x25526 = 0 e71029: 0.5 b1378 + 0.5 x15778 - x25527 = 0 e71030: 0.5 b1379 + 0.5 x15779 - x25528 = 0 e71031: 0.5 b1380 + 0.5 x15780 - x25529 = 0 e71032: 0.5 b1381 + 0.5 x15781 - x25530 = 0 e71033: 0.5 b1382 + 0.5 x15782 - x25531 = 0 e71034: 0.5 b1383 + 0.5 x15783 - x25532 = 0 e71035: 0.5 b1384 + 0.5 x15784 - x25533 = 0 e71036: 0.5 b1385 + 0.5 x15785 - x25534 = 0 e71037: 0.5 b1386 + 0.5 x15786 - x25535 = 0 e71038: 0.5 b1387 + 0.5 x15787 - x25536 = 0 e71039: 0.5 b1388 + 0.5 x15788 - x25537 = 0 e71040: 0.5 b1389 + 0.5 x15789 - x25538 = 0 e71041: 0.5 b1390 + 0.5 x15790 - x25539 = 0 e71042: 0.5 b1391 + 0.5 x15791 - x25540 = 0 e71043: 0.5 b1392 + 0.5 x15792 - x25541 = 0 e71044: 0.5 b1393 + 0.5 x15793 - x25542 = 0 e71045: 0.5 b1394 + 0.5 x15794 - x25543 = 0 e71046: 0.5 b1395 + 0.5 x15795 - x25544 = 0 e71047: 0.5 b1396 + 0.5 x15796 - x25545 = 0 e71048: 0.5 b1397 + 0.5 x15797 - x25546 = 0 e71049: 0.5 b1398 + 0.5 x15798 - x25547 = 0 e71050: 0.5 b1399 + 0.5 x15799 - x25548 = 0 e71051: 0.5 b1400 + 0.5 x15800 - x25549 = 0 e71052: 0.5 b1401 + 0.5 x15801 - x25550 = 0 e71053: 0.5 b1402 + 0.5 x15802 - x25551 = 0 e71054: 0.5 b1403 + 0.5 x15803 - x25552 = 0 e71055: 0.5 b1404 + 0.5 x15804 - x25553 = 0 e71056: 0.5 b1405 + 0.5 x15805 - x25554 = 0 e71057: 0.5 b1406 + 0.5 x15806 - x25555 = 0 e71058: 0.5 b1407 + 0.5 x15807 - x25556 = 0 e71059: 0.5 b1408 + 0.5 x15808 - x25557 = 0 e71060: 0.5 b1409 + 0.5 x15809 - x25558 = 0 e71061: 0.5 b1410 + 0.5 x15810 - x25559 = 0 e71062: 0.5 b1411 + 0.5 x15811 - x25560 = 0 e71063: 0.5 b1412 + 0.5 x15812 - x25561 = 0 e71064: 0.5 b1413 + 0.5 x15813 - x25562 = 0 e71065: 0.5 b1414 + 0.5 x15814 - x25563 = 0 e71066: 0.5 b1415 + 0.5 x15815 - x25564 = 0 e71067: 0.5 b1416 + 0.5 x15816 - x25565 = 0 e71068: 0.5 b1417 + 0.5 x15817 - x25566 = 0 e71069: 0.5 b1418 + 0.5 x15818 - x25567 = 0 e71070: 0.5 b1419 + 0.5 x15819 - x25568 = 0 e71071: 0.5 b1420 + 0.5 x15820 - x25569 = 0 e71072: 0.5 b1421 + 0.5 x15821 - x25570 = 0 e71073: 0.5 b1422 + 0.5 x15822 - x25571 = 0 e71074: 0.5 b1423 + 0.5 x15823 - x25572 = 0 e71075: 0.5 b1424 + 0.5 x15824 - x25573 = 0 e71076: 0.5 b1425 + 0.5 x15825 - x25574 = 0 e71077: 0.5 b1426 + 0.5 x15826 - x25575 = 0 e71078: 0.5 b1427 + 0.5 x15827 - x25576 = 0 e71079: 0.5 b1428 + 0.5 x15828 - x25577 = 0 e71080: 0.5 b1429 + 0.5 x15829 - x25578 = 0 e71081: 0.5 b1430 + 0.5 x15830 - x25579 = 0 e71082: 0.5 b1431 + 0.5 x15831 - x25580 = 0 e71083: 0.5 b1432 + 0.5 x15832 - x25581 = 0 e71084: 0.5 b1433 + 0.5 x15833 - x25582 = 0 e71085: 0.5 b1434 + 0.5 x15834 - x25583 = 0 e71086: 0.5 b1435 + 0.5 x15835 - x25584 = 0 e71087: 0.5 b1436 + 0.5 x15836 - x25585 = 0 e71088: 0.5 b1437 + 0.5 x15837 - x25586 = 0 e71089: 0.5 b1438 + 0.5 x15838 - x25587 = 0 e71090: 0.5 b1439 + 0.5 x15839 - x25588 = 0 e71091: 0.5 b1440 + 0.5 x15840 - x25589 = 0 e71092: 0.5 b1441 + 0.5 x15841 - x25590 = 0 e71093: 0.5 b1442 + 0.5 x15842 - x25591 = 0 e71094: 0.5 b1443 + 0.5 x15843 - x25592 = 0 e71095: 0.5 b1444 + 0.5 x15844 - x25593 = 0 e71096: 0.5 b1445 + 0.5 x15845 - x25594 = 0 e71097: 0.5 b1446 + 0.5 x15846 - x25595 = 0 e71098: 0.5 b1447 + 0.5 x15847 - x25596 = 0 e71099: 0.5 b1448 + 0.5 x15848 - x25597 = 0 e71100: 0.5 b1449 + 0.5 x15849 - x25598 = 0 e71101: 0.5 b1450 + 0.5 x15850 - x25599 = 0 e71102: 0.5 b1451 + 0.5 x15851 - x25600 = 0 e71103: 0.5 b1452 + 0.5 x15852 - x25601 = 0 e71104: 0.5 b1453 + 0.5 x15853 - x25602 = 0 e71105: 0.5 b1454 + 0.5 x15854 - x25603 = 0 e71106: 0.5 b1455 + 0.5 x15855 - x25604 = 0 e71107: 0.5 b1456 + 0.5 x15856 - x25605 = 0 e71108: 0.5 b1457 + 0.5 x15857 - x25606 = 0 e71109: 0.5 b1458 + 0.5 x15858 - x25607 = 0 e71110: 0.5 b1459 + 0.5 x15859 - x25608 = 0 e71111: 0.5 b1460 + 0.5 x15860 - x25609 = 0 e71112: 0.5 b1461 + 0.5 x15861 - x25610 = 0 e71113: 0.5 b1462 + 0.5 x15862 - x25611 = 0 e71114: 0.5 b1463 + 0.5 x15863 - x25612 = 0 e71115: 0.5 b1464 + 0.5 x15864 - x25613 = 0 e71116: 0.5 b1465 + 0.5 x15865 - x25614 = 0 e71117: 0.5 b1466 + 0.5 x15866 - x25615 = 0 e71118: 0.5 b1467 + 0.5 x15867 - x25616 = 0 e71119: 0.5 b1468 + 0.5 x15868 - x25617 = 0 e71120: 0.5 b1469 + 0.5 x15869 - x25618 = 0 e71121: 0.5 b1470 + 0.5 x15870 - x25619 = 0 e71122: 0.5 b1471 + 0.5 x15871 - x25620 = 0 e71123: 0.5 b1472 + 0.5 x15872 - x25621 = 0 e71124: 0.5 b1473 + 0.5 x15873 - x25622 = 0 e71125: 0.5 b1474 + 0.5 x15874 - x25623 = 0 e71126: 0.5 b1475 + 0.5 x15875 - x25624 = 0 e71127: 0.5 b1476 + 0.5 x15876 - x25625 = 0 e71128: 0.5 b1477 + 0.5 x15877 - x25626 = 0 e71129: 0.5 b1478 + 0.5 x15878 - x25627 = 0 e71130: 0.5 b1479 + 0.5 x15879 - x25628 = 0 e71131: 0.5 b1480 + 0.5 x15880 - x25629 = 0 e71132: 0.5 b1481 + 0.5 x15881 - x25630 = 0 e71133: 0.5 b1482 + 0.5 x15882 - x25631 = 0 e71134: 0.5 b1483 + 0.5 x15883 - x25632 = 0 e71135: 0.5 b1484 + 0.5 x15884 - x25633 = 0 e71136: 0.5 b1485 + 0.5 x15885 - x25634 = 0 e71137: 0.5 b1486 + 0.5 x15886 - x25635 = 0 e71138: 0.5 b1487 + 0.5 x15887 - x25636 = 0 e71139: 0.5 b1488 + 0.5 x15888 - x25637 = 0 e71140: 0.5 b1489 + 0.5 x15889 - x25638 = 0 e71141: 0.5 b1490 + 0.5 x15890 - x25639 = 0 e71142: 0.5 b1491 + 0.5 x15891 - x25640 = 0 e71143: 0.5 b1492 + 0.5 x15892 - x25641 = 0 e71144: 0.5 b1493 + 0.5 x15893 - x25642 = 0 e71145: 0.5 b1494 + 0.5 x15894 - x25643 = 0 e71146: 0.5 b1495 + 0.5 x15895 - x25644 = 0 e71147: 0.5 b1496 + 0.5 x15896 - x25645 = 0 e71148: 0.5 b1497 + 0.5 x15897 - x25646 = 0 e71149: 0.5 b1498 + 0.5 x15898 - x25647 = 0 e71150: 0.5 b1499 + 0.5 x15899 - x25648 = 0 e71151: 0.5 b1500 + 0.5 x15900 - x25649 = 0 e71152: 0.5 b1501 + 0.5 x15901 - x25650 = 0 e71153: 0.5 b1502 + 0.5 x15902 - x25651 = 0 e71154: 0.5 b1503 + 0.5 x15903 - x25652 = 0 e71155: 0.5 b1504 + 0.5 x15904 - x25653 = 0 e71156: 0.5 b1505 + 0.5 x15905 - x25654 = 0 e71157: 0.5 b1506 + 0.5 x15906 - x25655 = 0 e71158: 0.5 b1507 + 0.5 x15907 - x25656 = 0 e71159: 0.5 b1508 + 0.5 x15908 - x25657 = 0 e71160: 0.5 b1509 + 0.5 x15909 - x25658 = 0 e71161: 0.5 b1510 + 0.5 x15910 - x25659 = 0 e71162: 0.5 b1511 + 0.5 x15911 - x25660 = 0 e71163: 0.5 b1512 + 0.5 x15912 - x25661 = 0 e71164: 0.5 b1513 + 0.5 x15913 - x25662 = 0 e71165: 0.5 b1514 + 0.5 x15914 - x25663 = 0 e71166: 0.5 b1515 + 0.5 x15915 - x25664 = 0 e71167: 0.5 b1516 + 0.5 x15916 - x25665 = 0 e71168: 0.5 b1517 + 0.5 x15917 - x25666 = 0 e71169: 0.5 b1518 + 0.5 x15918 - x25667 = 0 e71170: 0.5 b1519 + 0.5 x15919 - x25668 = 0 e71171: 0.5 b1520 + 0.5 x15920 - x25669 = 0 e71172: 0.5 b1521 + 0.5 x15921 - x25670 = 0 e71173: 0.5 b1522 + 0.5 x15922 - x25671 = 0 e71174: 0.5 b1523 + 0.5 x15923 - x25672 = 0 e71175: 0.5 b1524 + 0.5 x15924 - x25673 = 0 e71176: 0.5 b1525 + 0.5 x15925 - x25674 = 0 e71177: 0.5 b1526 + 0.5 x15926 - x25675 = 0 e71178: 0.5 b1527 + 0.5 x15927 - x25676 = 0 e71179: 0.5 b1528 + 0.5 x15928 - x25677 = 0 e71180: 0.5 b1529 + 0.5 x15929 - x25678 = 0 e71181: 0.5 b1530 + 0.5 x15930 - x25679 = 0 e71182: 0.5 b1531 + 0.5 x15931 - x25680 = 0 e71183: 0.5 b1532 + 0.5 x15932 - x25681 = 0 e71184: 0.5 b1533 + 0.5 x15933 - x25682 = 0 e71185: 0.5 b1534 + 0.5 x15934 - x25683 = 0 e71186: 0.5 b1535 + 0.5 x15935 - x25684 = 0 e71187: 0.5 b1536 + 0.5 x15936 - x25685 = 0 e71188: 0.5 b1537 + 0.5 x15937 - x25686 = 0 e71189: 0.5 b1538 + 0.5 x15938 - x25687 = 0 e71190: 0.5 b1539 + 0.5 x15939 - x25688 = 0 e71191: 0.5 b1540 + 0.5 x15940 - x25689 = 0 e71192: 0.5 b1541 + 0.5 x15941 - x25690 = 0 e71193: 0.5 b1542 + 0.5 x15942 - x25691 = 0 e71194: 0.5 b1543 + 0.5 x15943 - x25692 = 0 e71195: 0.5 b1544 + 0.5 x15944 - x25693 = 0 e71196: 0.5 b1545 + 0.5 x15945 - x25694 = 0 e71197: 0.5 b1546 + 0.5 x15946 - x25695 = 0 e71198: 0.5 b1547 + 0.5 x15947 - x25696 = 0 e71199: 0.5 b1548 + 0.5 x15948 - x25697 = 0 e71200: 0.5 b1549 + 0.5 x15949 - x25698 = 0 e71201: 0.5 b1550 + 0.5 x15950 - x25699 = 0 e71202: 0.5 b1551 + 0.5 x15951 - x25700 = 0 e71203: 0.5 b1552 + 0.5 x15952 - x25701 = 0 e71204: 0.5 b1553 + 0.5 x15953 - x25702 = 0 e71205: 0.5 b1554 + 0.5 x15954 - x25703 = 0 e71206: 0.5 b1555 + 0.5 x15955 - x25704 = 0 e71207: 0.5 b1556 + 0.5 x15956 - x25705 = 0 e71208: 0.5 b1557 + 0.5 x15957 - x25706 = 0 e71209: 0.5 b1558 + 0.5 x15958 - x25707 = 0 e71210: 0.5 b1559 + 0.5 x15959 - x25708 = 0 e71211: 0.5 b1560 + 0.5 x15960 - x25709 = 0 e71212: 0.5 b1561 + 0.5 x15961 - x25710 = 0 e71213: 0.5 b1562 + 0.5 x15962 - x25711 = 0 e71214: 0.5 b1563 + 0.5 x15963 - x25712 = 0 e71215: 0.5 b1564 + 0.5 x15964 - x25713 = 0 e71216: 0.5 b1565 + 0.5 x15965 - x25714 = 0 e71217: 0.5 b1566 + 0.5 x15966 - x25715 = 0 e71218: 0.5 b1567 + 0.5 x15967 - x25716 = 0 e71219: 0.5 b1568 + 0.5 x15968 - x25717 = 0 e71220: 0.5 b1569 + 0.5 x15969 - x25718 = 0 e71221: 0.5 b1570 + 0.5 x15970 - x25719 = 0 e71222: 0.5 b1571 + 0.5 x15971 - x25720 = 0 e71223: 0.5 b1572 + 0.5 x15972 - x25721 = 0 e71224: 0.5 b1573 + 0.5 x15973 - x25722 = 0 e71225: 0.5 b1574 + 0.5 x15974 - x25723 = 0 e71226: 0.5 b1575 + 0.5 x15975 - x25724 = 0 e71227: 0.5 b1576 + 0.5 x15976 - x25725 = 0 e71228: 0.5 b1577 + 0.5 x15977 - x25726 = 0 e71229: 0.5 b1578 + 0.5 x15978 - x25727 = 0 e71230: 0.5 b1579 + 0.5 x15979 - x25728 = 0 e71231: 0.5 b1580 + 0.5 x15980 - x25729 = 0 e71232: 0.5 b1581 + 0.5 x15981 - x25730 = 0 e71233: 0.5 b1582 + 0.5 x15982 - x25731 = 0 e71234: 0.5 b1583 + 0.5 x15983 - x25732 = 0 e71235: 0.5 b1584 + 0.5 x15984 - x25733 = 0 e71236: 0.5 b1585 + 0.5 x15985 - x25734 = 0 e71237: 0.5 b1586 + 0.5 x15986 - x25735 = 0 e71238: 0.5 b1587 + 0.5 x15987 - x25736 = 0 e71239: 0.5 b1588 + 0.5 x15988 - x25737 = 0 e71240: 0.5 b1589 + 0.5 x15989 - x25738 = 0 e71241: 0.5 b1590 + 0.5 x15990 - x25739 = 0 e71242: 0.5 b1591 + 0.5 x15991 - x25740 = 0 e71243: 0.5 b1592 + 0.5 x15992 - x25741 = 0 e71244: 0.5 b1593 + 0.5 x15993 - x25742 = 0 e71245: 0.5 b1594 + 0.5 x15994 - x25743 = 0 e71246: 0.5 b1595 + 0.5 x15995 - x25744 = 0 e71247: 0.5 b1596 + 0.5 x15996 - x25745 = 0 e71248: 0.5 b1597 + 0.5 x15997 - x25746 = 0 e71249: 0.5 b1598 + 0.5 x15998 - x25747 = 0 e71250: 0.5 b1599 + 0.5 x15999 - x25748 = 0 e71251: 0.5 b1600 + 0.5 x16000 - x25749 = 0 e71252: 0.5 b1601 + 0.5 x16001 - x25750 = 0 e71253: 0.5 b1602 + 0.5 x16002 - x25751 = 0 e71254: 0.5 b1603 + 0.5 x16003 - x25752 = 0 e71255: 0.5 b1604 + 0.5 x16004 - x25753 = 0 e71256: 0.5 b1605 + 0.5 x16005 - x25754 = 0 e71257: 0.5 b1606 + 0.5 x16006 - x25755 = 0 e71258: 0.5 b1607 + 0.5 x16007 - x25756 = 0 e71259: 0.5 b1608 + 0.5 x16008 - x25757 = 0 e71260: 0.5 b1609 + 0.5 x16009 - x25758 = 0 e71261: 0.5 b1610 + 0.5 x16010 - x25759 = 0 e71262: 0.5 b1611 + 0.5 x16011 - x25760 = 0 e71263: 0.5 b1612 + 0.5 x16012 - x25761 = 0 e71264: 0.5 b1613 + 0.5 x16013 - x25762 = 0 e71265: 0.5 b1614 + 0.5 x16014 - x25763 = 0 e71266: 0.5 b1615 + 0.5 x16015 - x25764 = 0 e71267: 0.5 b1616 + 0.5 x16016 - x25765 = 0 e71268: 0.5 b1617 + 0.5 x16017 - x25766 = 0 e71269: 0.5 b1618 + 0.5 x16018 - x25767 = 0 e71270: 0.5 b1619 + 0.5 x16019 - x25768 = 0 e71271: 0.5 b1620 + 0.5 x16020 - x25769 = 0 e71272: 0.5 b1621 + 0.5 x16021 - x25770 = 0 e71273: 0.5 b1622 + 0.5 x16022 - x25771 = 0 e71274: 0.5 b1623 + 0.5 x16023 - x25772 = 0 e71275: 0.5 b1624 + 0.5 x16024 - x25773 = 0 e71276: 0.5 b1625 + 0.5 x16025 - x25774 = 0 e71277: 0.5 b1626 + 0.5 x16026 - x25775 = 0 e71278: 0.5 b1627 + 0.5 x16027 - x25776 = 0 e71279: 0.5 b1628 + 0.5 x16028 - x25777 = 0 e71280: 0.5 b1629 + 0.5 x16029 - x25778 = 0 e71281: 0.5 b1630 + 0.5 x16030 - x25779 = 0 e71282: 0.5 b1631 + 0.5 x16031 - x25780 = 0 e71283: 0.5 b1632 + 0.5 x16032 - x25781 = 0 e71284: 0.5 b1633 + 0.5 x16033 - x25782 = 0 e71285: 0.5 b1634 + 0.5 x16034 - x25783 = 0 e71286: 0.5 b1635 + 0.5 x16035 - x25784 = 0 e71287: 0.5 b1636 + 0.5 x16036 - x25785 = 0 e71288: 0.5 b1637 + 0.5 x16037 - x25786 = 0 e71289: 0.5 b1638 + 0.5 x16038 - x25787 = 0 e71290: 0.5 b1639 + 0.5 x16039 - x25788 = 0 e71291: 0.5 b1640 + 0.5 x16040 - x25789 = 0 e71292: 0.5 b1641 + 0.5 x16041 - x25790 = 0 e71293: 0.5 b1642 + 0.5 x16042 - x25791 = 0 e71294: 0.5 b1643 + 0.5 x16043 - x25792 = 0 e71295: 0.5 b1644 + 0.5 x16044 - x25793 = 0 e71296: 0.5 b1645 + 0.5 x16045 - x25794 = 0 e71297: 0.5 b1646 + 0.5 x16046 - x25795 = 0 e71298: 0.5 b1647 + 0.5 x16047 - x25796 = 0 e71299: 0.5 b1648 + 0.5 x16048 - x25797 = 0 e71300: 0.5 b1649 + 0.5 x16049 - x25798 = 0 e71301: 0.5 b1650 + 0.5 x16050 - x25799 = 0 e71302: 0.5 b1651 + 0.5 x16051 - x25800 = 0 e71303: 0.5 b1652 + 0.5 x16052 - x25801 = 0 e71304: 0.5 b1653 + 0.5 x16053 - x25802 = 0 e71305: 0.5 b1654 + 0.5 x16054 - x25803 = 0 e71306: 0.5 b1655 + 0.5 x16055 - x25804 = 0 e71307: 0.5 b1656 + 0.5 x16056 - x25805 = 0 e71308: 0.5 b1657 + 0.5 x16057 - x25806 = 0 e71309: 0.5 b1658 + 0.5 x16058 - x25807 = 0 e71310: 0.5 b1659 + 0.5 x16059 - x25808 = 0 e71311: 0.5 b1660 + 0.5 x16060 - x25809 = 0 e71312: 0.5 b1661 + 0.5 x16061 - x25810 = 0 e71313: 0.5 b1662 + 0.5 x16062 - x25811 = 0 e71314: 0.5 b1663 + 0.5 x16063 - x25812 = 0 e71315: 0.5 b1664 + 0.5 x16064 - x25813 = 0 e71316: 0.5 b1665 + 0.5 x16065 - x25814 = 0 e71317: 0.5 b1666 + 0.5 x16066 - x25815 = 0 e71318: 0.5 b1667 + 0.5 x16067 - x25816 = 0 e71319: 0.5 b1668 + 0.5 x16068 - x25817 = 0 e71320: 0.5 b1669 + 0.5 x16069 - x25818 = 0 e71321: 0.5 b1670 + 0.5 x16070 - x25819 = 0 e71322: 0.5 b1671 + 0.5 x16071 - x25820 = 0 e71323: 0.5 b1672 + 0.5 x16072 - x25821 = 0 e71324: 0.5 b1673 + 0.5 x16073 - x25822 = 0 e71325: 0.5 b1674 + 0.5 x16074 - x25823 = 0 e71326: 0.5 b1675 + 0.5 x16075 - x25824 = 0 e71327: 0.5 b1676 + 0.5 x16076 - x25825 = 0 e71328: 0.5 b1677 + 0.5 x16077 - x25826 = 0 e71329: 0.5 b1678 + 0.5 x16078 - x25827 = 0 e71330: 0.5 b1679 + 0.5 x16079 - x25828 = 0 e71331: 0.5 b1680 + 0.5 x16080 - x25829 = 0 e71332: 0.5 b1681 + 0.5 x16081 - x25830 = 0 e71333: 0.5 b1682 + 0.5 x16082 - x25831 = 0 e71334: 0.5 b1683 + 0.5 x16083 - x25832 = 0 e71335: 0.5 b1684 + 0.5 x16084 - x25833 = 0 e71336: 0.5 b1685 + 0.5 x16085 - x25834 = 0 e71337: 0.5 b1686 + 0.5 x16086 - x25835 = 0 e71338: 0.5 b1687 + 0.5 x16087 - x25836 = 0 e71339: 0.5 b1688 + 0.5 x16088 - x25837 = 0 e71340: 0.5 b1689 + 0.5 x16089 - x25838 = 0 e71341: 0.5 b1690 + 0.5 x16090 - x25839 = 0 e71342: 0.5 b1691 + 0.5 x16091 - x25840 = 0 e71343: 0.5 b1692 + 0.5 x16092 - x25841 = 0 e71344: 0.5 b1693 + 0.5 x16093 - x25842 = 0 e71345: 0.5 b1694 + 0.5 x16094 - x25843 = 0 e71346: 0.5 b1695 + 0.5 x16095 - x25844 = 0 e71347: 0.5 b1696 + 0.5 x16096 - x25845 = 0 e71348: 0.5 b1697 + 0.5 x16097 - x25846 = 0 e71349: 0.5 b1698 + 0.5 x16098 - x25847 = 0 e71350: 0.5 b1699 + 0.5 x16099 - x25848 = 0 e71351: 0.5 b1700 + 0.5 x16100 - x25849 = 0 e71352: 0.5 b1701 + 0.5 x16101 - x25850 = 0 e71353: 0.5 b1702 + 0.5 x16102 - x25851 = 0 e71354: 0.5 b1703 + 0.5 x16103 - x25852 = 0 e71355: 0.5 b1704 + 0.5 x16104 - x25853 = 0 e71356: 0.5 b1705 + 0.5 x16105 - x25854 = 0 e71357: 0.5 b1706 + 0.5 x16106 - x25855 = 0 e71358: 0.5 b1707 + 0.5 x16107 - x25856 = 0 e71359: 0.5 b1708 + 0.5 x16108 - x25857 = 0 e71360: 0.5 b1709 + 0.5 x16109 - x25858 = 0 e71361: 0.5 b1710 + 0.5 x16110 - x25859 = 0 e71362: 0.5 b1711 + 0.5 x16111 - x25860 = 0 e71363: 0.5 b1712 + 0.5 x16112 - x25861 = 0 e71364: 0.5 b1713 + 0.5 x16113 - x25862 = 0 e71365: 0.5 b1714 + 0.5 x16114 - x25863 = 0 e71366: 0.5 b1715 + 0.5 x16115 - x25864 = 0 e71367: 0.5 b1716 + 0.5 x16116 - x25865 = 0 e71368: 0.5 b1717 + 0.5 x16117 - x25866 = 0 e71369: 0.5 b1718 + 0.5 x16118 - x25867 = 0 e71370: 0.5 b1719 + 0.5 x16119 - x25868 = 0 e71371: 0.5 b1720 + 0.5 x16120 - x25869 = 0 e71372: 0.5 b1721 + 0.5 x16121 - x25870 = 0 e71373: 0.5 b1722 + 0.5 x16122 - x25871 = 0 e71374: 0.5 b1723 + 0.5 x16123 - x25872 = 0 e71375: 0.5 b1724 + 0.5 x16124 - x25873 = 0 e71376: 0.5 b1725 + 0.5 x16125 - x25874 = 0 e71377: 0.5 b1726 + 0.5 x16126 - x25875 = 0 e71378: 0.5 b1727 + 0.5 x16127 - x25876 = 0 e71379: 0.5 b1728 + 0.5 x16128 - x25877 = 0 e71380: 0.5 b1729 + 0.5 x16129 - x25878 = 0 e71381: 0.5 b1730 + 0.5 x16130 - x25879 = 0 e71382: 0.5 b1731 + 0.5 x16131 - x25880 = 0 e71383: 0.5 b1732 + 0.5 x16132 - x25881 = 0 e71384: 0.5 b1733 + 0.5 x16133 - x25882 = 0 e71385: 0.5 b1734 + 0.5 x16134 - x25883 = 0 e71386: 0.5 b1735 + 0.5 x16135 - x25884 = 0 e71387: 0.5 b1736 + 0.5 x16136 - x25885 = 0 e71388: 0.5 b1737 + 0.5 x16137 - x25886 = 0 e71389: 0.5 b1738 + 0.5 x16138 - x25887 = 0 e71390: 0.5 b1739 + 0.5 x16139 - x25888 = 0 e71391: 0.5 b1740 + 0.5 x16140 - x25889 = 0 e71392: 0.5 b1741 + 0.5 x16141 - x25890 = 0 e71393: 0.5 b1742 + 0.5 x16142 - x25891 = 0 e71394: 0.5 b1743 + 0.5 x16143 - x25892 = 0 e71395: 0.5 b1744 + 0.5 x16144 - x25893 = 0 e71396: 0.5 b1745 + 0.5 x16145 - x25894 = 0 e71397: 0.5 b1746 + 0.5 x16146 - x25895 = 0 e71398: 0.5 b1747 + 0.5 x16147 - x25896 = 0 e71399: 0.5 b1748 + 0.5 x16148 - x25897 = 0 e71400: 0.5 b1749 + 0.5 x16149 - x25898 = 0 e71401: 0.5 b1750 + 0.5 x16150 - x25899 = 0 e71402: 0.5 b1751 + 0.5 x16151 - x25900 = 0 e71403: 0.5 b1752 + 0.5 x16152 - x25901 = 0 e71404: 0.5 b1753 + 0.5 x16153 - x25902 = 0 e71405: 0.5 b1754 + 0.5 x16154 - x25903 = 0 e71406: 0.5 b1755 + 0.5 x16155 - x25904 = 0 e71407: 0.5 b1756 + 0.5 x16156 - x25905 = 0 e71408: 0.5 b1757 + 0.5 x16157 - x25906 = 0 e71409: 0.5 b1758 + 0.5 x16158 - x25907 = 0 e71410: 0.5 b1759 + 0.5 x16159 - x25908 = 0 e71411: 0.5 b1760 + 0.5 x16160 - x25909 = 0 e71412: 0.5 b1761 + 0.5 x16161 - x25910 = 0 e71413: 0.5 b1762 + 0.5 x16162 - x25911 = 0 e71414: 0.5 b1763 + 0.5 x16163 - x25912 = 0 e71415: 0.5 b1764 + 0.5 x16164 - x25913 = 0 e71416: 0.5 b1765 + 0.5 x16165 - x25914 = 0 e71417: 0.5 b1766 + 0.5 x16166 - x25915 = 0 e71418: 0.5 b1767 + 0.5 x16167 - x25916 = 0 e71419: 0.5 b1768 + 0.5 x16168 - x25917 = 0 e71420: 0.5 b1769 + 0.5 x16169 - x25918 = 0 e71421: 0.5 b1770 + 0.5 x16170 - x25919 = 0 e71422: 0.5 b1771 + 0.5 x16171 - x25920 = 0 e71423: 0.5 b1772 + 0.5 x16172 - x25921 = 0 e71424: 0.5 b1773 + 0.5 x16173 - x25922 = 0 e71425: 0.5 b1774 + 0.5 x16174 - x25923 = 0 e71426: 0.5 b1775 + 0.5 x16175 - x25924 = 0 e71427: 0.5 b1776 + 0.5 x16176 - x25925 = 0 e71428: 0.5 b1777 + 0.5 x16177 - x25926 = 0 e71429: 0.5 b1778 + 0.5 x16178 - x25927 = 0 e71430: 0.5 b1779 + 0.5 x16179 - x25928 = 0 e71431: 0.5 b1780 + 0.5 x16180 - x25929 = 0 e71432: 0.5 b1781 + 0.5 x16181 - x25930 = 0 e71433: 0.5 b1782 + 0.5 x16182 - x25931 = 0 e71434: 0.5 b1783 + 0.5 x16183 - x25932 = 0 e71435: 0.5 b1784 + 0.5 x16184 - x25933 = 0 e71436: 0.5 b1785 + 0.5 x16185 - x25934 = 0 e71437: 0.5 b1786 + 0.5 x16186 - x25935 = 0 e71438: 0.5 b1787 + 0.5 x16187 - x25936 = 0 e71439: 0.5 b1788 + 0.5 x16188 - x25937 = 0 e71440: 0.5 b1789 + 0.5 x16189 - x25938 = 0 e71441: 0.5 b1790 + 0.5 x16190 - x25939 = 0 e71442: 0.5 b1791 + 0.5 x16191 - x25940 = 0 e71443: 0.5 b1792 + 0.5 x16192 - x25941 = 0 e71444: 0.5 b1793 + 0.5 x16193 - x25942 = 0 e71445: 0.5 b1794 + 0.5 x16194 - x25943 = 0 e71446: 0.5 b1795 + 0.5 x16195 - x25944 = 0 e71447: 0.5 b1796 + 0.5 x16196 - x25945 = 0 e71448: 0.5 b1797 + 0.5 x16197 - x25946 = 0 e71449: 0.5 b1798 + 0.5 x16198 - x25947 = 0 e71450: 0.5 b1799 + 0.5 x16199 - x25948 = 0 e71451: 0.5 b1800 + 0.5 x16200 - x25949 = 0 e71452: 0.5 b1801 + 0.5 x16201 - x25950 = 0 e71453: 0.5 b1802 + 0.5 x16202 - x25951 = 0 e71454: 0.5 b1803 + 0.5 x16203 - x25952 = 0 e71455: 0.5 b1804 + 0.5 x16204 - x25953 = 0 e71456: 0.5 b1805 + 0.5 x16205 - x25954 = 0 e71457: 0.5 b1806 + 0.5 x16206 - x25955 = 0 e71458: 0.5 b1807 + 0.5 x16207 - x25956 = 0 e71459: 0.5 b1808 + 0.5 x16208 - x25957 = 0 e71460: 0.5 b1809 + 0.5 x16209 - x25958 = 0 e71461: 0.5 b1810 + 0.5 x16210 - x25959 = 0 e71462: 0.5 b1811 + 0.5 x16211 - x25960 = 0 e71463: 0.5 b1812 + 0.5 x16212 - x25961 = 0 e71464: 0.5 b1813 + 0.5 x16213 - x25962 = 0 e71465: 0.5 b1814 + 0.5 x16214 - x25963 = 0 e71466: 0.5 b1815 + 0.5 x16215 - x25964 = 0 e71467: 0.5 b1816 + 0.5 x16216 - x25965 = 0 e71468: 0.5 b1817 + 0.5 x16217 - x25966 = 0 e71469: 0.5 b1818 + 0.5 x16218 - x25967 = 0 e71470: 0.5 b1819 + 0.5 x16219 - x25968 = 0 e71471: 0.5 b1820 + 0.5 x16220 - x25969 = 0 e71472: 0.5 b1821 + 0.5 x16221 - x25970 = 0 e71473: 0.5 b1822 + 0.5 x16222 - x25971 = 0 e71474: 0.5 b1823 + 0.5 x16223 - x25972 = 0 e71475: 0.5 b1824 + 0.5 x16224 - x25973 = 0 e71476: 0.5 b1825 + 0.5 x16225 - x25974 = 0 e71477: 0.5 b1826 + 0.5 x16226 - x25975 = 0 e71478: 0.5 b1827 + 0.5 x16227 - x25976 = 0 e71479: 0.5 b1828 + 0.5 x16228 - x25977 = 0 e71480: 0.5 b1829 + 0.5 x16229 - x25978 = 0 e71481: 0.5 b1830 + 0.5 x16230 - x25979 = 0 e71482: 0.5 b1831 + 0.5 x16231 - x25980 = 0 e71483: 0.5 b1832 + 0.5 x16232 - x25981 = 0 e71484: 0.5 b1833 + 0.5 x16233 - x25982 = 0 e71485: 0.5 b1834 + 0.5 x16234 - x25983 = 0 e71486: 0.5 b1835 + 0.5 x16235 - x25984 = 0 e71487: 0.5 b1836 + 0.5 x16236 - x25985 = 0 e71488: 0.5 b1837 + 0.5 x16237 - x25986 = 0 e71489: 0.5 b1838 + 0.5 x16238 - x25987 = 0 e71490: 0.5 b1839 + 0.5 x16239 - x25988 = 0 e71491: 0.5 b1840 + 0.5 x16240 - x25989 = 0 e71492: 0.5 b1841 + 0.5 x16241 - x25990 = 0 e71493: 0.5 b1842 + 0.5 x16242 - x25991 = 0 e71494: 0.5 b1843 + 0.5 x16243 - x25992 = 0 e71495: 0.5 b1844 + 0.5 x16244 - x25993 = 0 e71496: 0.5 b1845 + 0.5 x16245 - x25994 = 0 e71497: 0.5 b1846 + 0.5 x16246 - x25995 = 0 e71498: 0.5 b1847 + 0.5 x16247 - x25996 = 0 e71499: 0.5 b1848 + 0.5 x16248 - x25997 = 0 e71500: 0.5 b1849 + 0.5 x16249 - x25998 = 0 e71501: 0.5 b1850 + 0.5 x16250 - x25999 = 0 e71502: 0.5 b1851 + 0.5 x16251 - x26000 = 0 e71503: 0.5 b1852 + 0.5 x16252 - x26001 = 0 e71504: 0.5 b1853 + 0.5 x16253 - x26002 = 0 e71505: 0.5 b1854 + 0.5 x16254 - x26003 = 0 e71506: 0.5 b1855 + 0.5 x16255 - x26004 = 0 e71507: 0.5 b1856 + 0.5 x16256 - x26005 = 0 e71508: 0.5 b1857 + 0.5 x16257 - x26006 = 0 e71509: 0.5 b1858 + 0.5 x16258 - x26007 = 0 e71510: 0.5 b1859 + 0.5 x16259 - x26008 = 0 e71511: 0.5 b1860 + 0.5 x16260 - x26009 = 0 e71512: 0.5 b1861 + 0.5 x16261 - x26010 = 0 e71513: 0.5 b1862 + 0.5 x16262 - x26011 = 0 e71514: 0.5 b1863 + 0.5 x16263 - x26012 = 0 e71515: 0.5 b1864 + 0.5 x16264 - x26013 = 0 e71516: 0.5 b1865 + 0.5 x16265 - x26014 = 0 e71517: 0.5 b1866 + 0.5 x16266 - x26015 = 0 e71518: 0.5 b1867 + 0.5 x16267 - x26016 = 0 e71519: 0.5 b1868 + 0.5 x16268 - x26017 = 0 e71520: 0.5 b1869 + 0.5 x16269 - x26018 = 0 e71521: 0.5 b1870 + 0.5 x16270 - x26019 = 0 e71522: 0.5 b1871 + 0.5 x16271 - x26020 = 0 e71523: 0.5 b1872 + 0.5 x16272 - x26021 = 0 e71524: 0.5 b1873 + 0.5 x16273 - x26022 = 0 e71525: 0.5 b1874 + 0.5 x16274 - x26023 = 0 e71526: 0.5 b1875 + 0.5 x16275 - x26024 = 0 e71527: 0.5 b1876 + 0.5 x16276 - x26025 = 0 e71528: 0.5 b1877 + 0.5 x16277 - x26026 = 0 e71529: 0.5 b1878 + 0.5 x16278 - x26027 = 0 e71530: 0.5 b1879 + 0.5 x16279 - x26028 = 0 e71531: 0.5 b1880 + 0.5 x16280 - x26029 = 0 e71532: 0.5 b1881 + 0.5 x16281 - x26030 = 0 e71533: 0.5 b1882 + 0.5 x16282 - x26031 = 0 e71534: 0.5 b1883 + 0.5 x16283 - x26032 = 0 e71535: 0.5 b1884 + 0.5 x16284 - x26033 = 0 e71536: 0.5 b1885 + 0.5 x16285 - x26034 = 0 e71537: 0.5 b1886 + 0.5 x16286 - x26035 = 0 e71538: 0.5 b1887 + 0.5 x16287 - x26036 = 0 e71539: 0.5 b1888 + 0.5 x16288 - x26037 = 0 e71540: 0.5 b1889 + 0.5 x16289 - x26038 = 0 e71541: 0.5 b1890 + 0.5 x16290 - x26039 = 0 e71542: 0.5 b1891 + 0.5 x16291 - x26040 = 0 e71543: 0.5 b1892 + 0.5 x16292 - x26041 = 0 e71544: 0.5 b1893 + 0.5 x16293 - x26042 = 0 e71545: 0.5 b1894 + 0.5 x16294 - x26043 = 0 e71546: 0.5 b1895 + 0.5 x16295 - x26044 = 0 e71547: 0.5 b1896 + 0.5 x16296 - x26045 = 0 e71548: 0.5 b1897 + 0.5 x16297 - x26046 = 0 e71549: 0.5 b1898 + 0.5 x16298 - x26047 = 0 e71550: 0.5 b1899 + 0.5 x16299 - x26048 = 0 e71551: 0.5 b1900 + 0.5 x16300 - x26049 = 0 e71552: 0.5 b1901 + 0.5 x16301 - x26050 = 0 e71553: 0.5 b1902 + 0.5 x16302 - x26051 = 0 e71554: 0.5 b1903 + 0.5 x16303 - x26052 = 0 e71555: 0.5 b1904 + 0.5 x16304 - x26053 = 0 e71556: 0.5 b1905 + 0.5 x16305 - x26054 = 0 e71557: 0.5 b1906 + 0.5 x16306 - x26055 = 0 e71558: 0.5 b1907 + 0.5 x16307 - x26056 = 0 e71559: 0.5 b1908 + 0.5 x16308 - x26057 = 0 e71560: 0.5 b1909 + 0.5 x16309 - x26058 = 0 e71561: 0.5 b1910 + 0.5 x16310 - x26059 = 0 e71562: 0.5 b1911 + 0.5 x16311 - x26060 = 0 e71563: 0.5 b1912 + 0.5 x16312 - x26061 = 0 e71564: 0.5 b1913 + 0.5 x16313 - x26062 = 0 e71565: 0.5 b1914 + 0.5 x16314 - x26063 = 0 e71566: 0.5 b1915 + 0.5 x16315 - x26064 = 0 e71567: 0.5 b1916 + 0.5 x16316 - x26065 = 0 e71568: 0.5 b1917 + 0.5 x16317 - x26066 = 0 e71569: 0.5 b1918 + 0.5 x16318 - x26067 = 0 e71570: 0.5 b1919 + 0.5 x16319 - x26068 = 0 e71571: 0.5 b1920 + 0.5 x16320 - x26069 = 0 e71572: 0.5 b1921 + 0.5 x16321 - x26070 = 0 e71573: 0.5 b1922 + 0.5 x16322 - x26071 = 0 e71574: 0.5 b1923 + 0.5 x16323 - x26072 = 0 e71575: 0.5 b1924 + 0.5 x16324 - x26073 = 0 e71576: 0.5 b1925 + 0.5 x16325 - x26074 = 0 e71577: 0.5 b1926 + 0.5 x16326 - x26075 = 0 e71578: 0.5 b1927 + 0.5 x16327 - x26076 = 0 e71579: 0.5 b1928 + 0.5 x16328 - x26077 = 0 e71580: 0.5 b1929 + 0.5 x16329 - x26078 = 0 e71581: 0.5 b1930 + 0.5 x16330 - x26079 = 0 e71582: 0.5 b1931 + 0.5 x16331 - x26080 = 0 e71583: 0.5 b1932 + 0.5 x16332 - x26081 = 0 e71584: 0.5 b1933 + 0.5 x16333 - x26082 = 0 e71585: 0.5 b1934 + 0.5 x16334 - x26083 = 0 e71586: 0.5 b1935 + 0.5 x16335 - x26084 = 0 e71587: 0.5 b1936 + 0.5 x16336 - x26085 = 0 e71588: 0.5 b1937 + 0.5 x16337 - x26086 = 0 e71589: 0.5 b1938 + 0.5 x16338 - x26087 = 0 e71590: 0.5 b1939 + 0.5 x16339 - x26088 = 0 e71591: 0.5 b1940 + 0.5 x16340 - x26089 = 0 e71592: 0.5 b1941 + 0.5 x16341 - x26090 = 0 e71593: 0.5 b1942 + 0.5 x16342 - x26091 = 0 e71594: 0.5 b1943 + 0.5 x16343 - x26092 = 0 e71595: 0.5 b1944 + 0.5 x16344 - x26093 = 0 e71596: 0.5 b1945 + 0.5 x16345 - x26094 = 0 e71597: 0.5 b1946 + 0.5 x16346 - x26095 = 0 e71598: 0.5 b1947 + 0.5 x16347 - x26096 = 0 e71599: 0.5 b1948 + 0.5 x16348 - x26097 = 0 e71600: 0.5 b1949 + 0.5 x16349 - x26098 = 0 e71601: 0.5 b1950 + 0.5 x16350 - x26099 = 0 e71602: 0.5 b1951 + 0.5 x16351 - x26100 = 0 e71603: 0.5 b1952 + 0.5 x16352 - x26101 = 0 e71604: 0.5 b1953 + 0.5 x16353 - x26102 = 0 e71605: 0.5 b1954 + 0.5 x16354 - x26103 = 0 e71606: 0.5 b1955 + 0.5 x16355 - x26104 = 0 e71607: 0.5 b1956 + 0.5 x16356 - x26105 = 0 e71608: 0.5 b1957 + 0.5 x16357 - x26106 = 0 e71609: 0.5 b1958 + 0.5 x16358 - x26107 = 0 e71610: 0.5 b1959 + 0.5 x16359 - x26108 = 0 e71611: 0.5 b1960 + 0.5 x16360 - x26109 = 0 e71612: 0.5 b1961 + 0.5 x16361 - x26110 = 0 e71613: 0.5 b1962 + 0.5 x16362 - x26111 = 0 e71614: 0.5 b1963 + 0.5 x16363 - x26112 = 0 e71615: 0.5 b1964 + 0.5 x16364 - x26113 = 0 e71616: 0.5 b1965 + 0.5 x16365 - x26114 = 0 e71617: 0.5 b1966 + 0.5 x16366 - x26115 = 0 e71618: 0.5 b1967 + 0.5 x16367 - x26116 = 0 e71619: 0.5 b1968 + 0.5 x16368 - x26117 = 0 e71620: 0.5 b1969 + 0.5 x16369 - x26118 = 0 e71621: 0.5 b1970 + 0.5 x16370 - x26119 = 0 e71622: 0.5 b1971 + 0.5 x16371 - x26120 = 0 e71623: 0.5 b1972 + 0.5 x16372 - x26121 = 0 e71624: 0.5 b1973 + 0.5 x16373 - x26122 = 0 e71625: 0.5 b1974 + 0.5 x16374 - x26123 = 0 e71626: 0.5 b1975 + 0.5 x16375 - x26124 = 0 e71627: 0.5 b1976 + 0.5 x16376 - x26125 = 0 e71628: 0.5 b1977 + 0.5 x16377 - x26126 = 0 e71629: 0.5 b1978 + 0.5 x16378 - x26127 = 0 e71630: 0.5 b1979 + 0.5 x16379 - x26128 = 0 e71631: 0.5 b1980 + 0.5 x16380 - x26129 = 0 e71632: 0.5 b1981 + 0.5 x16381 - x26130 = 0 e71633: 0.5 b1982 + 0.5 x16382 - x26131 = 0 e71634: 0.5 b1983 + 0.5 x16383 - x26132 = 0 e71635: 0.5 b1984 + 0.5 x16384 - x26133 = 0 e71636: 0.5 b1985 + 0.5 x16385 - x26134 = 0 e71637: 0.5 b1986 + 0.5 x16386 - x26135 = 0 e71638: 0.5 b1987 + 0.5 x16387 - x26136 = 0 e71639: 0.5 b1988 + 0.5 x16388 - x26137 = 0 e71640: 0.5 b1989 + 0.5 x16389 - x26138 = 0 e71641: 0.5 b1990 + 0.5 x16390 - x26139 = 0 e71642: 0.5 b1991 + 0.5 x16391 - x26140 = 0 e71643: 0.5 b1992 + 0.5 x16392 - x26141 = 0 e71644: 0.5 b1993 + 0.5 x16393 - x26142 = 0 e71645: 0.5 b1994 + 0.5 x16394 - x26143 = 0 e71646: 0.5 b1995 + 0.5 x16395 - x26144 = 0 e71647: 0.5 b1996 + 0.5 x16396 - x26145 = 0 e71648: 0.5 b1997 + 0.5 x16397 - x26146 = 0 e71649: 0.5 b1998 + 0.5 x16398 - x26147 = 0 e71650: 0.5 b1999 + 0.5 x16399 - x26148 = 0 e71651: 0.5 b2000 + 0.5 x16400 - x26149 = 0 e71652: 0.5 b2001 + 0.5 x16401 - x26150 = 0 e71653: 0.5 b2002 + 0.5 x16402 - x26151 = 0 e71654: 0.5 b2003 + 0.5 x16403 - x26152 = 0 e71655: 0.5 b2004 + 0.5 x16404 - x26153 = 0 e71656: 0.5 b2005 + 0.5 x16405 - x26154 = 0 e71657: 0.5 b2006 + 0.5 x16406 - x26155 = 0 e71658: 0.5 b2007 + 0.5 x16407 - x26156 = 0 e71659: 0.5 b2008 + 0.5 x16408 - x26157 = 0 e71660: 0.5 b2009 + 0.5 x16409 - x26158 = 0 e71661: 0.5 b2010 + 0.5 x16410 - x26159 = 0 e71662: 0.5 b2011 + 0.5 x16411 - x26160 = 0 e71663: 0.5 b2012 + 0.5 x16412 - x26161 = 0 e71664: 0.5 b2013 + 0.5 x16413 - x26162 = 0 e71665: 0.5 b2014 + 0.5 x16414 - x26163 = 0 e71666: 0.5 b2015 + 0.5 x16415 - x26164 = 0 e71667: 0.5 b2016 + 0.5 x16416 - x26165 = 0 e71668: 0.5 b2017 + 0.5 x16417 - x26166 = 0 e71669: 0.5 b2018 + 0.5 x16418 - x26167 = 0 e71670: 0.5 b2019 + 0.5 x16419 - x26168 = 0 e71671: 0.5 b2020 + 0.5 x16420 - x26169 = 0 e71672: 0.5 b2021 + 0.5 x16421 - x26170 = 0 e71673: 0.5 b2022 + 0.5 x16422 - x26171 = 0 e71674: 0.5 b2023 + 0.5 x16423 - x26172 = 0 e71675: 0.5 b2024 + 0.5 x16424 - x26173 = 0 e71676: 0.5 b2025 + 0.5 x16425 - x26174 = 0 e71677: 0.5 b2026 + 0.5 x16426 - x26175 = 0 e71678: 0.5 b2027 + 0.5 x16427 - x26176 = 0 e71679: 0.5 b2028 + 0.5 x16428 - x26177 = 0 e71680: 0.5 b2029 + 0.5 x16429 - x26178 = 0 e71681: 0.5 b2030 + 0.5 x16430 - x26179 = 0 e71682: 0.5 b2031 + 0.5 x16431 - x26180 = 0 e71683: 0.5 b2032 + 0.5 x16432 - x26181 = 0 e71684: 0.5 b2033 + 0.5 x16433 - x26182 = 0 e71685: 0.5 b2034 + 0.5 x16434 - x26183 = 0 e71686: 0.5 b2035 + 0.5 x16435 - x26184 = 0 e71687: 0.5 b2036 + 0.5 x16436 - x26185 = 0 e71688: 0.5 b2037 + 0.5 x16437 - x26186 = 0 e71689: 0.5 b2038 + 0.5 x16438 - x26187 = 0 e71690: 0.5 b2039 + 0.5 x16439 - x26188 = 0 e71691: 0.5 b2040 + 0.5 x16440 - x26189 = 0 e71692: 0.5 b2041 + 0.5 x16441 - x26190 = 0 e71693: 0.5 b2042 + 0.5 x16442 - x26191 = 0 e71694: 0.5 b2043 + 0.5 x16443 - x26192 = 0 e71695: 0.5 b2044 + 0.5 x16444 - x26193 = 0 e71696: 0.5 b2045 + 0.5 x16445 - x26194 = 0 e71697: 0.5 b2046 + 0.5 x16446 - x26195 = 0 e71698: 0.5 b2047 + 0.5 x16447 - x26196 = 0 e71699: 0.5 b2048 + 0.5 x16448 - x26197 = 0 e71700: 0.5 b2049 + 0.5 x16449 - x26198 = 0 e71701: 0.5 b2050 + 0.5 x16450 - x26199 = 0 e71702: 0.5 b2051 + 0.5 x16451 - x26200 = 0 e71703: 0.5 b2052 + 0.5 x16452 - x26201 = 0 e71704: 0.5 b2053 + 0.5 x16453 - x26202 = 0 e71705: 0.5 b2054 + 0.5 x16454 - x26203 = 0 e71706: 0.5 b2055 + 0.5 x16455 - x26204 = 0 e71707: 0.5 b2056 + 0.5 x16456 - x26205 = 0 e71708: 0.5 b2057 + 0.5 x16457 - x26206 = 0 e71709: 0.5 b2058 + 0.5 x16458 - x26207 = 0 e71710: 0.5 b2059 + 0.5 x16459 - x26208 = 0 e71711: 0.5 b2060 + 0.5 x16460 - x26209 = 0 e71712: 0.5 b2061 + 0.5 x16461 - x26210 = 0 e71713: 0.5 b2062 + 0.5 x16462 - x26211 = 0 e71714: 0.5 b2063 + 0.5 x16463 - x26212 = 0 e71715: 0.5 b2064 + 0.5 x16464 - x26213 = 0 e71716: 0.5 b2065 + 0.5 x16465 - x26214 = 0 e71717: 0.5 b2066 + 0.5 x16466 - x26215 = 0 e71718: 0.5 b2067 + 0.5 x16467 - x26216 = 0 e71719: 0.5 b2068 + 0.5 x16468 - x26217 = 0 e71720: 0.5 b2069 + 0.5 x16469 - x26218 = 0 e71721: 0.5 b2070 + 0.5 x16470 - x26219 = 0 e71722: 0.5 b2071 + 0.5 x16471 - x26220 = 0 e71723: 0.5 b2072 + 0.5 x16472 - x26221 = 0 e71724: 0.5 b2073 + 0.5 x16473 - x26222 = 0 e71725: 0.5 b2074 + 0.5 x16474 - x26223 = 0 e71726: 0.5 b2075 + 0.5 x16475 - x26224 = 0 e71727: 0.5 b2076 + 0.5 x16476 - x26225 = 0 e71728: 0.5 b2077 + 0.5 x16477 - x26226 = 0 e71729: 0.5 b2078 + 0.5 x16478 - x26227 = 0 e71730: 0.5 b2079 + 0.5 x16479 - x26228 = 0 e71731: 0.5 b2080 + 0.5 x16480 - x26229 = 0 e71732: 0.5 b2081 + 0.5 x16481 - x26230 = 0 e71733: 0.5 b2082 + 0.5 x16482 - x26231 = 0 e71734: 0.5 b2083 + 0.5 x16483 - x26232 = 0 e71735: 0.5 b2084 + 0.5 x16484 - x26233 = 0 e71736: 0.5 b2085 + 0.5 x16485 - x26234 = 0 e71737: 0.5 b2086 + 0.5 x16486 - x26235 = 0 e71738: 0.5 b2087 + 0.5 x16487 - x26236 = 0 e71739: 0.5 b2088 + 0.5 x16488 - x26237 = 0 e71740: 0.5 b2089 + 0.5 x16489 - x26238 = 0 e71741: 0.5 b2090 + 0.5 x16490 - x26239 = 0 e71742: 0.5 b2091 + 0.5 x16491 - x26240 = 0 e71743: 0.5 b2092 + 0.5 x16492 - x26241 = 0 e71744: 0.5 b2093 + 0.5 x16493 - x26242 = 0 e71745: 0.5 b2094 + 0.5 x16494 - x26243 = 0 e71746: 0.5 b2095 + 0.5 x16495 - x26244 = 0 e71747: 0.5 b2096 + 0.5 x16496 - x26245 = 0 e71748: 0.5 b2097 + 0.5 x16497 - x26246 = 0 e71749: 0.5 b2098 + 0.5 x16498 - x26247 = 0 e71750: 0.5 b2099 + 0.5 x16499 - x26248 = 0 e71751: 0.5 b2100 + 0.5 x16500 - x26249 = 0 e71752: 0.5 b2101 + 0.5 x16501 - x26250 = 0 e71753: 0.5 b2102 + 0.5 x16502 - x26251 = 0 e71754: 0.5 b2103 + 0.5 x16503 - x26252 = 0 e71755: 0.5 b2104 + 0.5 x16504 - x26253 = 0 e71756: 0.5 b2105 + 0.5 x16505 - x26254 = 0 e71757: 0.5 b2106 + 0.5 x16506 - x26255 = 0 e71758: 0.5 b2107 + 0.5 x16507 - x26256 = 0 e71759: 0.5 b2108 + 0.5 x16508 - x26257 = 0 e71760: 0.5 b2109 + 0.5 x16509 - x26258 = 0 e71761: 0.5 b2110 + 0.5 x16510 - x26259 = 0 e71762: 0.5 b2111 + 0.5 x16511 - x26260 = 0 e71763: 0.5 b2112 + 0.5 x16512 - x26261 = 0 e71764: 0.5 b2113 + 0.5 x16513 - x26262 = 0 e71765: 0.5 b2114 + 0.5 x16514 - x26263 = 0 e71766: 0.5 b2115 + 0.5 x16515 - x26264 = 0 e71767: 0.5 b2116 + 0.5 x16516 - x26265 = 0 e71768: 0.5 b2117 + 0.5 x16517 - x26266 = 0 e71769: 0.5 b2118 + 0.5 x16518 - x26267 = 0 e71770: 0.5 b2119 + 0.5 x16519 - x26268 = 0 e71771: 0.5 b2120 + 0.5 x16520 - x26269 = 0 e71772: 0.5 b2121 + 0.5 x16521 - x26270 = 0 e71773: 0.5 b2122 + 0.5 x16522 - x26271 = 0 e71774: 0.5 b2123 + 0.5 x16523 - x26272 = 0 e71775: 0.5 b2124 + 0.5 x16524 - x26273 = 0 e71776: 0.5 b2125 + 0.5 x16525 - x26274 = 0 e71777: 0.5 b2126 + 0.5 x16526 - x26275 = 0 e71778: 0.5 b2127 + 0.5 x16527 - x26276 = 0 e71779: 0.5 b2128 + 0.5 x16528 - x26277 = 0 e71780: 0.5 b2129 + 0.5 x16529 - x26278 = 0 e71781: 0.5 b2130 + 0.5 x16530 - x26279 = 0 e71782: 0.5 b2131 + 0.5 x16531 - x26280 = 0 e71783: 0.5 b2132 + 0.5 x16532 - x26281 = 0 e71784: 0.5 b2133 + 0.5 x16533 - x26282 = 0 e71785: 0.5 b2134 + 0.5 x16534 - x26283 = 0 e71786: 0.5 b2135 + 0.5 x16535 - x26284 = 0 e71787: 0.5 b2136 + 0.5 x16536 - x26285 = 0 e71788: 0.5 b2137 + 0.5 x16537 - x26286 = 0 e71789: 0.5 b2138 + 0.5 x16538 - x26287 = 0 e71790: 0.5 b2139 + 0.5 x16539 - x26288 = 0 e71791: 0.5 b2140 + 0.5 x16540 - x26289 = 0 e71792: 0.5 b2141 + 0.5 x16541 - x26290 = 0 e71793: 0.5 b2142 + 0.5 x16542 - x26291 = 0 e71794: 0.5 b2143 + 0.5 x16543 - x26292 = 0 e71795: 0.5 b2144 + 0.5 x16544 - x26293 = 0 e71796: 0.5 b2145 + 0.5 x16545 - x26294 = 0 e71797: 0.5 b2146 + 0.5 x16546 - x26295 = 0 e71798: 0.5 b2147 + 0.5 x16547 - x26296 = 0 e71799: 0.5 b2148 + 0.5 x16548 - x26297 = 0 e71800: 0.5 b2149 + 0.5 x16549 - x26298 = 0 e71801: 0.5 b2150 + 0.5 x16550 - x26299 = 0 e71802: 0.5 b2151 + 0.5 x16551 - x26300 = 0 e71803: 0.5 b2152 + 0.5 x16552 - x26301 = 0 e71804: 0.5 b2153 + 0.5 x16553 - x26302 = 0 e71805: 0.5 b2154 + 0.5 x16554 - x26303 = 0 e71806: 0.5 b2155 + 0.5 x16555 - x26304 = 0 e71807: 0.5 b2156 + 0.5 x16556 - x26305 = 0 e71808: 0.5 b2157 + 0.5 x16557 - x26306 = 0 e71809: 0.5 b2158 + 0.5 x16558 - x26307 = 0 e71810: 0.5 b2159 + 0.5 x16559 - x26308 = 0 e71811: 0.5 b2160 + 0.5 x16560 - x26309 = 0 e71812: 0.5 b2161 + 0.5 x16561 - x26310 = 0 e71813: 0.5 b2162 + 0.5 x16562 - x26311 = 0 e71814: 0.5 b2163 + 0.5 x16563 - x26312 = 0 e71815: 0.5 b2164 + 0.5 x16564 - x26313 = 0 e71816: 0.5 b2165 + 0.5 x16565 - x26314 = 0 e71817: 0.5 b2166 + 0.5 x16566 - x26315 = 0 e71818: 0.5 b2167 + 0.5 x16567 - x26316 = 0 e71819: 0.5 b2168 + 0.5 x16568 - x26317 = 0 e71820: 0.5 b2169 + 0.5 x16569 - x26318 = 0 e71821: 0.5 b2170 + 0.5 x16570 - x26319 = 0 e71822: 0.5 b2171 + 0.5 x16571 - x26320 = 0 e71823: 0.5 b2172 + 0.5 x16572 - x26321 = 0 e71824: 0.5 b2173 + 0.5 x16573 - x26322 = 0 e71825: 0.5 b2174 + 0.5 x16574 - x26323 = 0 e71826: 0.5 b2175 + 0.5 x16575 - x26324 = 0 e71827: 0.5 b2176 + 0.5 x16576 - x26325 = 0 e71828: 0.5 b2177 + 0.5 x16577 - x26326 = 0 e71829: 0.5 b2178 + 0.5 x16578 - x26327 = 0 e71830: 0.5 b2179 + 0.5 x16579 - x26328 = 0 e71831: 0.5 b2180 + 0.5 x16580 - x26329 = 0 e71832: 0.5 b2181 + 0.5 x16581 - x26330 = 0 e71833: 0.5 b2182 + 0.5 x16582 - x26331 = 0 e71834: 0.5 b2183 + 0.5 x16583 - x26332 = 0 e71835: 0.5 b2184 + 0.5 x16584 - x26333 = 0 e71836: 0.5 b2185 + 0.5 x16585 - x26334 = 0 e71837: 0.5 b2186 + 0.5 x16586 - x26335 = 0 e71838: 0.5 b2187 + 0.5 x16587 - x26336 = 0 e71839: 0.5 b2188 + 0.5 x16588 - x26337 = 0 e71840: 0.5 b2189 + 0.5 x16589 - x26338 = 0 e71841: 0.5 b2190 + 0.5 x16590 - x26339 = 0 e71842: 0.5 b2191 + 0.5 x16591 - x26340 = 0 e71843: 0.5 b2192 + 0.5 x16592 - x26341 = 0 e71844: 0.5 b2193 + 0.5 x16593 - x26342 = 0 e71845: 0.5 b2194 + 0.5 x16594 - x26343 = 0 e71846: 0.5 b2195 + 0.5 x16595 - x26344 = 0 e71847: 0.5 b2196 + 0.5 x16596 - x26345 = 0 e71848: 0.5 b2197 + 0.5 x16597 - x26346 = 0 e71849: 0.5 b2198 + 0.5 x16598 - x26347 = 0 e71850: 0.5 b2199 + 0.5 x16599 - x26348 = 0 e71851: 0.5 b2200 + 0.5 x16600 - x26349 = 0 e71852: 0.5 b2201 + 0.5 x16601 - x26350 = 0 e71853: 0.5 b2202 + 0.5 x16602 - x26351 = 0 e71854: 0.5 b2203 + 0.5 x16603 - x26352 = 0 e71855: 0.5 b2204 + 0.5 x16604 - x26353 = 0 e71856: 0.5 b2205 + 0.5 x16605 - x26354 = 0 e71857: 0.5 b2206 + 0.5 x16606 - x26355 = 0 e71858: 0.5 b2207 + 0.5 x16607 - x26356 = 0 e71859: 0.5 b2208 + 0.5 x16608 - x26357 = 0 e71860: 0.5 b2209 + 0.5 x16609 - x26358 = 0 e71861: 0.5 b2210 + 0.5 x16610 - x26359 = 0 e71862: 0.5 b2211 + 0.5 x16611 - x26360 = 0 e71863: 0.5 b2212 + 0.5 x16612 - x26361 = 0 e71864: 0.5 b2213 + 0.5 x16613 - x26362 = 0 e71865: 0.5 b2214 + 0.5 x16614 - x26363 = 0 e71866: 0.5 b2215 + 0.5 x16615 - x26364 = 0 e71867: 0.5 b2216 + 0.5 x16616 - x26365 = 0 e71868: 0.5 b2217 + 0.5 x16617 - x26366 = 0 e71869: 0.5 b2218 + 0.5 x16618 - x26367 = 0 e71870: 0.5 b2219 + 0.5 x16619 - x26368 = 0 e71871: 0.5 b2220 + 0.5 x16620 - x26369 = 0 e71872: 0.5 b2221 + 0.5 x16621 - x26370 = 0 e71873: 0.5 b2222 + 0.5 x16622 - x26371 = 0 e71874: 0.5 b2223 + 0.5 x16623 - x26372 = 0 e71875: 0.5 b2224 + 0.5 x16624 - x26373 = 0 e71876: 0.5 b2225 + 0.5 x16625 - x26374 = 0 e71877: 0.5 b2226 + 0.5 x16626 - x26375 = 0 e71878: 0.5 b2227 + 0.5 x16627 - x26376 = 0 e71879: 0.5 b2228 + 0.5 x16628 - x26377 = 0 e71880: 0.5 b2229 + 0.5 x16629 - x26378 = 0 e71881: 0.5 b2230 + 0.5 x16630 - x26379 = 0 e71882: 0.5 b2231 + 0.5 x16631 - x26380 = 0 e71883: 0.5 b2232 + 0.5 x16632 - x26381 = 0 e71884: 0.5 b2233 + 0.5 x16633 - x26382 = 0 e71885: 0.5 b2234 + 0.5 x16634 - x26383 = 0 e71886: 0.5 b2235 + 0.5 x16635 - x26384 = 0 e71887: 0.5 b2236 + 0.5 x16636 - x26385 = 0 e71888: 0.5 b2237 + 0.5 x16637 - x26386 = 0 e71889: 0.5 b2238 + 0.5 x16638 - x26387 = 0 e71890: 0.5 b2239 + 0.5 x16639 - x26388 = 0 e71891: 0.5 b2240 + 0.5 x16640 - x26389 = 0 e71892: 0.5 b2241 + 0.5 x16641 - x26390 = 0 e71893: 0.5 b2242 + 0.5 x16642 - x26391 = 0 e71894: 0.5 b2243 + 0.5 x16643 - x26392 = 0 e71895: 0.5 b2244 + 0.5 x16644 - x26393 = 0 e71896: 0.5 b2245 + 0.5 x16645 - x26394 = 0 e71897: 0.5 b2246 + 0.5 x16646 - x26395 = 0 e71898: 0.5 b2247 + 0.5 x16647 - x26396 = 0 e71899: 0.5 b2248 + 0.5 x16648 - x26397 = 0 e71900: 0.5 b2249 + 0.5 x16649 - x26398 = 0 e71901: 0.5 b2250 + 0.5 x16650 - x26399 = 0 e71902: 0.5 b2251 + 0.5 x16651 - x26400 = 0 e71903: 0.5 b2252 + 0.5 x16652 - x26401 = 0 e71904: 0.5 b2253 + 0.5 x16653 - x26402 = 0 e71905: 0.5 b2254 + 0.5 x16654 - x26403 = 0 e71906: 0.5 b2255 + 0.5 x16655 - x26404 = 0 e71907: 0.5 b2256 + 0.5 x16656 - x26405 = 0 e71908: 0.5 b2257 + 0.5 x16657 - x26406 = 0 e71909: 0.5 b2258 + 0.5 x16658 - x26407 = 0 e71910: 0.5 b2259 + 0.5 x16659 - x26408 = 0 e71911: 0.5 b2260 + 0.5 x16660 - x26409 = 0 e71912: 0.5 b2261 + 0.5 x16661 - x26410 = 0 e71913: 0.5 b2262 + 0.5 x16662 - x26411 = 0 e71914: 0.5 b2263 + 0.5 x16663 - x26412 = 0 e71915: 0.5 b2264 + 0.5 x16664 - x26413 = 0 e71916: 0.5 b2265 + 0.5 x16665 - x26414 = 0 e71917: 0.5 b2266 + 0.5 x16666 - x26415 = 0 e71918: 0.5 b2267 + 0.5 x16667 - x26416 = 0 e71919: 0.5 b2268 + 0.5 x16668 - x26417 = 0 e71920: 0.5 b2269 + 0.5 x16669 - x26418 = 0 e71921: 0.5 b2270 + 0.5 x16670 - x26419 = 0 e71922: 0.5 b2271 + 0.5 x16671 - x26420 = 0 e71923: 0.5 b2272 + 0.5 x16672 - x26421 = 0 e71924: 0.5 b2273 + 0.5 x16673 - x26422 = 0 e71925: 0.5 b2274 + 0.5 x16674 - x26423 = 0 e71926: 0.5 b2275 + 0.5 x16675 - x26424 = 0 e71927: 0.5 b2276 + 0.5 x16676 - x26425 = 0 e71928: 0.5 b2277 + 0.5 x16677 - x26426 = 0 e71929: 0.5 b2278 + 0.5 x16678 - x26427 = 0 e71930: 0.5 b2279 + 0.5 x16679 - x26428 = 0 e71931: 0.5 b2280 + 0.5 x16680 - x26429 = 0 e71932: 0.5 b2281 + 0.5 x16681 - x26430 = 0 e71933: 0.5 b2282 + 0.5 x16682 - x26431 = 0 e71934: 0.5 b2283 + 0.5 x16683 - x26432 = 0 e71935: 0.5 b2284 + 0.5 x16684 - x26433 = 0 e71936: 0.5 b2285 + 0.5 x16685 - x26434 = 0 e71937: 0.5 b2286 + 0.5 x16686 - x26435 = 0 e71938: 0.5 b2287 + 0.5 x16687 - x26436 = 0 e71939: 0.5 b2288 + 0.5 x16688 - x26437 = 0 e71940: 0.5 b2289 + 0.5 x16689 - x26438 = 0 e71941: 0.5 b2290 + 0.5 x16690 - x26439 = 0 e71942: 0.5 b2291 + 0.5 x16691 - x26440 = 0 e71943: 0.5 b2292 + 0.5 x16692 - x26441 = 0 e71944: 0.5 b2293 + 0.5 x16693 - x26442 = 0 e71945: 0.5 b2294 + 0.5 x16694 - x26443 = 0 e71946: 0.5 b2295 + 0.5 x16695 - x26444 = 0 e71947: 0.5 b2296 + 0.5 x16696 - x26445 = 0 e71948: 0.5 b2297 + 0.5 x16697 - x26446 = 0 e71949: 0.5 b2298 + 0.5 x16698 - x26447 = 0 e71950: 0.5 b2299 + 0.5 x16699 - x26448 = 0 e71951: 0.5 b2300 + 0.5 x16700 - x26449 = 0 e71952: 0.5 b2301 + 0.5 x16701 - x26450 = 0 e71953: 0.5 b2302 + 0.5 x16702 - x26451 = 0 e71954: 0.5 b2303 + 0.5 x16703 - x26452 = 0 e71955: 0.5 b2304 + 0.5 x16704 - x26453 = 0 e71956: 0.5 b2305 + 0.5 x16705 - x26454 = 0 e71957: 0.5 b2306 + 0.5 x16706 - x26455 = 0 e71958: 0.5 b2307 + 0.5 x16707 - x26456 = 0 e71959: 0.5 b2308 + 0.5 x16708 - x26457 = 0 e71960: 0.5 b2309 + 0.5 x16709 - x26458 = 0 e71961: 0.5 b2310 + 0.5 x16710 - x26459 = 0 e71962: 0.5 b2311 + 0.5 x16711 - x26460 = 0 e71963: 0.5 b2312 + 0.5 x16712 - x26461 = 0 e71964: 0.5 b2313 + 0.5 x16713 - x26462 = 0 e71965: 0.5 b2314 + 0.5 x16714 - x26463 = 0 e71966: 0.5 b2315 + 0.5 x16715 - x26464 = 0 e71967: 0.5 b2316 + 0.5 x16716 - x26465 = 0 e71968: 0.5 b2317 + 0.5 x16717 - x26466 = 0 e71969: 0.5 b2318 + 0.5 x16718 - x26467 = 0 e71970: 0.5 b2319 + 0.5 x16719 - x26468 = 0 e71971: 0.5 b2320 + 0.5 x16720 - x26469 = 0 e71972: 0.5 b2321 + 0.5 x16721 - x26470 = 0 e71973: 0.5 b2322 + 0.5 x16722 - x26471 = 0 e71974: 0.5 b2323 + 0.5 x16723 - x26472 = 0 e71975: 0.5 b2324 + 0.5 x16724 - x26473 = 0 e71976: 0.5 b2325 + 0.5 x16725 - x26474 = 0 e71977: 0.5 b2326 + 0.5 x16726 - x26475 = 0 e71978: 0.5 b2327 + 0.5 x16727 - x26476 = 0 e71979: 0.5 b2328 + 0.5 x16728 - x26477 = 0 e71980: 0.5 b2329 + 0.5 x16729 - x26478 = 0 e71981: 0.5 b2330 + 0.5 x16730 - x26479 = 0 e71982: 0.5 b2331 + 0.5 x16731 - x26480 = 0 e71983: 0.5 b2332 + 0.5 x16732 - x26481 = 0 e71984: 0.5 b2333 + 0.5 x16733 - x26482 = 0 e71985: 0.5 b2334 + 0.5 x16734 - x26483 = 0 e71986: 0.5 b2335 + 0.5 x16735 - x26484 = 0 e71987: 0.5 b2336 + 0.5 x16736 - x26485 = 0 e71988: 0.5 b2337 + 0.5 x16737 - x26486 = 0 e71989: 0.5 b2338 + 0.5 x16738 - x26487 = 0 e71990: 0.5 b2339 + 0.5 x16739 - x26488 = 0 e71991: 0.5 b2340 + 0.5 x16740 - x26489 = 0 e71992: 0.5 b2341 + 0.5 x16741 - x26490 = 0 e71993: 0.5 b2342 + 0.5 x16742 - x26491 = 0 e71994: 0.5 b2343 + 0.5 x16743 - x26492 = 0 e71995: 0.5 b2344 + 0.5 x16744 - x26493 = 0 e71996: 0.5 b2345 + 0.5 x16745 - x26494 = 0 e71997: 0.5 b2346 + 0.5 x16746 - x26495 = 0 e71998: 0.5 b2347 + 0.5 x16747 - x26496 = 0 e71999: 0.5 b2348 + 0.5 x16748 - x26497 = 0 e72000: 0.5 b2349 + 0.5 x16749 - x26498 = 0 e72001: 0.5 b2350 + 0.5 x16750 - x26499 = 0 e72002: 0.5 b2351 + 0.5 x16751 - x26500 = 0 e72003: 0.5 b2352 + 0.5 x16752 - x26501 = 0 e72004: 0.5 b2353 + 0.5 x16753 - x26502 = 0 e72005: 0.5 b2354 + 0.5 x16754 - x26503 = 0 e72006: 0.5 b2355 + 0.5 x16755 - x26504 = 0 e72007: 0.5 b2356 + 0.5 x16756 - x26505 = 0 e72008: 0.5 b2357 + 0.5 x16757 - x26506 = 0 e72009: 0.5 b2358 + 0.5 x16758 - x26507 = 0 e72010: 0.5 b2359 + 0.5 x16759 - x26508 = 0 e72011: 0.5 b2360 + 0.5 x16760 - x26509 = 0 e72012: 0.5 b2361 + 0.5 x16761 - x26510 = 0 e72013: 0.5 b2362 + 0.5 x16762 - x26511 = 0 e72014: 0.5 b2363 + 0.5 x16763 - x26512 = 0 e72015: 0.5 b2364 + 0.5 x16764 - x26513 = 0 e72016: 0.5 b2365 + 0.5 x16765 - x26514 = 0 e72017: 0.5 b2366 + 0.5 x16766 - x26515 = 0 e72018: 0.5 b2367 + 0.5 x16767 - x26516 = 0 e72019: 0.5 b2368 + 0.5 x16768 - x26517 = 0 e72020: 0.5 b2369 + 0.5 x16769 - x26518 = 0 e72021: 0.5 b2370 + 0.5 x16770 - x26519 = 0 e72022: 0.5 b2371 + 0.5 x16771 - x26520 = 0 e72023: 0.5 b2372 + 0.5 x16772 - x26521 = 0 e72024: 0.5 b2373 + 0.5 x16773 - x26522 = 0 e72025: 0.5 b2374 + 0.5 x16774 - x26523 = 0 e72026: 0.5 b2375 + 0.5 x16775 - x26524 = 0 e72027: 0.5 b2376 + 0.5 x16776 - x26525 = 0 e72028: 0.5 b2377 + 0.5 x16777 - x26526 = 0 e72029: 0.5 b2378 + 0.5 x16778 - x26527 = 0 e72030: 0.5 b2379 + 0.5 x16779 - x26528 = 0 e72031: 0.5 b2380 + 0.5 x16780 - x26529 = 0 e72032: 0.5 b2381 + 0.5 x16781 - x26530 = 0 e72033: 0.5 b2382 + 0.5 x16782 - x26531 = 0 e72034: 0.5 b2383 + 0.5 x16783 - x26532 = 0 e72035: 0.5 b2384 + 0.5 x16784 - x26533 = 0 e72036: 0.5 b2385 + 0.5 x16785 - x26534 = 0 e72037: 0.5 b2386 + 0.5 x16786 - x26535 = 0 e72038: 0.5 b2387 + 0.5 x16787 - x26536 = 0 e72039: 0.5 b2388 + 0.5 x16788 - x26537 = 0 e72040: 0.5 b2389 + 0.5 x16789 - x26538 = 0 e72041: 0.5 b2390 + 0.5 x16790 - x26539 = 0 e72042: 0.5 b2391 + 0.5 x16791 - x26540 = 0 e72043: 0.5 b2392 + 0.5 x16792 - x26541 = 0 e72044: 0.5 b2393 + 0.5 x16793 - x26542 = 0 e72045: 0.5 b2394 + 0.5 x16794 - x26543 = 0 e72046: 0.5 b2395 + 0.5 x16795 - x26544 = 0 e72047: 0.5 b2396 + 0.5 x16796 - x26545 = 0 e72048: 0.5 b2397 + 0.5 x16797 - x26546 = 0 e72049: 0.5 b2398 + 0.5 x16798 - x26547 = 0 e72050: 0.5 b2399 + 0.5 x16799 - x26548 = 0 e72051: 0.5 b2400 + 0.5 x16800 - x26549 = 0 e72052: 0.5 b2401 + 0.5 x16801 - x26550 = 0 e72053: 0.5 b2402 + 0.5 x16802 - x26551 = 0 e72054: 0.5 b2403 + 0.5 x16803 - x26552 = 0 e72055: 0.5 b2404 + 0.5 x16804 - x26553 = 0 e72056: 0.5 b2405 + 0.5 x16805 - x26554 = 0 e72057: 0.5 b2406 + 0.5 x16806 - x26555 = 0 e72058: 0.5 b2407 + 0.5 x16807 - x26556 = 0 e72059: 0.5 b2408 + 0.5 x16808 - x26557 = 0 e72060: 0.5 b2409 + 0.5 x16809 - x26558 = 0 e72061: 0.5 b2410 + 0.5 x16810 - x26559 = 0 e72062: 0.5 b2411 + 0.5 x16811 - x26560 = 0 e72063: 0.5 b2412 + 0.5 x16812 - x26561 = 0 e72064: 0.5 b2413 + 0.5 x16813 - x26562 = 0 e72065: 0.5 b2414 + 0.5 x16814 - x26563 = 0 e72066: 0.5 b2415 + 0.5 x16815 - x26564 = 0 e72067: 0.5 b2416 + 0.5 x16816 - x26565 = 0 e72068: 0.5 b2417 + 0.5 x16817 - x26566 = 0 e72069: 0.5 b2418 + 0.5 x16818 - x26567 = 0 e72070: 0.5 b2419 + 0.5 x16819 - x26568 = 0 e72071: 0.5 b2420 + 0.5 x16820 - x26569 = 0 e72072: 0.5 b2421 + 0.5 x16821 - x26570 = 0 e72073: 0.5 b2422 + 0.5 x16822 - x26571 = 0 e72074: 0.5 b2423 + 0.5 x16823 - x26572 = 0 e72075: 0.5 b2424 + 0.5 x16824 - x26573 = 0 e72076: 0.5 b2425 + 0.5 x16825 - x26574 = 0 e72077: 0.5 b2426 + 0.5 x16826 - x26575 = 0 e72078: 0.5 b2427 + 0.5 x16827 - x26576 = 0 e72079: 0.5 b2428 + 0.5 x16828 - x26577 = 0 e72080: 0.5 b2429 + 0.5 x16829 - x26578 = 0 e72081: 0.5 b2430 + 0.5 x16830 - x26579 = 0 e72082: 0.5 b2431 + 0.5 x16831 - x26580 = 0 e72083: 0.5 b2432 + 0.5 x16832 - x26581 = 0 e72084: 0.5 b2433 + 0.5 x16833 - x26582 = 0 e72085: 0.5 b2434 + 0.5 x16834 - x26583 = 0 e72086: 0.5 b2435 + 0.5 x16835 - x26584 = 0 e72087: 0.5 b2436 + 0.5 x16836 - x26585 = 0 e72088: 0.5 b2437 + 0.5 x16837 - x26586 = 0 e72089: 0.5 b2438 + 0.5 x16838 - x26587 = 0 e72090: 0.5 b2439 + 0.5 x16839 - x26588 = 0 e72091: 0.5 b2440 + 0.5 x16840 - x26589 = 0 e72092: 0.5 b2441 + 0.5 x16841 - x26590 = 0 e72093: 0.5 b2442 + 0.5 x16842 - x26591 = 0 e72094: 0.5 b2443 + 0.5 x16843 - x26592 = 0 e72095: 0.5 b2444 + 0.5 x16844 - x26593 = 0 e72096: 0.5 b2445 + 0.5 x16845 - x26594 = 0 e72097: 0.5 b2446 + 0.5 x16846 - x26595 = 0 e72098: 0.5 b2447 + 0.5 x16847 - x26596 = 0 e72099: 0.5 b2448 + 0.5 x16848 - x26597 = 0 e72100: 0.5 b2449 + 0.5 x16849 - x26598 = 0 e72101: 0.5 b2450 + 0.5 x16850 - x26599 = 0 e72102: 0.5 b2451 + 0.5 x16851 - x26600 = 0 e72103: 0.5 b2452 + 0.5 x16852 - x26601 = 0 e72104: 0.5 b2453 + 0.5 x16853 - x26602 = 0 e72105: 0.5 b2454 + 0.5 x16854 - x26603 = 0 e72106: 0.5 b2455 + 0.5 x16855 - x26604 = 0 e72107: 0.5 b2456 + 0.5 x16856 - x26605 = 0 e72108: 0.5 b2457 + 0.5 x16857 - x26606 = 0 e72109: 0.5 b2458 + 0.5 x16858 - x26607 = 0 e72110: 0.5 b2459 + 0.5 x16859 - x26608 = 0 e72111: 0.5 b2460 + 0.5 x16860 - x26609 = 0 e72112: 0.5 b2461 + 0.5 x16861 - x26610 = 0 e72113: 0.5 b2462 + 0.5 x16862 - x26611 = 0 e72114: 0.5 b2463 + 0.5 x16863 - x26612 = 0 e72115: 0.5 b2464 + 0.5 x16864 - x26613 = 0 e72116: 0.5 b2465 + 0.5 x16865 - x26614 = 0 e72117: 0.5 b2466 + 0.5 x16866 - x26615 = 0 e72118: 0.5 b2467 + 0.5 x16867 - x26616 = 0 e72119: 0.5 b2468 + 0.5 x16868 - x26617 = 0 e72120: 0.5 b2469 + 0.5 x16869 - x26618 = 0 e72121: 0.5 b2470 + 0.5 x16870 - x26619 = 0 e72122: 0.5 b2471 + 0.5 x16871 - x26620 = 0 e72123: 0.5 b2472 + 0.5 x16872 - x26621 = 0 e72124: 0.5 b2473 + 0.5 x16873 - x26622 = 0 e72125: 0.5 b2474 + 0.5 x16874 - x26623 = 0 e72126: 0.5 b2475 + 0.5 x16875 - x26624 = 0 e72127: 0.5 b2476 + 0.5 x16876 - x26625 = 0 e72128: 0.5 b2477 + 0.5 x16877 - x26626 = 0 e72129: 0.5 b2478 + 0.5 x16878 - x26627 = 0 e72130: 0.5 b2479 + 0.5 x16879 - x26628 = 0 e72131: 0.5 b2480 + 0.5 x16880 - x26629 = 0 e72132: 0.5 b2481 + 0.5 x16881 - x26630 = 0 e72133: 0.5 b2482 + 0.5 x16882 - x26631 = 0 e72134: 0.5 b2483 + 0.5 x16883 - x26632 = 0 e72135: 0.5 b2484 + 0.5 x16884 - x26633 = 0 e72136: 0.5 b2485 + 0.5 x16885 - x26634 = 0 e72137: 0.5 b2486 + 0.5 x16886 - x26635 = 0 e72138: 0.5 b2487 + 0.5 x16887 - x26636 = 0 e72139: 0.5 b2488 + 0.5 x16888 - x26637 = 0 e72140: 0.5 b2489 + 0.5 x16889 - x26638 = 0 e72141: 0.5 b2490 + 0.5 x16890 - x26639 = 0 e72142: 0.5 b2491 + 0.5 x16891 - x26640 = 0 e72143: 0.5 b2492 + 0.5 x16892 - x26641 = 0 e72144: 0.5 b2493 + 0.5 x16893 - x26642 = 0 e72145: 0.5 b2494 + 0.5 x16894 - x26643 = 0 e72146: 0.5 b2495 + 0.5 x16895 - x26644 = 0 e72147: 0.5 b2496 + 0.5 x16896 - x26645 = 0 e72148: 0.5 b2497 + 0.5 x16897 - x26646 = 0 e72149: 0.5 b2498 + 0.5 x16898 - x26647 = 0 e72150: 0.5 b2499 + 0.5 x16899 - x26648 = 0 e72151: 0.5 b2500 + 0.5 x16900 - x26649 = 0 e72152: 0.5 b2501 + 0.5 x16901 - x26650 = 0 e72153: 0.5 b2502 + 0.5 x16902 - x26651 = 0 e72154: 0.5 b2503 + 0.5 x16903 - x26652 = 0 e72155: 0.5 b2504 + 0.5 x16904 - x26653 = 0 e72156: 0.5 b2505 + 0.5 x16905 - x26654 = 0 e72157: 0.5 b2506 + 0.5 x16906 - x26655 = 0 e72158: 0.5 b2507 + 0.5 x16907 - x26656 = 0 e72159: 0.5 b2508 + 0.5 x16908 - x26657 = 0 e72160: 0.5 b2509 + 0.5 x16909 - x26658 = 0 e72161: 0.5 b2510 + 0.5 x16910 - x26659 = 0 e72162: 0.5 b2511 + 0.5 x16911 - x26660 = 0 e72163: 0.5 b2512 + 0.5 x16912 - x26661 = 0 e72164: 0.5 b2513 + 0.5 x16913 - x26662 = 0 e72165: 0.5 b2514 + 0.5 x16914 - x26663 = 0 e72166: 0.5 b2515 + 0.5 x16915 - x26664 = 0 e72167: 0.5 b2516 + 0.5 x16916 - x26665 = 0 e72168: 0.5 b2517 + 0.5 x16917 - x26666 = 0 e72169: 0.5 b2518 + 0.5 x16918 - x26667 = 0 e72170: 0.5 b2519 + 0.5 x16919 - x26668 = 0 e72171: 0.5 b2520 + 0.5 x16920 - x26669 = 0 e72172: 0.5 b2521 + 0.5 x16921 - x26670 = 0 e72173: 0.5 b2522 + 0.5 x16922 - x26671 = 0 e72174: 0.5 b2523 + 0.5 x16923 - x26672 = 0 e72175: 0.5 b2524 + 0.5 x16924 - x26673 = 0 e72176: 0.5 b2525 + 0.5 x16925 - x26674 = 0 e72177: 0.5 b2526 + 0.5 x16926 - x26675 = 0 e72178: 0.5 b2527 + 0.5 x16927 - x26676 = 0 e72179: 0.5 b2528 + 0.5 x16928 - x26677 = 0 e72180: 0.5 b2529 + 0.5 x16929 - x26678 = 0 e72181: 0.5 b2530 + 0.5 x16930 - x26679 = 0 e72182: 0.5 b2531 + 0.5 x16931 - x26680 = 0 e72183: 0.5 b2532 + 0.5 x16932 - x26681 = 0 e72184: 0.5 b2533 + 0.5 x16933 - x26682 = 0 e72185: 0.5 b2534 + 0.5 x16934 - x26683 = 0 e72186: 0.5 b2535 + 0.5 x16935 - x26684 = 0 e72187: 0.5 b2536 + 0.5 x16936 - x26685 = 0 e72188: 0.5 b2537 + 0.5 x16937 - x26686 = 0 e72189: 0.5 b2538 + 0.5 x16938 - x26687 = 0 e72190: 0.5 b2539 + 0.5 x16939 - x26688 = 0 e72191: 0.5 b2540 + 0.5 x16940 - x26689 = 0 e72192: 0.5 b2541 + 0.5 x16941 - x26690 = 0 e72193: 0.5 b2542 + 0.5 x16942 - x26691 = 0 e72194: 0.5 b2543 + 0.5 x16943 - x26692 = 0 e72195: 0.5 b2544 + 0.5 x16944 - x26693 = 0 e72196: 0.5 b2545 + 0.5 x16945 - x26694 = 0 e72197: 0.5 b2546 + 0.5 x16946 - x26695 = 0 e72198: 0.5 b2547 + 0.5 x16947 - x26696 = 0 e72199: 0.5 b2548 + 0.5 x16948 - x26697 = 0 e72200: 0.5 b2549 + 0.5 x16949 - x26698 = 0 e72201: 0.5 b2550 + 0.5 x16950 - x26699 = 0 e72202: 0.5 b2551 + 0.5 x16951 - x26700 = 0 e72203: 0.5 b2552 + 0.5 x16952 - x26701 = 0 e72204: 0.5 b2553 + 0.5 x16953 - x26702 = 0 e72205: 0.5 b2554 + 0.5 x16954 - x26703 = 0 e72206: 0.5 b2555 + 0.5 x16955 - x26704 = 0 e72207: 0.5 b2556 + 0.5 x16956 - x26705 = 0 e72208: 0.5 b2557 + 0.5 x16957 - x26706 = 0 e72209: 0.5 b2558 + 0.5 x16958 - x26707 = 0 e72210: 0.5 b2559 + 0.5 x16959 - x26708 = 0 e72211: 0.5 b2560 + 0.5 x16960 - x26709 = 0 e72212: 0.5 b2561 + 0.5 x16961 - x26710 = 0 e72213: 0.5 b2562 + 0.5 x16962 - x26711 = 0 e72214: 0.5 b2563 + 0.5 x16963 - x26712 = 0 e72215: 0.5 b2564 + 0.5 x16964 - x26713 = 0 e72216: 0.5 b2565 + 0.5 x16965 - x26714 = 0 e72217: 0.5 b2566 + 0.5 x16966 - x26715 = 0 e72218: 0.5 b2567 + 0.5 x16967 - x26716 = 0 e72219: 0.5 b2568 + 0.5 x16968 - x26717 = 0 e72220: 0.5 b2569 + 0.5 x16969 - x26718 = 0 e72221: 0.5 b2570 + 0.5 x16970 - x26719 = 0 e72222: 0.5 b2571 + 0.5 x16971 - x26720 = 0 e72223: 0.5 b2572 + 0.5 x16972 - x26721 = 0 e72224: 0.5 b2573 + 0.5 x16973 - x26722 = 0 e72225: 0.5 b2574 + 0.5 x16974 - x26723 = 0 e72226: 0.5 b2575 + 0.5 x16975 - x26724 = 0 e72227: 0.5 b2576 + 0.5 x16976 - x26725 = 0 e72228: 0.5 b2577 + 0.5 x16977 - x26726 = 0 e72229: 0.5 b2578 + 0.5 x16978 - x26727 = 0 e72230: 0.5 b2579 + 0.5 x16979 - x26728 = 0 e72231: 0.5 b2580 + 0.5 x16980 - x26729 = 0 e72232: 0.5 b2581 + 0.5 x16981 - x26730 = 0 e72233: 0.5 b2582 + 0.5 x16982 - x26731 = 0 e72234: 0.5 b2583 + 0.5 x16983 - x26732 = 0 e72235: 0.5 b2584 + 0.5 x16984 - x26733 = 0 e72236: 0.5 b2585 + 0.5 x16985 - x26734 = 0 e72237: 0.5 b2586 + 0.5 x16986 - x26735 = 0 e72238: 0.5 b2587 + 0.5 x16987 - x26736 = 0 e72239: 0.5 b2588 + 0.5 x16988 - x26737 = 0 e72240: 0.5 b2589 + 0.5 x16989 - x26738 = 0 e72241: 0.5 b2590 + 0.5 x16990 - x26739 = 0 e72242: 0.5 b2591 + 0.5 x16991 - x26740 = 0 e72243: 0.5 b2592 + 0.5 x16992 - x26741 = 0 e72244: 0.5 b2593 + 0.5 x16993 - x26742 = 0 e72245: 0.5 b2594 + 0.5 x16994 - x26743 = 0 e72246: 0.5 b2595 + 0.5 x16995 - x26744 = 0 e72247: 0.5 b2596 + 0.5 x16996 - x26745 = 0 e72248: 0.5 b2597 + 0.5 x16997 - x26746 = 0 e72249: 0.5 b2598 + 0.5 x16998 - x26747 = 0 e72250: 0.5 b2599 + 0.5 x16999 - x26748 = 0 e72251: 0.5 b2600 + 0.5 x17000 - x26749 = 0 e72252: 0.5 b2601 + 0.5 x17001 - x26750 = 0 e72253: 0.5 b2602 + 0.5 x17002 - x26751 = 0 e72254: 0.5 b2603 + 0.5 x17003 - x26752 = 0 e72255: 0.5 b2604 + 0.5 x17004 - x26753 = 0 e72256: 0.5 b2605 + 0.5 x17005 - x26754 = 0 e72257: 0.5 b2606 + 0.5 x17006 - x26755 = 0 e72258: 0.5 b2607 + 0.5 x17007 - x26756 = 0 e72259: 0.5 b2608 + 0.5 x17008 - x26757 = 0 e72260: 0.5 b2609 + 0.5 x17009 - x26758 = 0 e72261: 0.5 b2610 + 0.5 x17010 - x26759 = 0 e72262: 0.5 b2611 + 0.5 x17011 - x26760 = 0 e72263: 0.5 b2612 + 0.5 x17012 - x26761 = 0 e72264: 0.5 b2613 + 0.5 x17013 - x26762 = 0 e72265: 0.5 b2614 + 0.5 x17014 - x26763 = 0 e72266: 0.5 b2615 + 0.5 x17015 - x26764 = 0 e72267: 0.5 b2616 + 0.5 x17016 - x26765 = 0 e72268: 0.5 b2617 + 0.5 x17017 - x26766 = 0 e72269: 0.5 b2618 + 0.5 x17018 - x26767 = 0 e72270: 0.5 b2619 + 0.5 x17019 - x26768 = 0 e72271: 0.5 b2620 + 0.5 x17020 - x26769 = 0 e72272: 0.5 b2621 + 0.5 x17021 - x26770 = 0 e72273: 0.5 b2622 + 0.5 x17022 - x26771 = 0 e72274: 0.5 b2623 + 0.5 x17023 - x26772 = 0 e72275: 0.5 b2624 + 0.5 x17024 - x26773 = 0 e72276: 0.5 b2625 + 0.5 x17025 - x26774 = 0 e72277: 0.5 b2626 + 0.5 x17026 - x26775 = 0 e72278: 0.5 b2627 + 0.5 x17027 - x26776 = 0 e72279: 0.5 b2628 + 0.5 x17028 - x26777 = 0 e72280: 0.5 b2629 + 0.5 x17029 - x26778 = 0 e72281: 0.5 b2630 + 0.5 x17030 - x26779 = 0 e72282: 0.5 b2631 + 0.5 x17031 - x26780 = 0 e72283: 0.5 b2632 + 0.5 x17032 - x26781 = 0 e72284: 0.5 b2633 + 0.5 x17033 - x26782 = 0 e72285: 0.5 b2634 + 0.5 x17034 - x26783 = 0 e72286: 0.5 b2635 + 0.5 x17035 - x26784 = 0 e72287: 0.5 b2636 + 0.5 x17036 - x26785 = 0 e72288: 0.5 b2637 + 0.5 x17037 - x26786 = 0 e72289: 0.5 b2638 + 0.5 x17038 - x26787 = 0 e72290: 0.5 b2639 + 0.5 x17039 - x26788 = 0 e72291: 0.5 b2640 + 0.5 x17040 - x26789 = 0 e72292: 0.5 b2641 + 0.5 x17041 - x26790 = 0 e72293: 0.5 b2642 + 0.5 x17042 - x26791 = 0 e72294: 0.5 b2643 + 0.5 x17043 - x26792 = 0 e72295: 0.5 b2644 + 0.5 x17044 - x26793 = 0 e72296: 0.5 b2645 + 0.5 x17045 - x26794 = 0 e72297: 0.5 b2646 + 0.5 x17046 - x26795 = 0 e72298: 0.5 b2647 + 0.5 x17047 - x26796 = 0 e72299: 0.5 b2648 + 0.5 x17048 - x26797 = 0 e72300: 0.5 b2649 + 0.5 x17049 - x26798 = 0 e72301: 0.5 b2650 + 0.5 x17050 - x26799 = 0 e72302: 0.5 b2651 + 0.5 x17051 - x26800 = 0 e72303: 0.5 b2652 + 0.5 x17052 - x26801 = 0 e72304: 0.5 b2653 + 0.5 x17053 - x26802 = 0 e72305: 0.5 b2654 + 0.5 x17054 - x26803 = 0 e72306: 0.5 b2655 + 0.5 x17055 - x26804 = 0 e72307: 0.5 b2656 + 0.5 x17056 - x26805 = 0 e72308: 0.5 b2657 + 0.5 x17057 - x26806 = 0 e72309: 0.5 b2658 + 0.5 x17058 - x26807 = 0 e72310: 0.5 b2659 + 0.5 x17059 - x26808 = 0 e72311: 0.5 b2660 + 0.5 x17060 - x26809 = 0 e72312: 0.5 b2661 + 0.5 x17061 - x26810 = 0 e72313: 0.5 b2662 + 0.5 x17062 - x26811 = 0 e72314: 0.5 b2663 + 0.5 x17063 - x26812 = 0 e72315: 0.5 b2664 + 0.5 x17064 - x26813 = 0 e72316: 0.5 b2665 + 0.5 x17065 - x26814 = 0 e72317: 0.5 b2666 + 0.5 x17066 - x26815 = 0 e72318: 0.5 b2667 + 0.5 x17067 - x26816 = 0 e72319: 0.5 b2668 + 0.5 x17068 - x26817 = 0 e72320: 0.5 b2669 + 0.5 x17069 - x26818 = 0 e72321: 0.5 b2670 + 0.5 x17070 - x26819 = 0 e72322: 0.5 b2671 + 0.5 x17071 - x26820 = 0 e72323: 0.5 b2672 + 0.5 x17072 - x26821 = 0 e72324: 0.5 b2673 + 0.5 x17073 - x26822 = 0 e72325: 0.5 b2674 + 0.5 x17074 - x26823 = 0 e72326: 0.5 b2675 + 0.5 x17075 - x26824 = 0 e72327: 0.5 b2676 + 0.5 x17076 - x26825 = 0 e72328: 0.5 b2677 + 0.5 x17077 - x26826 = 0 e72329: 0.5 b2678 + 0.5 x17078 - x26827 = 0 e72330: 0.5 b2679 + 0.5 x17079 - x26828 = 0 e72331: 0.5 b2680 + 0.5 x17080 - x26829 = 0 e72332: 0.5 b2681 + 0.5 x17081 - x26830 = 0 e72333: 0.5 b2682 + 0.5 x17082 - x26831 = 0 e72334: 0.5 b2683 + 0.5 x17083 - x26832 = 0 e72335: 0.5 b2684 + 0.5 x17084 - x26833 = 0 e72336: 0.5 b2685 + 0.5 x17085 - x26834 = 0 e72337: 0.5 b2686 + 0.5 x17086 - x26835 = 0 e72338: 0.5 b2687 + 0.5 x17087 - x26836 = 0 e72339: 0.5 b2688 + 0.5 x17088 - x26837 = 0 e72340: 0.5 b2689 + 0.5 x17089 - x26838 = 0 e72341: 0.5 b2690 + 0.5 x17090 - x26839 = 0 e72342: 0.5 b2691 + 0.5 x17091 - x26840 = 0 e72343: 0.5 b2692 + 0.5 x17092 - x26841 = 0 e72344: 0.5 b2693 + 0.5 x17093 - x26842 = 0 e72345: 0.5 b2694 + 0.5 x17094 - x26843 = 0 e72346: 0.5 b2695 + 0.5 x17095 - x26844 = 0 e72347: 0.5 b2696 + 0.5 x17096 - x26845 = 0 e72348: 0.5 b2697 + 0.5 x17097 - x26846 = 0 e72349: 0.5 b2698 + 0.5 x17098 - x26847 = 0 e72350: 0.5 b2699 + 0.5 x17099 - x26848 = 0 e72351: 0.5 b2700 + 0.5 x17100 - x26849 = 0 e72352: 0.5 b2701 + 0.5 x17101 - x26850 = 0 e72353: 0.5 b2702 + 0.5 x17102 - x26851 = 0 e72354: 0.5 b2703 + 0.5 x17103 - x26852 = 0 e72355: 0.5 b2704 + 0.5 x17104 - x26853 = 0 e72356: 0.5 b2705 + 0.5 x17105 - x26854 = 0 e72357: 0.5 b2706 + 0.5 x17106 - x26855 = 0 e72358: 0.5 b2707 + 0.5 x17107 - x26856 = 0 e72359: 0.5 b2708 + 0.5 x17108 - x26857 = 0 e72360: 0.5 b2709 + 0.5 x17109 - x26858 = 0 e72361: 0.5 b2710 + 0.5 x17110 - x26859 = 0 e72362: 0.5 b2711 + 0.5 x17111 - x26860 = 0 e72363: 0.5 b2712 + 0.5 x17112 - x26861 = 0 e72364: 0.5 b2713 + 0.5 x17113 - x26862 = 0 e72365: 0.5 b2714 + 0.5 x17114 - x26863 = 0 e72366: 0.5 b2715 + 0.5 x17115 - x26864 = 0 e72367: 0.5 b2716 + 0.5 x17116 - x26865 = 0 e72368: 0.5 b2717 + 0.5 x17117 - x26866 = 0 e72369: 0.5 b2718 + 0.5 x17118 - x26867 = 0 e72370: 0.5 b2719 + 0.5 x17119 - x26868 = 0 e72371: 0.5 b2720 + 0.5 x17120 - x26869 = 0 e72372: 0.5 b2721 + 0.5 x17121 - x26870 = 0 e72373: 0.5 b2722 + 0.5 x17122 - x26871 = 0 e72374: 0.5 b2723 + 0.5 x17123 - x26872 = 0 e72375: 0.5 b2724 + 0.5 x17124 - x26873 = 0 e72376: 0.5 b2725 + 0.5 x17125 - x26874 = 0 e72377: 0.5 b2726 + 0.5 x17126 - x26875 = 0 e72378: 0.5 b2727 + 0.5 x17127 - x26876 = 0 e72379: 0.5 b2728 + 0.5 x17128 - x26877 = 0 e72380: 0.5 b2729 + 0.5 x17129 - x26878 = 0 e72381: 0.5 b2730 + 0.5 x17130 - x26879 = 0 e72382: 0.5 b2731 + 0.5 x17131 - x26880 = 0 e72383: 0.5 b2732 + 0.5 x17132 - x26881 = 0 e72384: 0.5 b2733 + 0.5 x17133 - x26882 = 0 e72385: 0.5 b2734 + 0.5 x17134 - x26883 = 0 e72386: 0.5 b2735 + 0.5 x17135 - x26884 = 0 e72387: 0.5 b2736 + 0.5 x17136 - x26885 = 0 e72388: 0.5 b2737 + 0.5 x17137 - x26886 = 0 e72389: 0.5 b2738 + 0.5 x17138 - x26887 = 0 e72390: 0.5 b2739 + 0.5 x17139 - x26888 = 0 e72391: 0.5 b2740 + 0.5 x17140 - x26889 = 0 e72392: 0.5 b2741 + 0.5 x17141 - x26890 = 0 e72393: 0.5 b2742 + 0.5 x17142 - x26891 = 0 e72394: 0.5 b2743 + 0.5 x17143 - x26892 = 0 e72395: 0.5 b2744 + 0.5 x17144 - x26893 = 0 e72396: 0.5 b2745 + 0.5 x17145 - x26894 = 0 e72397: 0.5 b2746 + 0.5 x17146 - x26895 = 0 e72398: 0.5 b2747 + 0.5 x17147 - x26896 = 0 e72399: 0.5 b2748 + 0.5 x17148 - x26897 = 0 e72400: 0.5 b2749 + 0.5 x17149 - x26898 = 0 e72401: 0.5 b2750 + 0.5 x17150 - x26899 = 0 e72402: 0.5 b2751 + 0.5 x17151 - x26900 = 0 e72403: 0.5 b2752 + 0.5 x17152 - x26901 = 0 e72404: 0.5 b2753 + 0.5 x17153 - x26902 = 0 e72405: 0.5 b2754 + 0.5 x17154 - x26903 = 0 e72406: 0.5 b2755 + 0.5 x17155 - x26904 = 0 e72407: 0.5 b2756 + 0.5 x17156 - x26905 = 0 e72408: 0.5 b2757 + 0.5 x17157 - x26906 = 0 e72409: 0.5 b2758 + 0.5 x17158 - x26907 = 0 e72410: 0.5 b2759 + 0.5 x17159 - x26908 = 0 e72411: 0.5 b2760 + 0.5 x17160 - x26909 = 0 e72412: 0.5 b2761 + 0.5 x17161 - x26910 = 0 e72413: 0.5 b2762 + 0.5 x17162 - x26911 = 0 e72414: 0.5 b2763 + 0.5 x17163 - x26912 = 0 e72415: 0.5 b2764 + 0.5 x17164 - x26913 = 0 e72416: 0.5 b2765 + 0.5 x17165 - x26914 = 0 e72417: 0.5 b2766 + 0.5 x17166 - x26915 = 0 e72418: 0.5 b2767 + 0.5 x17167 - x26916 = 0 e72419: 0.5 b2768 + 0.5 x17168 - x26917 = 0 e72420: 0.5 b2769 + 0.5 x17169 - x26918 = 0 e72421: 0.5 b2770 + 0.5 x17170 - x26919 = 0 e72422: 0.5 b2771 + 0.5 x17171 - x26920 = 0 e72423: 0.5 b2772 + 0.5 x17172 - x26921 = 0 e72424: 0.5 b2773 + 0.5 x17173 - x26922 = 0 e72425: 0.5 b2774 + 0.5 x17174 - x26923 = 0 e72426: 0.5 b2775 + 0.5 x17175 - x26924 = 0 e72427: 0.5 b2776 + 0.5 x17176 - x26925 = 0 e72428: 0.5 b2777 + 0.5 x17177 - x26926 = 0 e72429: 0.5 b2778 + 0.5 x17178 - x26927 = 0 e72430: 0.5 b2779 + 0.5 x17179 - x26928 = 0 e72431: 0.5 b2780 + 0.5 x17180 - x26929 = 0 e72432: 0.5 b2781 + 0.5 x17181 - x26930 = 0 e72433: 0.5 b2782 + 0.5 x17182 - x26931 = 0 e72434: 0.5 b2783 + 0.5 x17183 - x26932 = 0 e72435: 0.5 b2784 + 0.5 x17184 - x26933 = 0 e72436: 0.5 b2785 + 0.5 x17185 - x26934 = 0 e72437: 0.5 b2786 + 0.5 x17186 - x26935 = 0 e72438: 0.5 b2787 + 0.5 x17187 - x26936 = 0 e72439: 0.5 b2788 + 0.5 x17188 - x26937 = 0 e72440: 0.5 b2789 + 0.5 x17189 - x26938 = 0 e72441: 0.5 b2790 + 0.5 x17190 - x26939 = 0 e72442: 0.5 b2791 + 0.5 x17191 - x26940 = 0 e72443: 0.5 b2792 + 0.5 x17192 - x26941 = 0 e72444: 0.5 b2793 + 0.5 x17193 - x26942 = 0 e72445: 0.5 b2794 + 0.5 x17194 - x26943 = 0 e72446: 0.5 b2795 + 0.5 x17195 - x26944 = 0 e72447: 0.5 b2796 + 0.5 x17196 - x26945 = 0 e72448: 0.5 b2797 + 0.5 x17197 - x26946 = 0 e72449: 0.5 b2798 + 0.5 x17198 - x26947 = 0 e72450: 0.5 b2799 + 0.5 x17199 - x26948 = 0 e72451: 0.5 b2800 + 0.5 x17200 - x26949 = 0 e72452: 0.5 b2801 + 0.5 x17201 - x26950 = 0 e72453: 0.5 b2802 + 0.5 x17202 - x26951 = 0 e72454: 0.5 b2803 + 0.5 x17203 - x26952 = 0 e72455: 0.5 b2804 + 0.5 x17204 - x26953 = 0 e72456: 0.5 b2805 + 0.5 x17205 - x26954 = 0 e72457: 0.5 b2806 + 0.5 x17206 - x26955 = 0 e72458: 0.5 b2807 + 0.5 x17207 - x26956 = 0 e72459: 0.5 b2808 + 0.5 x17208 - x26957 = 0 e72460: 0.5 b2809 + 0.5 x17209 - x26958 = 0 e72461: 0.5 b2810 + 0.5 x17210 - x26959 = 0 e72462: 0.5 b2811 + 0.5 x17211 - x26960 = 0 e72463: 0.5 b2812 + 0.5 x17212 - x26961 = 0 e72464: 0.5 b2813 + 0.5 x17213 - x26962 = 0 e72465: 0.5 b2814 + 0.5 x17214 - x26963 = 0 e72466: 0.5 b2815 + 0.5 x17215 - x26964 = 0 e72467: 0.5 b2816 + 0.5 x17216 - x26965 = 0 e72468: 0.5 b2817 + 0.5 x17217 - x26966 = 0 e72469: 0.5 b2818 + 0.5 x17218 - x26967 = 0 e72470: 0.5 b2819 + 0.5 x17219 - x26968 = 0 e72471: 0.5 b2820 + 0.5 x17220 - x26969 = 0 e72472: 0.5 b2821 + 0.5 x17221 - x26970 = 0 e72473: 0.5 b2822 + 0.5 x17222 - x26971 = 0 e72474: 0.5 b2823 + 0.5 x17223 - x26972 = 0 e72475: 0.5 b2824 + 0.5 x17224 - x26973 = 0 e72476: 0.5 b2825 + 0.5 x17225 - x26974 = 0 e72477: 0.5 b2826 + 0.5 x17226 - x26975 = 0 e72478: 0.5 b2827 + 0.5 x17227 - x26976 = 0 e72479: 0.5 b2828 + 0.5 x17228 - x26977 = 0 e72480: 0.5 b2829 + 0.5 x17229 - x26978 = 0 e72481: 0.5 b2830 + 0.5 x17230 - x26979 = 0 e72482: 0.5 b2831 + 0.5 x17231 - x26980 = 0 e72483: 0.5 b2832 + 0.5 x17232 - x26981 = 0 e72484: 0.5 b2833 + 0.5 x17233 - x26982 = 0 e72485: 0.5 b2834 + 0.5 x17234 - x26983 = 0 e72486: 0.5 b2835 + 0.5 x17235 - x26984 = 0 e72487: 0.5 b2836 + 0.5 x17236 - x26985 = 0 e72488: 0.5 b2837 + 0.5 x17237 - x26986 = 0 e72489: 0.5 b2838 + 0.5 x17238 - x26987 = 0 e72490: 0.5 b2839 + 0.5 x17239 - x26988 = 0 e72491: 0.5 b2840 + 0.5 x17240 - x26989 = 0 e72492: 0.5 b2841 + 0.5 x17241 - x26990 = 0 e72493: 0.5 b2842 + 0.5 x17242 - x26991 = 0 e72494: 0.5 b2843 + 0.5 x17243 - x26992 = 0 e72495: 0.5 b2844 + 0.5 x17244 - x26993 = 0 e72496: 0.5 b2845 + 0.5 x17245 - x26994 = 0 e72497: 0.5 b2846 + 0.5 x17246 - x26995 = 0 e72498: 0.5 b2847 + 0.5 x17247 - x26996 = 0 e72499: 0.5 b2848 + 0.5 x17248 - x26997 = 0 e72500: 0.5 b2849 + 0.5 x17249 - x26998 = 0 e72501: 0.5 b2850 + 0.5 x17250 - x26999 = 0 e72502: 0.5 b2851 + 0.5 x17251 - x27000 = 0 e72503: 0.5 b2852 + 0.5 x17252 - x27001 = 0 e72504: 0.5 b2853 + 0.5 x17253 - x27002 = 0 e72505: 0.5 b2854 + 0.5 x17254 - x27003 = 0 e72506: 0.5 b2855 + 0.5 x17255 - x27004 = 0 e72507: 0.5 b2856 + 0.5 x17256 - x27005 = 0 e72508: 0.5 b2857 + 0.5 x17257 - x27006 = 0 e72509: 0.5 b2858 + 0.5 x17258 - x27007 = 0 e72510: 0.5 b2859 + 0.5 x17259 - x27008 = 0 e72511: 0.5 b2860 + 0.5 x17260 - x27009 = 0 e72512: 0.5 b2861 + 0.5 x17261 - x27010 = 0 e72513: 0.5 b2862 + 0.5 x17262 - x27011 = 0 e72514: 0.5 b2863 + 0.5 x17263 - x27012 = 0 e72515: 0.5 b2864 + 0.5 x17264 - x27013 = 0 e72516: 0.5 b2865 + 0.5 x17265 - x27014 = 0 e72517: 0.5 b2866 + 0.5 x17266 - x27015 = 0 e72518: 0.5 b2867 + 0.5 x17267 - x27016 = 0 e72519: 0.5 b2868 + 0.5 x17268 - x27017 = 0 e72520: 0.5 b2869 + 0.5 x17269 - x27018 = 0 e72521: 0.5 b2870 + 0.5 x17270 - x27019 = 0 e72522: 0.5 b2871 + 0.5 x17271 - x27020 = 0 e72523: 0.5 b2872 + 0.5 x17272 - x27021 = 0 e72524: 0.5 b2873 + 0.5 x17273 - x27022 = 0 e72525: 0.5 b2874 + 0.5 x17274 - x27023 = 0 e72526: 0.5 b2875 + 0.5 x17275 - x27024 = 0 e72527: 0.5 b2876 + 0.5 x17276 - x27025 = 0 e72528: 0.5 b2877 + 0.5 x17277 - x27026 = 0 e72529: 0.5 b2878 + 0.5 x17278 - x27027 = 0 e72530: 0.5 b2879 + 0.5 x17279 - x27028 = 0 e72531: 0.5 b2880 + 0.5 x17280 - x27029 = 0 e72532: 0.5 b2881 + 0.5 x17281 - x27030 = 0 e72533: 0.5 b2882 + 0.5 x17282 - x27031 = 0 e72534: 0.5 b2883 + 0.5 x17283 - x27032 = 0 e72535: 0.5 b2884 + 0.5 x17284 - x27033 = 0 e72536: 0.5 b2885 + 0.5 x17285 - x27034 = 0 e72537: 0.5 b2886 + 0.5 x17286 - x27035 = 0 e72538: 0.5 b2887 + 0.5 x17287 - x27036 = 0 e72539: 0.5 b2888 + 0.5 x17288 - x27037 = 0 e72540: 0.5 b2889 + 0.5 x17289 - x27038 = 0 e72541: 0.5 b2890 + 0.5 x17290 - x27039 = 0 e72542: 0.5 b2891 + 0.5 x17291 - x27040 = 0 e72543: 0.5 b2892 + 0.5 x17292 - x27041 = 0 e72544: 0.5 b2893 + 0.5 x17293 - x27042 = 0 e72545: 0.5 b2894 + 0.5 x17294 - x27043 = 0 e72546: 0.5 b2895 + 0.5 x17295 - x27044 = 0 e72547: 0.5 b2896 + 0.5 x17296 - x27045 = 0 e72548: 0.5 b2897 + 0.5 x17297 - x27046 = 0 e72549: 0.5 b2898 + 0.5 x17298 - x27047 = 0 e72550: 0.5 b2899 + 0.5 x17299 - x27048 = 0 e72551: 0.5 b2900 + 0.5 x17300 - x27049 = 0 e72552: 0.5 b2901 + 0.5 x17301 - x27050 = 0 e72553: 0.5 b2902 + 0.5 x17302 - x27051 = 0 e72554: 0.5 b2903 + 0.5 x17303 - x27052 = 0 e72555: 0.5 b2904 + 0.5 x17304 - x27053 = 0 e72556: 0.5 b2905 + 0.5 x17305 - x27054 = 0 e72557: 0.5 b2906 + 0.5 x17306 - x27055 = 0 e72558: 0.5 b2907 + 0.5 x17307 - x27056 = 0 e72559: 0.5 b2908 + 0.5 x17308 - x27057 = 0 e72560: 0.5 b2909 + 0.5 x17309 - x27058 = 0 e72561: 0.5 b2910 + 0.5 x17310 - x27059 = 0 e72562: 0.5 b2911 + 0.5 x17311 - x27060 = 0 e72563: 0.5 b2912 + 0.5 x17312 - x27061 = 0 e72564: 0.5 b2913 + 0.5 x17313 - x27062 = 0 e72565: 0.5 b2914 + 0.5 x17314 - x27063 = 0 e72566: 0.5 b2915 + 0.5 x17315 - x27064 = 0 e72567: 0.5 b2916 + 0.5 x17316 - x27065 = 0 e72568: 0.5 b2917 + 0.5 x17317 - x27066 = 0 e72569: 0.5 b2918 + 0.5 x17318 - x27067 = 0 e72570: 0.5 b2919 + 0.5 x17319 - x27068 = 0 e72571: 0.5 b2920 + 0.5 x17320 - x27069 = 0 e72572: 0.5 b2921 + 0.5 x17321 - x27070 = 0 e72573: 0.5 b2922 + 0.5 x17322 - x27071 = 0 e72574: 0.5 b2923 + 0.5 x17323 - x27072 = 0 e72575: 0.5 b2924 + 0.5 x17324 - x27073 = 0 e72576: 0.5 b2925 + 0.5 x17325 - x27074 = 0 e72577: 0.5 b2926 + 0.5 x17326 - x27075 = 0 e72578: 0.5 b2927 + 0.5 x17327 - x27076 = 0 e72579: 0.5 b2928 + 0.5 x17328 - x27077 = 0 e72580: 0.5 b2929 + 0.5 x17329 - x27078 = 0 e72581: 0.5 b2930 + 0.5 x17330 - x27079 = 0 e72582: 0.5 b2931 + 0.5 x17331 - x27080 = 0 e72583: 0.5 b2932 + 0.5 x17332 - x27081 = 0 e72584: 0.5 b2933 + 0.5 x17333 - x27082 = 0 e72585: 0.5 b2934 + 0.5 x17334 - x27083 = 0 e72586: 0.5 b2935 + 0.5 x17335 - x27084 = 0 e72587: 0.5 b2936 + 0.5 x17336 - x27085 = 0 e72588: 0.5 b2937 + 0.5 x17337 - x27086 = 0 e72589: 0.5 b2938 + 0.5 x17338 - x27087 = 0 e72590: 0.5 b2939 + 0.5 x17339 - x27088 = 0 e72591: 0.5 b2940 + 0.5 x17340 - x27089 = 0 e72592: 0.5 b2941 + 0.5 x17341 - x27090 = 0 e72593: 0.5 b2942 + 0.5 x17342 - x27091 = 0 e72594: 0.5 b2943 + 0.5 x17343 - x27092 = 0 e72595: 0.5 b2944 + 0.5 x17344 - x27093 = 0 e72596: 0.5 b2945 + 0.5 x17345 - x27094 = 0 e72597: 0.5 b2946 + 0.5 x17346 - x27095 = 0 e72598: 0.5 b2947 + 0.5 x17347 - x27096 = 0 e72599: 0.5 b2948 + 0.5 x17348 - x27097 = 0 e72600: 0.5 b2949 + 0.5 x17349 - x27098 = 0 e72601: 0.5 b2950 + 0.5 x17350 - x27099 = 0 e72602: 0.5 b2951 + 0.5 x17351 - x27100 = 0 e72603: 0.5 b2952 + 0.5 x17352 - x27101 = 0 e72604: 0.5 b2953 + 0.5 x17353 - x27102 = 0 e72605: 0.5 b2954 + 0.5 x17354 - x27103 = 0 e72606: 0.5 b2955 + 0.5 x17355 - x27104 = 0 e72607: 0.5 b2956 + 0.5 x17356 - x27105 = 0 e72608: 0.5 b2957 + 0.5 x17357 - x27106 = 0 e72609: 0.5 b2958 + 0.5 x17358 - x27107 = 0 e72610: 0.5 b2959 + 0.5 x17359 - x27108 = 0 e72611: 0.5 b2960 + 0.5 x17360 - x27109 = 0 e72612: 0.5 b2961 + 0.5 x17361 - x27110 = 0 e72613: 0.5 b2962 + 0.5 x17362 - x27111 = 0 e72614: 0.5 b2963 + 0.5 x17363 - x27112 = 0 e72615: 0.5 b2964 + 0.5 x17364 - x27113 = 0 e72616: 0.5 b2965 + 0.5 x17365 - x27114 = 0 e72617: 0.5 b2966 + 0.5 x17366 - x27115 = 0 e72618: 0.5 b2967 + 0.5 x17367 - x27116 = 0 e72619: 0.5 b2968 + 0.5 x17368 - x27117 = 0 e72620: 0.5 b2969 + 0.5 x17369 - x27118 = 0 e72621: 0.5 b2970 + 0.5 x17370 - x27119 = 0 e72622: 0.5 b2971 + 0.5 x17371 - x27120 = 0 e72623: 0.5 b2972 + 0.5 x17372 - x27121 = 0 e72624: 0.5 b2973 + 0.5 x17373 - x27122 = 0 e72625: 0.5 b2974 + 0.5 x17374 - x27123 = 0 e72626: 0.5 b2975 + 0.5 x17375 - x27124 = 0 e72627: 0.5 b2976 + 0.5 x17376 - x27125 = 0 e72628: 0.5 b2977 + 0.5 x17377 - x27126 = 0 e72629: 0.5 b2978 + 0.5 x17378 - x27127 = 0 e72630: 0.5 b2979 + 0.5 x17379 - x27128 = 0 e72631: 0.5 b2980 + 0.5 x17380 - x27129 = 0 e72632: 0.5 b2981 + 0.5 x17381 - x27130 = 0 e72633: 0.5 b2982 + 0.5 x17382 - x27131 = 0 e72634: 0.5 b2983 + 0.5 x17383 - x27132 = 0 e72635: 0.5 b2984 + 0.5 x17384 - x27133 = 0 e72636: 0.5 b2985 + 0.5 x17385 - x27134 = 0 e72637: 0.5 b2986 + 0.5 x17386 - x27135 = 0 e72638: 0.5 b2987 + 0.5 x17387 - x27136 = 0 e72639: 0.5 b2988 + 0.5 x17388 - x27137 = 0 e72640: 0.5 b2989 + 0.5 x17389 - x27138 = 0 e72641: 0.5 b2990 + 0.5 x17390 - x27139 = 0 e72642: 0.5 b2991 + 0.5 x17391 - x27140 = 0 e72643: 0.5 b2992 + 0.5 x17392 - x27141 = 0 e72644: 0.5 b2993 + 0.5 x17393 - x27142 = 0 e72645: 0.5 b2994 + 0.5 x17394 - x27143 = 0 e72646: 0.5 b2995 + 0.5 x17395 - x27144 = 0 e72647: 0.5 b2996 + 0.5 x17396 - x27145 = 0 e72648: 0.5 b2997 + 0.5 x17397 - x27146 = 0 e72649: 0.5 b2998 + 0.5 x17398 - x27147 = 0 e72650: 0.5 b2999 + 0.5 x17399 - x27148 = 0 e72651: 0.5 b3000 + 0.5 x17400 - x27149 = 0 e72652: 0.5 b3001 + 0.5 x17401 - x27150 = 0 e72653: 0.5 b3002 + 0.5 x17402 - x27151 = 0 e72654: 0.5 b3003 + 0.5 x17403 - x27152 = 0 e72655: 0.5 b3004 + 0.5 x17404 - x27153 = 0 e72656: 0.5 b3005 + 0.5 x17405 - x27154 = 0 e72657: 0.5 b3006 + 0.5 x17406 - x27155 = 0 e72658: 0.5 b3007 + 0.5 x17407 - x27156 = 0 e72659: 0.5 b3008 + 0.5 x17408 - x27157 = 0 e72660: 0.5 b3009 + 0.5 x17409 - x27158 = 0 e72661: 0.5 b3010 + 0.5 x17410 - x27159 = 0 e72662: 0.5 b3011 + 0.5 x17411 - x27160 = 0 e72663: 0.5 b3012 + 0.5 x17412 - x27161 = 0 e72664: 0.5 b3013 + 0.5 x17413 - x27162 = 0 e72665: 0.5 b3014 + 0.5 x17414 - x27163 = 0 e72666: 0.5 b3015 + 0.5 x17415 - x27164 = 0 e72667: 0.5 b3016 + 0.5 x17416 - x27165 = 0 e72668: 0.5 b3017 + 0.5 x17417 - x27166 = 0 e72669: 0.5 b3018 + 0.5 x17418 - x27167 = 0 e72670: 0.5 b3019 + 0.5 x17419 - x27168 = 0 e72671: 0.5 b3020 + 0.5 x17420 - x27169 = 0 e72672: 0.5 b3021 + 0.5 x17421 - x27170 = 0 e72673: 0.5 b3022 + 0.5 x17422 - x27171 = 0 e72674: 0.5 b3023 + 0.5 x17423 - x27172 = 0 e72675: 0.5 b3024 + 0.5 x17424 - x27173 = 0 e72676: 0.5 b3025 + 0.5 x17425 - x27174 = 0 e72677: 0.5 b3026 + 0.5 x17426 - x27175 = 0 e72678: 0.5 b3027 + 0.5 x17427 - x27176 = 0 e72679: 0.5 b3028 + 0.5 x17428 - x27177 = 0 e72680: 0.5 b3029 + 0.5 x17429 - x27178 = 0 e72681: 0.5 b3030 + 0.5 x17430 - x27179 = 0 e72682: 0.5 b3031 + 0.5 x17431 - x27180 = 0 e72683: 0.5 b3032 + 0.5 x17432 - x27181 = 0 e72684: 0.5 b3033 + 0.5 x17433 - x27182 = 0 e72685: 0.5 b3034 + 0.5 x17434 - x27183 = 0 e72686: 0.5 b3035 + 0.5 x17435 - x27184 = 0 e72687: 0.5 b3036 + 0.5 x17436 - x27185 = 0 e72688: 0.5 b3037 + 0.5 x17437 - x27186 = 0 e72689: 0.5 b3038 + 0.5 x17438 - x27187 = 0 e72690: 0.5 b3039 + 0.5 x17439 - x27188 = 0 e72691: 0.5 b3040 + 0.5 x17440 - x27189 = 0 e72692: 0.5 b3041 + 0.5 x17441 - x27190 = 0 e72693: 0.5 b3042 + 0.5 x17442 - x27191 = 0 e72694: 0.5 b3043 + 0.5 x17443 - x27192 = 0 e72695: 0.5 b3044 + 0.5 x17444 - x27193 = 0 e72696: 0.5 b3045 + 0.5 x17445 - x27194 = 0 e72697: 0.5 b3046 + 0.5 x17446 - x27195 = 0 e72698: 0.5 b3047 + 0.5 x17447 - x27196 = 0 e72699: 0.5 b3048 + 0.5 x17448 - x27197 = 0 e72700: 0.5 b3049 + 0.5 x17449 - x27198 = 0 e72701: 0.5 b3050 + 0.5 x17450 - x27199 = 0 e72702: 0.5 b3051 + 0.5 x17451 - x27200 = 0 e72703: 0.5 b3052 + 0.5 x17452 - x27201 = 0 e72704: 0.5 b3053 + 0.5 x17453 - x27202 = 0 e72705: 0.5 b3054 + 0.5 x17454 - x27203 = 0 e72706: 0.5 b3055 + 0.5 x17455 - x27204 = 0 e72707: 0.5 b3056 + 0.5 x17456 - x27205 = 0 e72708: 0.5 b3057 + 0.5 x17457 - x27206 = 0 e72709: 0.5 b3058 + 0.5 x17458 - x27207 = 0 e72710: 0.5 b3059 + 0.5 x17459 - x27208 = 0 e72711: 0.5 b3060 + 0.5 x17460 - x27209 = 0 e72712: 0.5 b3061 + 0.5 x17461 - x27210 = 0 e72713: 0.5 b3062 + 0.5 x17462 - x27211 = 0 e72714: 0.5 b3063 + 0.5 x17463 - x27212 = 0 e72715: 0.5 b3064 + 0.5 x17464 - x27213 = 0 e72716: 0.5 b3065 + 0.5 x17465 - x27214 = 0 e72717: 0.5 b3066 + 0.5 x17466 - x27215 = 0 e72718: 0.5 b3067 + 0.5 x17467 - x27216 = 0 e72719: 0.5 b3068 + 0.5 x17468 - x27217 = 0 e72720: 0.5 b3069 + 0.5 x17469 - x27218 = 0 e72721: 0.5 b3070 + 0.5 x17470 - x27219 = 0 e72722: 0.5 b3071 + 0.5 x17471 - x27220 = 0 e72723: 0.5 b3072 + 0.5 x17472 - x27221 = 0 e72724: 0.5 b3073 + 0.5 x17473 - x27222 = 0 e72725: 0.5 b3074 + 0.5 x17474 - x27223 = 0 e72726: 0.5 b3075 + 0.5 x17475 - x27224 = 0 e72727: 0.5 b3076 + 0.5 x17476 - x27225 = 0 e72728: 0.5 b3077 + 0.5 x17477 - x27226 = 0 e72729: 0.5 b3078 + 0.5 x17478 - x27227 = 0 e72730: 0.5 b3079 + 0.5 x17479 - x27228 = 0 e72731: 0.5 b3080 + 0.5 x17480 - x27229 = 0 e72732: 0.5 b3081 + 0.5 x17481 - x27230 = 0 e72733: 0.5 b3082 + 0.5 x17482 - x27231 = 0 e72734: 0.5 b3083 + 0.5 x17483 - x27232 = 0 e72735: 0.5 b3084 + 0.5 x17484 - x27233 = 0 e72736: 0.5 b3085 + 0.5 x17485 - x27234 = 0 e72737: 0.5 b3086 + 0.5 x17486 - x27235 = 0 e72738: 0.5 b3087 + 0.5 x17487 - x27236 = 0 e72739: 0.5 b3088 + 0.5 x17488 - x27237 = 0 e72740: 0.5 b3089 + 0.5 x17489 - x27238 = 0 e72741: 0.5 b3090 + 0.5 x17490 - x27239 = 0 e72742: 0.5 b3091 + 0.5 x17491 - x27240 = 0 e72743: 0.5 b3092 + 0.5 x17492 - x27241 = 0 e72744: 0.5 b3093 + 0.5 x17493 - x27242 = 0 e72745: 0.5 b3094 + 0.5 x17494 - x27243 = 0 e72746: 0.5 b3095 + 0.5 x17495 - x27244 = 0 e72747: 0.5 b3096 + 0.5 x17496 - x27245 = 0 e72748: 0.5 b3097 + 0.5 x17497 - x27246 = 0 e72749: 0.5 b3098 + 0.5 x17498 - x27247 = 0 e72750: 0.5 b3099 + 0.5 x17499 - x27248 = 0 e72751: 0.5 b3100 + 0.5 x17500 - x27249 = 0 e72752: 0.5 b3101 + 0.5 x17501 - x27250 = 0 e72753: 0.5 b3102 + 0.5 x17502 - x27251 = 0 e72754: 0.5 b3103 + 0.5 x17503 - x27252 = 0 e72755: 0.5 b3104 + 0.5 x17504 - x27253 = 0 e72756: 0.5 b3105 + 0.5 x17505 - x27254 = 0 e72757: 0.5 b3106 + 0.5 x17506 - x27255 = 0 e72758: 0.5 b3107 + 0.5 x17507 - x27256 = 0 e72759: 0.5 b3108 + 0.5 x17508 - x27257 = 0 e72760: 0.5 b3109 + 0.5 x17509 - x27258 = 0 e72761: 0.5 b3110 + 0.5 x17510 - x27259 = 0 e72762: 0.5 b3111 + 0.5 x17511 - x27260 = 0 e72763: 0.5 b3112 + 0.5 x17512 - x27261 = 0 e72764: 0.5 b3113 + 0.5 x17513 - x27262 = 0 e72765: 0.5 b3114 + 0.5 x17514 - x27263 = 0 e72766: 0.5 b3115 + 0.5 x17515 - x27264 = 0 e72767: 0.5 b3116 + 0.5 x17516 - x27265 = 0 e72768: 0.5 b3117 + 0.5 x17517 - x27266 = 0 e72769: 0.5 b3118 + 0.5 x17518 - x27267 = 0 e72770: 0.5 b3119 + 0.5 x17519 - x27268 = 0 e72771: 0.5 b3120 + 0.5 x17520 - x27269 = 0 e72772: 0.5 b3121 + 0.5 x17521 - x27270 = 0 e72773: 0.5 b3122 + 0.5 x17522 - x27271 = 0 e72774: 0.5 b3123 + 0.5 x17523 - x27272 = 0 e72775: 0.5 b3124 + 0.5 x17524 - x27273 = 0 e72776: 0.5 b3125 + 0.5 x17525 - x27274 = 0 e72777: 0.5 b3126 + 0.5 x17526 - x27275 = 0 e72778: 0.5 b3127 + 0.5 x17527 - x27276 = 0 e72779: 0.5 b3128 + 0.5 x17528 - x27277 = 0 e72780: 0.5 b3129 + 0.5 x17529 - x27278 = 0 e72781: 0.5 b3130 + 0.5 x17530 - x27279 = 0 e72782: 0.5 b3131 + 0.5 x17531 - x27280 = 0 e72783: 0.5 b3132 + 0.5 x17532 - x27281 = 0 e72784: 0.5 b3133 + 0.5 x17533 - x27282 = 0 e72785: 0.5 b3134 + 0.5 x17534 - x27283 = 0 e72786: 0.5 b3135 + 0.5 x17535 - x27284 = 0 e72787: 0.5 b3136 + 0.5 x17536 - x27285 = 0 e72788: 0.5 b3137 + 0.5 x17537 - x27286 = 0 e72789: 0.5 b3138 + 0.5 x17538 - x27287 = 0 e72790: 0.5 b3139 + 0.5 x17539 - x27288 = 0 e72791: 0.5 b3140 + 0.5 x17540 - x27289 = 0 e72792: 0.5 b3141 + 0.5 x17541 - x27290 = 0 e72793: 0.5 b3142 + 0.5 x17542 - x27291 = 0 e72794: 0.5 b3143 + 0.5 x17543 - x27292 = 0 e72795: 0.5 b3144 + 0.5 x17544 - x27293 = 0 e72796: 0.5 b3145 + 0.5 x17545 - x27294 = 0 e72797: 0.5 b3146 + 0.5 x17546 - x27295 = 0 e72798: 0.5 b3147 + 0.5 x17547 - x27296 = 0 e72799: 0.5 b3148 + 0.5 x17548 - x27297 = 0 e72800: 0.5 b3149 + 0.5 x17549 - x27298 = 0 e72801: 0.5 b3150 + 0.5 x17550 - x27299 = 0 e72802: 0.5 b3151 + 0.5 x17551 - x27300 = 0 e72803: 0.5 b3152 + 0.5 x17552 - x27301 = 0 e72804: 0.5 b3153 + 0.5 x17553 - x27302 = 0 e72805: 0.5 b3154 + 0.5 x17554 - x27303 = 0 e72806: 0.5 b3155 + 0.5 x17555 - x27304 = 0 e72807: 0.5 b3156 + 0.5 x17556 - x27305 = 0 e72808: 0.5 b3157 + 0.5 x17557 - x27306 = 0 e72809: 0.5 b3158 + 0.5 x17558 - x27307 = 0 e72810: 0.5 b3159 + 0.5 x17559 - x27308 = 0 e72811: 0.5 b3160 + 0.5 x17560 - x27309 = 0 e72812: 0.5 b3161 + 0.5 x17561 - x27310 = 0 e72813: 0.5 b3162 + 0.5 x17562 - x27311 = 0 e72814: 0.5 b3163 + 0.5 x17563 - x27312 = 0 e72815: 0.5 b3164 + 0.5 x17564 - x27313 = 0 e72816: 0.5 b3165 + 0.5 x17565 - x27314 = 0 e72817: 0.5 b3166 + 0.5 x17566 - x27315 = 0 e72818: 0.5 b3167 + 0.5 x17567 - x27316 = 0 e72819: 0.5 b3168 + 0.5 x17568 - x27317 = 0 e72820: 0.5 b3169 + 0.5 x17569 - x27318 = 0 e72821: 0.5 b3170 + 0.5 x17570 - x27319 = 0 e72822: 0.5 b3171 + 0.5 x17571 - x27320 = 0 e72823: 0.5 b3172 + 0.5 x17572 - x27321 = 0 e72824: 0.5 b3173 + 0.5 x17573 - x27322 = 0 e72825: 0.5 b3174 + 0.5 x17574 - x27323 = 0 e72826: 0.5 b3175 + 0.5 x17575 - x27324 = 0 e72827: 0.5 b3176 + 0.5 x17576 - x27325 = 0 e72828: 0.5 b3177 + 0.5 x17577 - x27326 = 0 e72829: 0.5 b3178 + 0.5 x17578 - x27327 = 0 e72830: 0.5 b3179 + 0.5 x17579 - x27328 = 0 e72831: 0.5 b3180 + 0.5 x17580 - x27329 = 0 e72832: 0.5 b3181 + 0.5 x17581 - x27330 = 0 e72833: 0.5 b3182 + 0.5 x17582 - x27331 = 0 e72834: 0.5 b3183 + 0.5 x17583 - x27332 = 0 e72835: 0.5 b3184 + 0.5 x17584 - x27333 = 0 e72836: 0.5 b3185 + 0.5 x17585 - x27334 = 0 e72837: 0.5 b3186 + 0.5 x17586 - x27335 = 0 e72838: 0.5 b3187 + 0.5 x17587 - x27336 = 0 e72839: 0.5 b3188 + 0.5 x17588 - x27337 = 0 e72840: 0.5 b3189 + 0.5 x17589 - x27338 = 0 e72841: 0.5 b3190 + 0.5 x17590 - x27339 = 0 e72842: 0.5 b3191 + 0.5 x17591 - x27340 = 0 e72843: 0.5 b3192 + 0.5 x17592 - x27341 = 0 e72844: 0.5 b3193 + 0.5 x17593 - x27342 = 0 e72845: 0.5 b3194 + 0.5 x17594 - x27343 = 0 e72846: 0.5 b3195 + 0.5 x17595 - x27344 = 0 e72847: 0.5 b3196 + 0.5 x17596 - x27345 = 0 e72848: 0.5 b3197 + 0.5 x17597 - x27346 = 0 e72849: 0.5 b3198 + 0.5 x17598 - x27347 = 0 e72850: 0.5 b3199 + 0.5 x17599 - x27348 = 0 e72851: 0.5 b3200 + 0.5 x17600 - x27349 = 0 e72852: 0.5 b3201 + 0.5 x17601 - x27350 = 0 e72853: 0.5 b3202 + 0.5 x17602 - x27351 = 0 e72854: 0.5 b3203 + 0.5 x17603 - x27352 = 0 e72855: 0.5 b3204 + 0.5 x17604 - x27353 = 0 e72856: 0.5 b3205 + 0.5 x17605 - x27354 = 0 e72857: 0.5 b3206 + 0.5 x17606 - x27355 = 0 e72858: 0.5 b3207 + 0.5 x17607 - x27356 = 0 e72859: 0.5 b3208 + 0.5 x17608 - x27357 = 0 e72860: 0.5 b3209 + 0.5 x17609 - x27358 = 0 e72861: 0.5 b3210 + 0.5 x17610 - x27359 = 0 e72862: 0.5 b3211 + 0.5 x17611 - x27360 = 0 e72863: 0.5 b3212 + 0.5 x17612 - x27361 = 0 e72864: 0.5 b3213 + 0.5 x17613 - x27362 = 0 e72865: 0.5 b3214 + 0.5 x17614 - x27363 = 0 e72866: 0.5 b3215 + 0.5 x17615 - x27364 = 0 e72867: 0.5 b3216 + 0.5 x17616 - x27365 = 0 e72868: 0.5 b3217 + 0.5 x17617 - x27366 = 0 e72869: 0.5 b3218 + 0.5 x17618 - x27367 = 0 e72870: 0.5 b3219 + 0.5 x17619 - x27368 = 0 e72871: 0.5 b3220 + 0.5 x17620 - x27369 = 0 e72872: 0.5 b3221 + 0.5 x17621 - x27370 = 0 e72873: 0.5 b3222 + 0.5 x17622 - x27371 = 0 e72874: 0.5 b3223 + 0.5 x17623 - x27372 = 0 e72875: 0.5 b3224 + 0.5 x17624 - x27373 = 0 e72876: 0.5 b3225 + 0.5 x17625 - x27374 = 0 e72877: 0.5 b3226 + 0.5 x17626 - x27375 = 0 e72878: 0.5 b3227 + 0.5 x17627 - x27376 = 0 e72879: 0.5 b3228 + 0.5 x17628 - x27377 = 0 e72880: 0.5 b3229 + 0.5 x17629 - x27378 = 0 e72881: 0.5 b3230 + 0.5 x17630 - x27379 = 0 e72882: 0.5 b3231 + 0.5 x17631 - x27380 = 0 e72883: 0.5 b3232 + 0.5 x17632 - x27381 = 0 e72884: 0.5 b3233 + 0.5 x17633 - x27382 = 0 e72885: 0.5 b3234 + 0.5 x17634 - x27383 = 0 e72886: 0.5 b3235 + 0.5 x17635 - x27384 = 0 e72887: 0.5 b3236 + 0.5 x17636 - x27385 = 0 e72888: 0.5 b3237 + 0.5 x17637 - x27386 = 0 e72889: 0.5 b3238 + 0.5 x17638 - x27387 = 0 e72890: 0.5 b3239 + 0.5 x17639 - x27388 = 0 e72891: 0.5 b3240 + 0.5 x17640 - x27389 = 0 e72892: 0.5 b3241 + 0.5 x17641 - x27390 = 0 e72893: 0.5 b3242 + 0.5 x17642 - x27391 = 0 e72894: 0.5 b3243 + 0.5 x17643 - x27392 = 0 e72895: 0.5 b3244 + 0.5 x17644 - x27393 = 0 e72896: 0.5 b3245 + 0.5 x17645 - x27394 = 0 e72897: 0.5 b3246 + 0.5 x17646 - x27395 = 0 e72898: 0.5 b3247 + 0.5 x17647 - x27396 = 0 e72899: 0.5 b3248 + 0.5 x17648 - x27397 = 0 e72900: 0.5 b3249 + 0.5 x17649 - x27398 = 0 e72901: 0.5 b3250 + 0.5 x17650 - x27399 = 0 e72902: 0.5 b3251 + 0.5 x17651 - x27400 = 0 e72903: 0.5 b3252 + 0.5 x17652 - x27401 = 0 e72904: 0.5 b3253 + 0.5 x17653 - x27402 = 0 e72905: 0.5 b3254 + 0.5 x17654 - x27403 = 0 e72906: 0.5 b3255 + 0.5 x17655 - x27404 = 0 e72907: 0.5 b3256 + 0.5 x17656 - x27405 = 0 e72908: 0.5 b3257 + 0.5 x17657 - x27406 = 0 e72909: 0.5 b3258 + 0.5 x17658 - x27407 = 0 e72910: 0.5 b3259 + 0.5 x17659 - x27408 = 0 e72911: 0.5 b3260 + 0.5 x17660 - x27409 = 0 e72912: 0.5 b3261 + 0.5 x17661 - x27410 = 0 e72913: 0.5 b3262 + 0.5 x17662 - x27411 = 0 e72914: 0.5 b3263 + 0.5 x17663 - x27412 = 0 e72915: 0.5 b3264 + 0.5 x17664 - x27413 = 0 e72916: 0.5 b3265 + 0.5 x17665 - x27414 = 0 e72917: 0.5 b3266 + 0.5 x17666 - x27415 = 0 e72918: 0.5 b3267 + 0.5 x17667 - x27416 = 0 e72919: 0.5 b3268 + 0.5 x17668 - x27417 = 0 e72920: 0.5 b3269 + 0.5 x17669 - x27418 = 0 e72921: 0.5 b3270 + 0.5 x17670 - x27419 = 0 e72922: 0.5 b3271 + 0.5 x17671 - x27420 = 0 e72923: 0.5 b3272 + 0.5 x17672 - x27421 = 0 e72924: 0.5 b3273 + 0.5 x17673 - x27422 = 0 e72925: 0.5 b3274 + 0.5 x17674 - x27423 = 0 e72926: 0.5 b3275 + 0.5 x17675 - x27424 = 0 e72927: 0.5 b3276 + 0.5 x17676 - x27425 = 0 e72928: 0.5 b3277 + 0.5 x17677 - x27426 = 0 e72929: 0.5 b3278 + 0.5 x17678 - x27427 = 0 e72930: 0.5 b3279 + 0.5 x17679 - x27428 = 0 e72931: 0.5 b3280 + 0.5 x17680 - x27429 = 0 e72932: 0.5 b3281 + 0.5 x17681 - x27430 = 0 e72933: 0.5 b3282 + 0.5 x17682 - x27431 = 0 e72934: 0.5 b3283 + 0.5 x17683 - x27432 = 0 e72935: 0.5 b3284 + 0.5 x17684 - x27433 = 0 e72936: 0.5 b3285 + 0.5 x17685 - x27434 = 0 e72937: 0.5 b3286 + 0.5 x17686 - x27435 = 0 e72938: 0.5 b3287 + 0.5 x17687 - x27436 = 0 e72939: 0.5 b3288 + 0.5 x17688 - x27437 = 0 e72940: 0.5 b3289 + 0.5 x17689 - x27438 = 0 e72941: 0.5 b3290 + 0.5 x17690 - x27439 = 0 e72942: 0.5 b3291 + 0.5 x17691 - x27440 = 0 e72943: 0.5 b3292 + 0.5 x17692 - x27441 = 0 e72944: 0.5 b3293 + 0.5 x17693 - x27442 = 0 e72945: 0.5 b3294 + 0.5 x17694 - x27443 = 0 e72946: 0.5 b3295 + 0.5 x17695 - x27444 = 0 e72947: 0.5 b3296 + 0.5 x17696 - x27445 = 0 e72948: 0.5 b3297 + 0.5 x17697 - x27446 = 0 e72949: 0.5 b3298 + 0.5 x17698 - x27447 = 0 e72950: 0.5 b3299 + 0.5 x17699 - x27448 = 0 e72951: 0.5 b3300 + 0.5 x17700 - x27449 = 0 e72952: 0.5 b3301 + 0.5 x17701 - x27450 = 0 e72953: 0.5 b3302 + 0.5 x17702 - x27451 = 0 e72954: 0.5 b3303 + 0.5 x17703 - x27452 = 0 e72955: 0.5 b3304 + 0.5 x17704 - x27453 = 0 e72956: 0.5 b3305 + 0.5 x17705 - x27454 = 0 e72957: 0.5 b3306 + 0.5 x17706 - x27455 = 0 e72958: 0.5 b3307 + 0.5 x17707 - x27456 = 0 e72959: 0.5 b3308 + 0.5 x17708 - x27457 = 0 e72960: 0.5 b3309 + 0.5 x17709 - x27458 = 0 e72961: 0.5 b3310 + 0.5 x17710 - x27459 = 0 e72962: 0.5 b3311 + 0.5 x17711 - x27460 = 0 e72963: 0.5 b3312 + 0.5 x17712 - x27461 = 0 e72964: 0.5 b3313 + 0.5 x17713 - x27462 = 0 e72965: 0.5 b3314 + 0.5 x17714 - x27463 = 0 e72966: 0.5 b3315 + 0.5 x17715 - x27464 = 0 e72967: 0.5 b3316 + 0.5 x17716 - x27465 = 0 e72968: 0.5 b3317 + 0.5 x17717 - x27466 = 0 e72969: 0.5 b3318 + 0.5 x17718 - x27467 = 0 e72970: 0.5 b3319 + 0.5 x17719 - x27468 = 0 e72971: 0.5 b3320 + 0.5 x17720 - x27469 = 0 e72972: 0.5 b3321 + 0.5 x17721 - x27470 = 0 e72973: 0.5 b3322 + 0.5 x17722 - x27471 = 0 e72974: 0.5 b3323 + 0.5 x17723 - x27472 = 0 e72975: 0.5 b3324 + 0.5 x17724 - x27473 = 0 e72976: 0.5 b3325 + 0.5 x17725 - x27474 = 0 e72977: 0.5 b3326 + 0.5 x17726 - x27475 = 0 e72978: 0.5 b3327 + 0.5 x17727 - x27476 = 0 e72979: 0.5 b3328 + 0.5 x17728 - x27477 = 0 e72980: 0.5 b3329 + 0.5 x17729 - x27478 = 0 e72981: 0.5 b3330 + 0.5 x17730 - x27479 = 0 e72982: 0.5 b3331 + 0.5 x17731 - x27480 = 0 e72983: 0.5 b3332 + 0.5 x17732 - x27481 = 0 e72984: 0.5 b3333 + 0.5 x17733 - x27482 = 0 e72985: 0.5 b3334 + 0.5 x17734 - x27483 = 0 e72986: 0.5 b3335 + 0.5 x17735 - x27484 = 0 e72987: 0.5 b3336 + 0.5 x17736 - x27485 = 0 e72988: 0.5 b3337 + 0.5 x17737 - x27486 = 0 e72989: 0.5 b3338 + 0.5 x17738 - x27487 = 0 e72990: 0.5 b3339 + 0.5 x17739 - x27488 = 0 e72991: 0.5 b3340 + 0.5 x17740 - x27489 = 0 e72992: 0.5 b3341 + 0.5 x17741 - x27490 = 0 e72993: 0.5 b3342 + 0.5 x17742 - x27491 = 0 e72994: 0.5 b3343 + 0.5 x17743 - x27492 = 0 e72995: 0.5 b3344 + 0.5 x17744 - x27493 = 0 e72996: 0.5 b3345 + 0.5 x17745 - x27494 = 0 e72997: 0.5 b3346 + 0.5 x17746 - x27495 = 0 e72998: 0.5 b3347 + 0.5 x17747 - x27496 = 0 e72999: 0.5 b3348 + 0.5 x17748 - x27497 = 0 e73000: 0.5 b3349 + 0.5 x17749 - x27498 = 0 e73001: 0.5 b3350 + 0.5 x17750 - x27499 = 0 e73002: 0.5 b3351 + 0.5 x17751 - x27500 = 0 e73003: 0.5 b3352 + 0.5 x17752 - x27501 = 0 e73004: 0.5 b3353 + 0.5 x17753 - x27502 = 0 e73005: 0.5 b3354 + 0.5 x17754 - x27503 = 0 e73006: 0.5 b3355 + 0.5 x17755 - x27504 = 0 e73007: 0.5 b3356 + 0.5 x17756 - x27505 = 0 e73008: 0.5 b3357 + 0.5 x17757 - x27506 = 0 e73009: 0.5 b3358 + 0.5 x17758 - x27507 = 0 e73010: 0.5 b3359 + 0.5 x17759 - x27508 = 0 e73011: 0.5 b3360 + 0.5 x17760 - x27509 = 0 e73012: 0.5 b3361 + 0.5 x17761 - x27510 = 0 e73013: 0.5 b3362 + 0.5 x17762 - x27511 = 0 e73014: 0.5 b3363 + 0.5 x17763 - x27512 = 0 e73015: 0.5 b3364 + 0.5 x17764 - x27513 = 0 e73016: 0.5 b3365 + 0.5 x17765 - x27514 = 0 e73017: 0.5 b3366 + 0.5 x17766 - x27515 = 0 e73018: 0.5 b3367 + 0.5 x17767 - x27516 = 0 e73019: 0.5 b3368 + 0.5 x17768 - x27517 = 0 e73020: 0.5 b3369 + 0.5 x17769 - x27518 = 0 e73021: 0.5 b3370 + 0.5 x17770 - x27519 = 0 e73022: 0.5 b3371 + 0.5 x17771 - x27520 = 0 e73023: 0.5 b3372 + 0.5 x17772 - x27521 = 0 e73024: 0.5 b3373 + 0.5 x17773 - x27522 = 0 e73025: 0.5 b3374 + 0.5 x17774 - x27523 = 0 e73026: 0.5 b3375 + 0.5 x17775 - x27524 = 0 e73027: 0.5 b3376 + 0.5 x17776 - x27525 = 0 e73028: 0.5 b3377 + 0.5 x17777 - x27526 = 0 e73029: 0.5 b3378 + 0.5 x17778 - x27527 = 0 e73030: 0.5 b3379 + 0.5 x17779 - x27528 = 0 e73031: 0.5 b3380 + 0.5 x17780 - x27529 = 0 e73032: 0.5 b3381 + 0.5 x17781 - x27530 = 0 e73033: 0.5 b3382 + 0.5 x17782 - x27531 = 0 e73034: 0.5 b3383 + 0.5 x17783 - x27532 = 0 e73035: 0.5 b3384 + 0.5 x17784 - x27533 = 0 e73036: 0.5 b3385 + 0.5 x17785 - x27534 = 0 e73037: 0.5 b3386 + 0.5 x17786 - x27535 = 0 e73038: 0.5 b3387 + 0.5 x17787 - x27536 = 0 e73039: 0.5 b3388 + 0.5 x17788 - x27537 = 0 e73040: 0.5 b3389 + 0.5 x17789 - x27538 = 0 e73041: 0.5 b3390 + 0.5 x17790 - x27539 = 0 e73042: 0.5 b3391 + 0.5 x17791 - x27540 = 0 e73043: 0.5 b3392 + 0.5 x17792 - x27541 = 0 e73044: 0.5 b3393 + 0.5 x17793 - x27542 = 0 e73045: 0.5 b3394 + 0.5 x17794 - x27543 = 0 e73046: 0.5 b3395 + 0.5 x17795 - x27544 = 0 e73047: 0.5 b3396 + 0.5 x17796 - x27545 = 0 e73048: 0.5 b3397 + 0.5 x17797 - x27546 = 0 e73049: 0.5 b3398 + 0.5 x17798 - x27547 = 0 e73050: 0.5 b3399 + 0.5 x17799 - x27548 = 0 e73051: 0.5 b3400 + 0.5 x17800 - x27549 = 0 e73052: 0.5 b3401 + 0.5 x17801 - x27550 = 0 e73053: 0.5 b3402 + 0.5 x17802 - x27551 = 0 e73054: 0.5 b3403 + 0.5 x17803 - x27552 = 0 e73055: 0.5 b3404 + 0.5 x17804 - x27553 = 0 e73056: 0.5 b3405 + 0.5 x17805 - x27554 = 0 e73057: 0.5 b3406 + 0.5 x17806 - x27555 = 0 e73058: 0.5 b3407 + 0.5 x17807 - x27556 = 0 e73059: 0.5 b3408 + 0.5 x17808 - x27557 = 0 e73060: 0.5 b3409 + 0.5 x17809 - x27558 = 0 e73061: 0.5 b3410 + 0.5 x17810 - x27559 = 0 e73062: 0.5 b3411 + 0.5 x17811 - x27560 = 0 e73063: 0.5 b3412 + 0.5 x17812 - x27561 = 0 e73064: 0.5 b3413 + 0.5 x17813 - x27562 = 0 e73065: 0.5 b3414 + 0.5 x17814 - x27563 = 0 e73066: 0.5 b3415 + 0.5 x17815 - x27564 = 0 e73067: 0.5 b3416 + 0.5 x17816 - x27565 = 0 e73068: 0.5 b3417 + 0.5 x17817 - x27566 = 0 e73069: 0.5 b3418 + 0.5 x17818 - x27567 = 0 e73070: 0.5 b3419 + 0.5 x17819 - x27568 = 0 e73071: 0.5 b3420 + 0.5 x17820 - x27569 = 0 e73072: 0.5 b3421 + 0.5 x17821 - x27570 = 0 e73073: 0.5 b3422 + 0.5 x17822 - x27571 = 0 e73074: 0.5 b3423 + 0.5 x17823 - x27572 = 0 e73075: 0.5 b3424 + 0.5 x17824 - x27573 = 0 e73076: 0.5 b3425 + 0.5 x17825 - x27574 = 0 e73077: 0.5 b3426 + 0.5 x17826 - x27575 = 0 e73078: 0.5 b3427 + 0.5 x17827 - x27576 = 0 e73079: 0.5 b3428 + 0.5 x17828 - x27577 = 0 e73080: 0.5 b3429 + 0.5 x17829 - x27578 = 0 e73081: 0.5 b3430 + 0.5 x17830 - x27579 = 0 e73082: 0.5 b3431 + 0.5 x17831 - x27580 = 0 e73083: 0.5 b3432 + 0.5 x17832 - x27581 = 0 e73084: 0.5 b3433 + 0.5 x17833 - x27582 = 0 e73085: 0.5 b3434 + 0.5 x17834 - x27583 = 0 e73086: 0.5 b3435 + 0.5 x17835 - x27584 = 0 e73087: 0.5 b3436 + 0.5 x17836 - x27585 = 0 e73088: 0.5 b3437 + 0.5 x17837 - x27586 = 0 e73089: 0.5 b3438 + 0.5 x17838 - x27587 = 0 e73090: 0.5 b3439 + 0.5 x17839 - x27588 = 0 e73091: 0.5 b3440 + 0.5 x17840 - x27589 = 0 e73092: 0.5 b3441 + 0.5 x17841 - x27590 = 0 e73093: 0.5 b3442 + 0.5 x17842 - x27591 = 0 e73094: 0.5 b3443 + 0.5 x17843 - x27592 = 0 e73095: 0.5 b3444 + 0.5 x17844 - x27593 = 0 e73096: 0.5 b3445 + 0.5 x17845 - x27594 = 0 e73097: 0.5 b3446 + 0.5 x17846 - x27595 = 0 e73098: 0.5 b3447 + 0.5 x17847 - x27596 = 0 e73099: 0.5 b3448 + 0.5 x17848 - x27597 = 0 e73100: 0.5 b3449 + 0.5 x17849 - x27598 = 0 e73101: 0.5 b3450 + 0.5 x17850 - x27599 = 0 e73102: 0.5 b3451 + 0.5 x17851 - x27600 = 0 e73103: 0.5 b3452 + 0.5 x17852 - x27601 = 0 e73104: 0.5 b3453 + 0.5 x17853 - x27602 = 0 e73105: 0.5 b3454 + 0.5 x17854 - x27603 = 0 e73106: 0.5 b3455 + 0.5 x17855 - x27604 = 0 e73107: 0.5 b3456 + 0.5 x17856 - x27605 = 0 e73108: 0.5 b3457 + 0.5 x17857 - x27606 = 0 e73109: 0.5 b3458 + 0.5 x17858 - x27607 = 0 e73110: 0.5 b3459 + 0.5 x17859 - x27608 = 0 e73111: 0.5 b3460 + 0.5 x17860 - x27609 = 0 e73112: 0.5 b3461 + 0.5 x17861 - x27610 = 0 e73113: 0.5 b3462 + 0.5 x17862 - x27611 = 0 e73114: 0.5 b3463 + 0.5 x17863 - x27612 = 0 e73115: 0.5 b3464 + 0.5 x17864 - x27613 = 0 e73116: 0.5 b3465 + 0.5 x17865 - x27614 = 0 e73117: 0.5 b3466 + 0.5 x17866 - x27615 = 0 e73118: 0.5 b3467 + 0.5 x17867 - x27616 = 0 e73119: 0.5 b3468 + 0.5 x17868 - x27617 = 0 e73120: 0.5 b3469 + 0.5 x17869 - x27618 = 0 e73121: 0.5 b3470 + 0.5 x17870 - x27619 = 0 e73122: 0.5 b3471 + 0.5 x17871 - x27620 = 0 e73123: 0.5 b3472 + 0.5 x17872 - x27621 = 0 e73124: 0.5 b3473 + 0.5 x17873 - x27622 = 0 e73125: 0.5 b3474 + 0.5 x17874 - x27623 = 0 e73126: 0.5 b3475 + 0.5 x17875 - x27624 = 0 e73127: 0.5 b3476 + 0.5 x17876 - x27625 = 0 e73128: 0.5 b3477 + 0.5 x17877 - x27626 = 0 e73129: 0.5 b3478 + 0.5 x17878 - x27627 = 0 e73130: 0.5 b3479 + 0.5 x17879 - x27628 = 0 e73131: 0.5 b3480 + 0.5 x17880 - x27629 = 0 e73132: 0.5 b3481 + 0.5 x17881 - x27630 = 0 e73133: 0.5 b3482 + 0.5 x17882 - x27631 = 0 e73134: 0.5 b3483 + 0.5 x17883 - x27632 = 0 e73135: 0.5 b3484 + 0.5 x17884 - x27633 = 0 e73136: 0.5 b3485 + 0.5 x17885 - x27634 = 0 e73137: 0.5 b3486 + 0.5 x17886 - x27635 = 0 e73138: 0.5 b3487 + 0.5 x17887 - x27636 = 0 e73139: 0.5 b3488 + 0.5 x17888 - x27637 = 0 e73140: 0.5 b3489 + 0.5 x17889 - x27638 = 0 e73141: 0.5 b3490 + 0.5 x17890 - x27639 = 0 e73142: 0.5 b3491 + 0.5 x17891 - x27640 = 0 e73143: 0.5 b3492 + 0.5 x17892 - x27641 = 0 e73144: 0.5 b3493 + 0.5 x17893 - x27642 = 0 e73145: 0.5 b3494 + 0.5 x17894 - x27643 = 0 e73146: 0.5 b3495 + 0.5 x17895 - x27644 = 0 e73147: 0.5 b3496 + 0.5 x17896 - x27645 = 0 e73148: 0.5 b3497 + 0.5 x17897 - x27646 = 0 e73149: 0.5 b3498 + 0.5 x17898 - x27647 = 0 e73150: 0.5 b3499 + 0.5 x17899 - x27648 = 0 e73151: 0.5 b3500 + 0.5 x17900 - x27649 = 0 e73152: 0.5 b3501 + 0.5 x17901 - x27650 = 0 e73153: 0.5 b3502 + 0.5 x17902 - x27651 = 0 e73154: 0.5 b3503 + 0.5 x17903 - x27652 = 0 e73155: 0.5 b3504 + 0.5 x17904 - x27653 = 0 e73156: 0.5 b3505 + 0.5 x17905 - x27654 = 0 e73157: 0.5 b3506 + 0.5 x17906 - x27655 = 0 e73158: 0.5 b3507 + 0.5 x17907 - x27656 = 0 e73159: 0.5 b3508 + 0.5 x17908 - x27657 = 0 e73160: 0.5 b3509 + 0.5 x17909 - x27658 = 0 e73161: 0.5 b3510 + 0.5 x17910 - x27659 = 0 e73162: 0.5 b3511 + 0.5 x17911 - x27660 = 0 e73163: 0.5 b3512 + 0.5 x17912 - x27661 = 0 e73164: 0.5 b3513 + 0.5 x17913 - x27662 = 0 e73165: 0.5 b3514 + 0.5 x17914 - x27663 = 0 e73166: 0.5 b3515 + 0.5 x17915 - x27664 = 0 e73167: 0.5 b3516 + 0.5 x17916 - x27665 = 0 e73168: 0.5 b3517 + 0.5 x17917 - x27666 = 0 e73169: 0.5 b3518 + 0.5 x17918 - x27667 = 0 e73170: 0.5 b3519 + 0.5 x17919 - x27668 = 0 e73171: 0.5 b3520 + 0.5 x17920 - x27669 = 0 e73172: 0.5 b3521 + 0.5 x17921 - x27670 = 0 e73173: 0.5 b3522 + 0.5 x17922 - x27671 = 0 e73174: 0.5 b3523 + 0.5 x17923 - x27672 = 0 e73175: 0.5 b3524 + 0.5 x17924 - x27673 = 0 e73176: 0.5 b3525 + 0.5 x17925 - x27674 = 0 e73177: 0.5 b3526 + 0.5 x17926 - x27675 = 0 e73178: 0.5 b3527 + 0.5 x17927 - x27676 = 0 e73179: 0.5 b3528 + 0.5 x17928 - x27677 = 0 e73180: 0.5 b3529 + 0.5 x17929 - x27678 = 0 e73181: 0.5 b3530 + 0.5 x17930 - x27679 = 0 e73182: 0.5 b3531 + 0.5 x17931 - x27680 = 0 e73183: 0.5 b3532 + 0.5 x17932 - x27681 = 0 e73184: 0.5 b3533 + 0.5 x17933 - x27682 = 0 e73185: 0.5 b3534 + 0.5 x17934 - x27683 = 0 e73186: 0.5 b3535 + 0.5 x17935 - x27684 = 0 e73187: 0.5 b3536 + 0.5 x17936 - x27685 = 0 e73188: 0.5 b3537 + 0.5 x17937 - x27686 = 0 e73189: 0.5 b3538 + 0.5 x17938 - x27687 = 0 e73190: 0.5 b3539 + 0.5 x17939 - x27688 = 0 e73191: 0.5 b3540 + 0.5 x17940 - x27689 = 0 e73192: 0.5 b3541 + 0.5 x17941 - x27690 = 0 e73193: 0.5 b3542 + 0.5 x17942 - x27691 = 0 e73194: 0.5 b3543 + 0.5 x17943 - x27692 = 0 e73195: 0.5 b3544 + 0.5 x17944 - x27693 = 0 e73196: 0.5 b3545 + 0.5 x17945 - x27694 = 0 e73197: 0.5 b3546 + 0.5 x17946 - x27695 = 0 e73198: 0.5 b3547 + 0.5 x17947 - x27696 = 0 e73199: 0.5 b3548 + 0.5 x17948 - x27697 = 0 e73200: 0.5 b3549 + 0.5 x17949 - x27698 = 0 e73201: 0.5 b3550 + 0.5 x17950 - x27699 = 0 e73202: 0.5 b3551 + 0.5 x17951 - x27700 = 0 e73203: 0.5 b3552 + 0.5 x17952 - x27701 = 0 e73204: 0.5 b3553 + 0.5 x17953 - x27702 = 0 e73205: 0.5 b3554 + 0.5 x17954 - x27703 = 0 e73206: 0.5 b3555 + 0.5 x17955 - x27704 = 0 e73207: 0.5 b3556 + 0.5 x17956 - x27705 = 0 e73208: 0.5 b3557 + 0.5 x17957 - x27706 = 0 e73209: 0.5 b3558 + 0.5 x17958 - x27707 = 0 e73210: 0.5 b3559 + 0.5 x17959 - x27708 = 0 e73211: 0.5 b3560 + 0.5 x17960 - x27709 = 0 e73212: 0.5 b3561 + 0.5 x17961 - x27710 = 0 e73213: 0.5 b3562 + 0.5 x17962 - x27711 = 0 e73214: 0.5 b3563 + 0.5 x17963 - x27712 = 0 e73215: 0.5 b3564 + 0.5 x17964 - x27713 = 0 e73216: 0.5 b3565 + 0.5 x17965 - x27714 = 0 e73217: 0.5 b3566 + 0.5 x17966 - x27715 = 0 e73218: 0.5 b3567 + 0.5 x17967 - x27716 = 0 e73219: 0.5 b3568 + 0.5 x17968 - x27717 = 0 e73220: 0.5 b3569 + 0.5 x17969 - x27718 = 0 e73221: 0.5 b3570 + 0.5 x17970 - x27719 = 0 e73222: 0.5 b3571 + 0.5 x17971 - x27720 = 0 e73223: 0.5 b3572 + 0.5 x17972 - x27721 = 0 e73224: 0.5 b3573 + 0.5 x17973 - x27722 = 0 e73225: 0.5 b3574 + 0.5 x17974 - x27723 = 0 e73226: 0.5 b3575 + 0.5 x17975 - x27724 = 0 e73227: 0.5 b3576 + 0.5 x17976 - x27725 = 0 e73228: 0.5 b3577 + 0.5 x17977 - x27726 = 0 e73229: 0.5 b3578 + 0.5 x17978 - x27727 = 0 e73230: 0.5 b3579 + 0.5 x17979 - x27728 = 0 e73231: 0.5 b3580 + 0.5 x17980 - x27729 = 0 e73232: 0.5 b3581 + 0.5 x17981 - x27730 = 0 e73233: 0.5 b3582 + 0.5 x17982 - x27731 = 0 e73234: 0.5 b3583 + 0.5 x17983 - x27732 = 0 e73235: 0.5 b3584 + 0.5 x17984 - x27733 = 0 e73236: 0.5 b3585 + 0.5 x17985 - x27734 = 0 e73237: 0.5 b3586 + 0.5 x17986 - x27735 = 0 e73238: 0.5 b3587 + 0.5 x17987 - x27736 = 0 e73239: 0.5 b3588 + 0.5 x17988 - x27737 = 0 e73240: 0.5 b3589 + 0.5 x17989 - x27738 = 0 e73241: 0.5 b3590 + 0.5 x17990 - x27739 = 0 e73242: 0.5 b3591 + 0.5 x17991 - x27740 = 0 e73243: 0.5 b3592 + 0.5 x17992 - x27741 = 0 e73244: 0.5 b3593 + 0.5 x17993 - x27742 = 0 e73245: 0.5 b3594 + 0.5 x17994 - x27743 = 0 e73246: 0.5 b3595 + 0.5 x17995 - x27744 = 0 e73247: 0.5 b3596 + 0.5 x17996 - x27745 = 0 e73248: 0.5 b3597 + 0.5 x17997 - x27746 = 0 e73249: 0.5 b3598 + 0.5 x17998 - x27747 = 0 e73250: 0.5 b3599 + 0.5 x17999 - x27748 = 0 e73251: 0.5 b3600 + 0.5 x18000 - x27749 = 0 e73252: 0.5 b3601 + 0.5 x18001 - x27750 = 0 e73253: 0.5 b3602 + 0.5 x18002 - x27751 = 0 e73254: 0.5 b3603 + 0.5 x18003 - x27752 = 0 e73255: 0.5 b3604 + 0.5 x18004 - x27753 = 0 e73256: 0.5 b3605 + 0.5 x18005 - x27754 = 0 e73257: 0.5 b3606 + 0.5 x18006 - x27755 = 0 e73258: 0.5 b3607 + 0.5 x18007 - x27756 = 0 e73259: 0.5 b3608 + 0.5 x18008 - x27757 = 0 e73260: 0.5 b3609 + 0.5 x18009 - x27758 = 0 e73261: 0.5 b3610 + 0.5 x18010 - x27759 = 0 e73262: 0.5 b3611 + 0.5 x18011 - x27760 = 0 e73263: 0.5 b3612 + 0.5 x18012 - x27761 = 0 e73264: 0.5 b3613 + 0.5 x18013 - x27762 = 0 e73265: 0.5 b3614 + 0.5 x18014 - x27763 = 0 e73266: 0.5 b3615 + 0.5 x18015 - x27764 = 0 e73267: 0.5 b3616 + 0.5 x18016 - x27765 = 0 e73268: 0.5 b3617 + 0.5 x18017 - x27766 = 0 e73269: 0.5 b3618 + 0.5 x18018 - x27767 = 0 e73270: 0.5 b3619 + 0.5 x18019 - x27768 = 0 e73271: 0.5 b3620 + 0.5 x18020 - x27769 = 0 e73272: 0.5 b3621 + 0.5 x18021 - x27770 = 0 e73273: 0.5 b3622 + 0.5 x18022 - x27771 = 0 e73274: 0.5 b3623 + 0.5 x18023 - x27772 = 0 e73275: 0.5 b3624 + 0.5 x18024 - x27773 = 0 e73276: 0.5 b3625 + 0.5 x18025 - x27774 = 0 e73277: 0.5 b3626 + 0.5 x18026 - x27775 = 0 e73278: 0.5 b3627 + 0.5 x18027 - x27776 = 0 e73279: 0.5 b3628 + 0.5 x18028 - x27777 = 0 e73280: 0.5 b3629 + 0.5 x18029 - x27778 = 0 e73281: 0.5 b3630 + 0.5 x18030 - x27779 = 0 e73282: 0.5 b3631 + 0.5 x18031 - x27780 = 0 e73283: 0.5 b3632 + 0.5 x18032 - x27781 = 0 e73284: 0.5 b3633 + 0.5 x18033 - x27782 = 0 e73285: 0.5 b3634 + 0.5 x18034 - x27783 = 0 e73286: 0.5 b3635 + 0.5 x18035 - x27784 = 0 e73287: 0.5 b3636 + 0.5 x18036 - x27785 = 0 e73288: 0.5 b3637 + 0.5 x18037 - x27786 = 0 e73289: 0.5 b3638 + 0.5 x18038 - x27787 = 0 e73290: 0.5 b3639 + 0.5 x18039 - x27788 = 0 e73291: 0.5 b3640 + 0.5 x18040 - x27789 = 0 e73292: 0.5 b3641 + 0.5 x18041 - x27790 = 0 e73293: 0.5 b3642 + 0.5 x18042 - x27791 = 0 e73294: 0.5 b3643 + 0.5 x18043 - x27792 = 0 e73295: 0.5 b3644 + 0.5 x18044 - x27793 = 0 e73296: 0.5 b3645 + 0.5 x18045 - x27794 = 0 e73297: 0.5 b3646 + 0.5 x18046 - x27795 = 0 e73298: 0.5 b3647 + 0.5 x18047 - x27796 = 0 e73299: 0.5 b3648 + 0.5 x18048 - x27797 = 0 e73300: 0.5 b3649 + 0.5 x18049 - x27798 = 0 e73301: 0.5 b3650 + 0.5 x18050 - x27799 = 0 e73302: 0.5 b3651 + 0.5 x18051 - x27800 = 0 e73303: 0.5 b3652 + 0.5 x18052 - x27801 = 0 e73304: 0.5 b3653 + 0.5 x18053 - x27802 = 0 e73305: 0.5 b3654 + 0.5 x18054 - x27803 = 0 e73306: 0.5 b3655 + 0.5 x18055 - x27804 = 0 e73307: 0.5 b3656 + 0.5 x18056 - x27805 = 0 e73308: 0.5 b3657 + 0.5 x18057 - x27806 = 0 e73309: 0.5 b3658 + 0.5 x18058 - x27807 = 0 e73310: 0.5 b3659 + 0.5 x18059 - x27808 = 0 e73311: 0.5 b3660 + 0.5 x18060 - x27809 = 0 e73312: 0.5 b3661 + 0.5 x18061 - x27810 = 0 e73313: 0.5 b3662 + 0.5 x18062 - x27811 = 0 e73314: 0.5 b3663 + 0.5 x18063 - x27812 = 0 e73315: 0.5 b3664 + 0.5 x18064 - x27813 = 0 e73316: 0.5 b3665 + 0.5 x18065 - x27814 = 0 e73317: 0.5 b3666 + 0.5 x18066 - x27815 = 0 e73318: 0.5 b3667 + 0.5 x18067 - x27816 = 0 e73319: 0.5 b3668 + 0.5 x18068 - x27817 = 0 e73320: 0.5 b3669 + 0.5 x18069 - x27818 = 0 e73321: 0.5 b3670 + 0.5 x18070 - x27819 = 0 e73322: 0.5 b3671 + 0.5 x18071 - x27820 = 0 e73323: 0.5 b3672 + 0.5 x18072 - x27821 = 0 e73324: 0.5 b3673 + 0.5 x18073 - x27822 = 0 e73325: 0.5 b3674 + 0.5 x18074 - x27823 = 0 e73326: 0.5 b3675 + 0.5 x18075 - x27824 = 0 e73327: 0.5 b3676 + 0.5 x18076 - x27825 = 0 e73328: 0.5 b3677 + 0.5 x18077 - x27826 = 0 e73329: 0.5 b3678 + 0.5 x18078 - x27827 = 0 e73330: 0.5 b3679 + 0.5 x18079 - x27828 = 0 e73331: 0.5 b3680 + 0.5 x18080 - x27829 = 0 e73332: 0.5 b3681 + 0.5 x18081 - x27830 = 0 e73333: 0.5 b3682 + 0.5 x18082 - x27831 = 0 e73334: 0.5 b3683 + 0.5 x18083 - x27832 = 0 e73335: 0.5 b3684 + 0.5 x18084 - x27833 = 0 e73336: 0.5 b3685 + 0.5 x18085 - x27834 = 0 e73337: 0.5 b3686 + 0.5 x18086 - x27835 = 0 e73338: 0.5 b3687 + 0.5 x18087 - x27836 = 0 e73339: 0.5 b3688 + 0.5 x18088 - x27837 = 0 e73340: 0.5 b3689 + 0.5 x18089 - x27838 = 0 e73341: 0.5 b3690 + 0.5 x18090 - x27839 = 0 e73342: 0.5 b3691 + 0.5 x18091 - x27840 = 0 e73343: 0.5 b3692 + 0.5 x18092 - x27841 = 0 e73344: 0.5 b3693 + 0.5 x18093 - x27842 = 0 e73345: 0.5 b3694 + 0.5 x18094 - x27843 = 0 e73346: 0.5 b3695 + 0.5 x18095 - x27844 = 0 e73347: 0.5 b3696 + 0.5 x18096 - x27845 = 0 e73348: 0.5 b3697 + 0.5 x18097 - x27846 = 0 e73349: 0.5 b3698 + 0.5 x18098 - x27847 = 0 e73350: 0.5 b3699 + 0.5 x18099 - x27848 = 0 e73351: 0.5 b3700 + 0.5 x18100 - x27849 = 0 e73352: 0.5 b3701 + 0.5 x18101 - x27850 = 0 e73353: 0.5 b3702 + 0.5 x18102 - x27851 = 0 e73354: 0.5 b3703 + 0.5 x18103 - x27852 = 0 e73355: 0.5 b3704 + 0.5 x18104 - x27853 = 0 e73356: 0.5 b3705 + 0.5 x18105 - x27854 = 0 e73357: 0.5 b3706 + 0.5 x18106 - x27855 = 0 e73358: 0.5 b3707 + 0.5 x18107 - x27856 = 0 e73359: 0.5 b3708 + 0.5 x18108 - x27857 = 0 e73360: 0.5 b3709 + 0.5 x18109 - x27858 = 0 e73361: 0.5 b3710 + 0.5 x18110 - x27859 = 0 e73362: 0.5 b3711 + 0.5 x18111 - x27860 = 0 e73363: 0.5 b3712 + 0.5 x18112 - x27861 = 0 e73364: 0.5 b3713 + 0.5 x18113 - x27862 = 0 e73365: 0.5 b3714 + 0.5 x18114 - x27863 = 0 e73366: 0.5 b3715 + 0.5 x18115 - x27864 = 0 e73367: 0.5 b3716 + 0.5 x18116 - x27865 = 0 e73368: 0.5 b3717 + 0.5 x18117 - x27866 = 0 e73369: 0.5 b3718 + 0.5 x18118 - x27867 = 0 e73370: 0.5 b3719 + 0.5 x18119 - x27868 = 0 e73371: 0.5 b3720 + 0.5 x18120 - x27869 = 0 e73372: 0.5 b3721 + 0.5 x18121 - x27870 = 0 e73373: 0.5 b3722 + 0.5 x18122 - x27871 = 0 e73374: 0.5 b3723 + 0.5 x18123 - x27872 = 0 e73375: 0.5 b3724 + 0.5 x18124 - x27873 = 0 e73376: 0.5 b3725 + 0.5 x18125 - x27874 = 0 e73377: 0.5 b3726 + 0.5 x18126 - x27875 = 0 e73378: 0.5 b3727 + 0.5 x18127 - x27876 = 0 e73379: 0.5 b3728 + 0.5 x18128 - x27877 = 0 e73380: 0.5 b3729 + 0.5 x18129 - x27878 = 0 e73381: 0.5 b3730 + 0.5 x18130 - x27879 = 0 e73382: 0.5 b3731 + 0.5 x18131 - x27880 = 0 e73383: 0.5 b3732 + 0.5 x18132 - x27881 = 0 e73384: 0.5 b3733 + 0.5 x18133 - x27882 = 0 e73385: 0.5 b3734 + 0.5 x18134 - x27883 = 0 e73386: 0.5 b3735 + 0.5 x18135 - x27884 = 0 e73387: 0.5 b3736 + 0.5 x18136 - x27885 = 0 e73388: 0.5 b3737 + 0.5 x18137 - x27886 = 0 e73389: 0.5 b3738 + 0.5 x18138 - x27887 = 0 e73390: 0.5 b3739 + 0.5 x18139 - x27888 = 0 e73391: 0.5 b3740 + 0.5 x18140 - x27889 = 0 e73392: 0.5 b3741 + 0.5 x18141 - x27890 = 0 e73393: 0.5 b3742 + 0.5 x18142 - x27891 = 0 e73394: 0.5 b3743 + 0.5 x18143 - x27892 = 0 e73395: 0.5 b3744 + 0.5 x18144 - x27893 = 0 e73396: 0.5 b3745 + 0.5 x18145 - x27894 = 0 e73397: 0.5 b3746 + 0.5 x18146 - x27895 = 0 e73398: 0.5 b3747 + 0.5 x18147 - x27896 = 0 e73399: 0.5 b3748 + 0.5 x18148 - x27897 = 0 e73400: 0.5 b3749 + 0.5 x18149 - x27898 = 0 e73401: 0.5 b3750 + 0.5 x18150 - x27899 = 0 e73402: 0.5 b3751 + 0.5 x18151 - x27900 = 0 e73403: 0.5 b3752 + 0.5 x18152 - x27901 = 0 e73404: 0.5 b3753 + 0.5 x18153 - x27902 = 0 e73405: 0.5 b3754 + 0.5 x18154 - x27903 = 0 e73406: 0.5 b3755 + 0.5 x18155 - x27904 = 0 e73407: 0.5 b3756 + 0.5 x18156 - x27905 = 0 e73408: 0.5 b3757 + 0.5 x18157 - x27906 = 0 e73409: 0.5 b3758 + 0.5 x18158 - x27907 = 0 e73410: 0.5 b3759 + 0.5 x18159 - x27908 = 0 e73411: 0.5 b3760 + 0.5 x18160 - x27909 = 0 e73412: 0.5 b3761 + 0.5 x18161 - x27910 = 0 e73413: 0.5 b3762 + 0.5 x18162 - x27911 = 0 e73414: 0.5 b3763 + 0.5 x18163 - x27912 = 0 e73415: 0.5 b3764 + 0.5 x18164 - x27913 = 0 e73416: 0.5 b3765 + 0.5 x18165 - x27914 = 0 e73417: 0.5 b3766 + 0.5 x18166 - x27915 = 0 e73418: 0.5 b3767 + 0.5 x18167 - x27916 = 0 e73419: 0.5 b3768 + 0.5 x18168 - x27917 = 0 e73420: 0.5 b3769 + 0.5 x18169 - x27918 = 0 e73421: 0.5 b3770 + 0.5 x18170 - x27919 = 0 e73422: 0.5 b3771 + 0.5 x18171 - x27920 = 0 e73423: 0.5 b3772 + 0.5 x18172 - x27921 = 0 e73424: 0.5 b3773 + 0.5 x18173 - x27922 = 0 e73425: 0.5 b3774 + 0.5 x18174 - x27923 = 0 e73426: 0.5 b3775 + 0.5 x18175 - x27924 = 0 e73427: 0.5 b3776 + 0.5 x18176 - x27925 = 0 e73428: 0.5 b3777 + 0.5 x18177 - x27926 = 0 e73429: 0.5 b3778 + 0.5 x18178 - x27927 = 0 e73430: 0.5 b3779 + 0.5 x18179 - x27928 = 0 e73431: 0.5 b3780 + 0.5 x18180 - x27929 = 0 e73432: 0.5 b3781 + 0.5 x18181 - x27930 = 0 e73433: 0.5 b3782 + 0.5 x18182 - x27931 = 0 e73434: 0.5 b3783 + 0.5 x18183 - x27932 = 0 e73435: 0.5 b3784 + 0.5 x18184 - x27933 = 0 e73436: 0.5 b3785 + 0.5 x18185 - x27934 = 0 e73437: 0.5 b3786 + 0.5 x18186 - x27935 = 0 e73438: 0.5 b3787 + 0.5 x18187 - x27936 = 0 e73439: 0.5 b3788 + 0.5 x18188 - x27937 = 0 e73440: 0.5 b3789 + 0.5 x18189 - x27938 = 0 e73441: 0.5 b3790 + 0.5 x18190 - x27939 = 0 e73442: 0.5 b3791 + 0.5 x18191 - x27940 = 0 e73443: 0.5 b3792 + 0.5 x18192 - x27941 = 0 e73444: 0.5 b3793 + 0.5 x18193 - x27942 = 0 e73445: 0.5 b3794 + 0.5 x18194 - x27943 = 0 e73446: 0.5 b3795 + 0.5 x18195 - x27944 = 0 e73447: 0.5 b3796 + 0.5 x18196 - x27945 = 0 e73448: 0.5 b3797 + 0.5 x18197 - x27946 = 0 e73449: 0.5 b3798 + 0.5 x18198 - x27947 = 0 e73450: 0.5 b3799 + 0.5 x18199 - x27948 = 0 e73451: 0.5 b3800 + 0.5 x18200 - x27949 = 0 e73452: 0.5 b3801 + 0.5 x18201 - x27950 = 0 e73453: 0.5 b3802 + 0.5 x18202 - x27951 = 0 e73454: 0.5 b3803 + 0.5 x18203 - x27952 = 0 e73455: 0.5 b3804 + 0.5 x18204 - x27953 = 0 e73456: 0.5 b3805 + 0.5 x18205 - x27954 = 0 e73457: 0.5 b3806 + 0.5 x18206 - x27955 = 0 e73458: 0.5 b3807 + 0.5 x18207 - x27956 = 0 e73459: 0.5 b3808 + 0.5 x18208 - x27957 = 0 e73460: 0.5 b3809 + 0.5 x18209 - x27958 = 0 e73461: 0.5 b3810 + 0.5 x18210 - x27959 = 0 e73462: 0.5 b3811 + 0.5 x18211 - x27960 = 0 e73463: 0.5 b3812 + 0.5 x18212 - x27961 = 0 e73464: 0.5 b3813 + 0.5 x18213 - x27962 = 0 e73465: 0.5 b3814 + 0.5 x18214 - x27963 = 0 e73466: 0.5 b3815 + 0.5 x18215 - x27964 = 0 e73467: 0.5 b3816 + 0.5 x18216 - x27965 = 0 e73468: 0.5 b3817 + 0.5 x18217 - x27966 = 0 e73469: 0.5 b3818 + 0.5 x18218 - x27967 = 0 e73470: 0.5 b3819 + 0.5 x18219 - x27968 = 0 e73471: 0.5 b3820 + 0.5 x18220 - x27969 = 0 e73472: 0.5 b3821 + 0.5 x18221 - x27970 = 0 e73473: 0.5 b3822 + 0.5 x18222 - x27971 = 0 e73474: 0.5 b3823 + 0.5 x18223 - x27972 = 0 e73475: 0.5 b3824 + 0.5 x18224 - x27973 = 0 e73476: 0.5 b3825 + 0.5 x18225 - x27974 = 0 e73477: 0.5 b3826 + 0.5 x18226 - x27975 = 0 e73478: 0.5 b3827 + 0.5 x18227 - x27976 = 0 e73479: 0.5 b3828 + 0.5 x18228 - x27977 = 0 e73480: 0.5 b3829 + 0.5 x18229 - x27978 = 0 e73481: 0.5 b3830 + 0.5 x18230 - x27979 = 0 e73482: 0.5 b3831 + 0.5 x18231 - x27980 = 0 e73483: 0.5 b3832 + 0.5 x18232 - x27981 = 0 e73484: 0.5 b3833 + 0.5 x18233 - x27982 = 0 e73485: 0.5 b3834 + 0.5 x18234 - x27983 = 0 e73486: 0.5 b3835 + 0.5 x18235 - x27984 = 0 e73487: 0.5 b3836 + 0.5 x18236 - x27985 = 0 e73488: 0.5 b3837 + 0.5 x18237 - x27986 = 0 e73489: 0.5 b3838 + 0.5 x18238 - x27987 = 0 e73490: 0.5 b3839 + 0.5 x18239 - x27988 = 0 e73491: 0.5 b3840 + 0.5 x18240 - x27989 = 0 e73492: 0.5 b3841 + 0.5 x18241 - x27990 = 0 e73493: 0.5 b3842 + 0.5 x18242 - x27991 = 0 e73494: 0.5 b3843 + 0.5 x18243 - x27992 = 0 e73495: 0.5 b3844 + 0.5 x18244 - x27993 = 0 e73496: 0.5 b3845 + 0.5 x18245 - x27994 = 0 e73497: 0.5 b3846 + 0.5 x18246 - x27995 = 0 e73498: 0.5 b3847 + 0.5 x18247 - x27996 = 0 e73499: 0.5 b3848 + 0.5 x18248 - x27997 = 0 e73500: 0.5 b3849 + 0.5 x18249 - x27998 = 0 e73501: 0.5 b3850 + 0.5 x18250 - x27999 = 0 e73502: 0.5 b3851 + 0.5 x18251 - x28000 = 0 e73503: 0.5 b3852 + 0.5 x18252 - x28001 = 0 e73504: 0.5 b3853 + 0.5 x18253 - x28002 = 0 e73505: 0.5 b3854 + 0.5 x18254 - x28003 = 0 e73506: 0.5 b3855 + 0.5 x18255 - x28004 = 0 e73507: 0.5 b3856 + 0.5 x18256 - x28005 = 0 e73508: 0.5 b3857 + 0.5 x18257 - x28006 = 0 e73509: 0.5 b3858 + 0.5 x18258 - x28007 = 0 e73510: 0.5 b3859 + 0.5 x18259 - x28008 = 0 e73511: 0.5 b3860 + 0.5 x18260 - x28009 = 0 e73512: 0.5 b3861 + 0.5 x18261 - x28010 = 0 e73513: 0.5 b3862 + 0.5 x18262 - x28011 = 0 e73514: 0.5 b3863 + 0.5 x18263 - x28012 = 0 e73515: 0.5 b3864 + 0.5 x18264 - x28013 = 0 e73516: 0.5 b3865 + 0.5 x18265 - x28014 = 0 e73517: 0.5 b3866 + 0.5 x18266 - x28015 = 0 e73518: 0.5 b3867 + 0.5 x18267 - x28016 = 0 e73519: 0.5 b3868 + 0.5 x18268 - x28017 = 0 e73520: 0.5 b3869 + 0.5 x18269 - x28018 = 0 e73521: 0.5 b3870 + 0.5 x18270 - x28019 = 0 e73522: 0.5 b3871 + 0.5 x18271 - x28020 = 0 e73523: 0.5 b3872 + 0.5 x18272 - x28021 = 0 e73524: 0.5 b3873 + 0.5 x18273 - x28022 = 0 e73525: 0.5 b3874 + 0.5 x18274 - x28023 = 0 e73526: 0.5 b3875 + 0.5 x18275 - x28024 = 0 e73527: 0.5 b3876 + 0.5 x18276 - x28025 = 0 e73528: 0.5 b3877 + 0.5 x18277 - x28026 = 0 e73529: 0.5 b3878 + 0.5 x18278 - x28027 = 0 e73530: 0.5 b3879 + 0.5 x18279 - x28028 = 0 e73531: 0.5 b3880 + 0.5 x18280 - x28029 = 0 e73532: 0.5 b3881 + 0.5 x18281 - x28030 = 0 e73533: 0.5 b3882 + 0.5 x18282 - x28031 = 0 e73534: 0.5 b3883 + 0.5 x18283 - x28032 = 0 e73535: 0.5 b3884 + 0.5 x18284 - x28033 = 0 e73536: 0.5 b3885 + 0.5 x18285 - x28034 = 0 e73537: 0.5 b3886 + 0.5 x18286 - x28035 = 0 e73538: 0.5 b3887 + 0.5 x18287 - x28036 = 0 e73539: 0.5 b3888 + 0.5 x18288 - x28037 = 0 e73540: 0.5 b3889 + 0.5 x18289 - x28038 = 0 e73541: 0.5 b3890 + 0.5 x18290 - x28039 = 0 e73542: 0.5 b3891 + 0.5 x18291 - x28040 = 0 e73543: 0.5 b3892 + 0.5 x18292 - x28041 = 0 e73544: 0.5 b3893 + 0.5 x18293 - x28042 = 0 e73545: 0.5 b3894 + 0.5 x18294 - x28043 = 0 e73546: 0.5 b3895 + 0.5 x18295 - x28044 = 0 e73547: 0.5 b3896 + 0.5 x18296 - x28045 = 0 e73548: 0.5 b3897 + 0.5 x18297 - x28046 = 0 e73549: 0.5 b3898 + 0.5 x18298 - x28047 = 0 e73550: 0.5 b3899 + 0.5 x18299 - x28048 = 0 e73551: 0.5 b3900 + 0.5 x18300 - x28049 = 0 e73552: 0.5 b3901 + 0.5 x18301 - x28050 = 0 e73553: 0.5 b3902 + 0.5 x18302 - x28051 = 0 e73554: 0.5 b3903 + 0.5 x18303 - x28052 = 0 e73555: 0.5 b3904 + 0.5 x18304 - x28053 = 0 e73556: 0.5 b3905 + 0.5 x18305 - x28054 = 0 e73557: 0.5 b3906 + 0.5 x18306 - x28055 = 0 e73558: 0.5 b3907 + 0.5 x18307 - x28056 = 0 e73559: 0.5 b3908 + 0.5 x18308 - x28057 = 0 e73560: 0.5 b3909 + 0.5 x18309 - x28058 = 0 e73561: 0.5 b3910 + 0.5 x18310 - x28059 = 0 e73562: 0.5 b3911 + 0.5 x18311 - x28060 = 0 e73563: 0.5 b3912 + 0.5 x18312 - x28061 = 0 e73564: 0.5 b3913 + 0.5 x18313 - x28062 = 0 e73565: 0.5 b3914 + 0.5 x18314 - x28063 = 0 e73566: 0.5 b3915 + 0.5 x18315 - x28064 = 0 e73567: 0.5 b3916 + 0.5 x18316 - x28065 = 0 e73568: 0.5 b3917 + 0.5 x18317 - x28066 = 0 e73569: 0.5 b3918 + 0.5 x18318 - x28067 = 0 e73570: 0.5 b3919 + 0.5 x18319 - x28068 = 0 e73571: 0.5 b3920 + 0.5 x18320 - x28069 = 0 e73572: 0.5 b3921 + 0.5 x18321 - x28070 = 0 e73573: 0.5 b3922 + 0.5 x18322 - x28071 = 0 e73574: 0.5 b3923 + 0.5 x18323 - x28072 = 0 e73575: 0.5 b3924 + 0.5 x18324 - x28073 = 0 e73576: 0.5 b3925 + 0.5 x18325 - x28074 = 0 e73577: 0.5 b3926 + 0.5 x18326 - x28075 = 0 e73578: 0.5 b3927 + 0.5 x18327 - x28076 = 0 e73579: 0.5 b3928 + 0.5 x18328 - x28077 = 0 e73580: 0.5 b3929 + 0.5 x18329 - x28078 = 0 e73581: 0.5 b3930 + 0.5 x18330 - x28079 = 0 e73582: 0.5 b3931 + 0.5 x18331 - x28080 = 0 e73583: 0.5 b3932 + 0.5 x18332 - x28081 = 0 e73584: 0.5 b3933 + 0.5 x18333 - x28082 = 0 e73585: 0.5 b3934 + 0.5 x18334 - x28083 = 0 e73586: 0.5 b3935 + 0.5 x18335 - x28084 = 0 e73587: 0.5 b3936 + 0.5 x18336 - x28085 = 0 e73588: 0.5 b3937 + 0.5 x18337 - x28086 = 0 e73589: 0.5 b3938 + 0.5 x18338 - x28087 = 0 e73590: 0.5 b3939 + 0.5 x18339 - x28088 = 0 e73591: 0.5 b3940 + 0.5 x18340 - x28089 = 0 e73592: 0.5 b3941 + 0.5 x18341 - x28090 = 0 e73593: 0.5 b3942 + 0.5 x18342 - x28091 = 0 e73594: 0.5 b3943 + 0.5 x18343 - x28092 = 0 e73595: 0.5 b3944 + 0.5 x18344 - x28093 = 0 e73596: 0.5 b3945 + 0.5 x18345 - x28094 = 0 e73597: 0.5 b3946 + 0.5 x18346 - x28095 = 0 e73598: 0.5 b3947 + 0.5 x18347 - x28096 = 0 e73599: 0.5 b3948 + 0.5 x18348 - x28097 = 0 e73600: 0.5 b3949 + 0.5 x18349 - x28098 = 0 e73601: 0.5 b3950 + 0.5 x18350 - x28099 = 0 e73602: 0.5 b3951 + 0.5 x18351 - x28100 = 0 e73603: 0.5 b3952 + 0.5 x18352 - x28101 = 0 e73604: 0.5 b3953 + 0.5 x18353 - x28102 = 0 e73605: 0.5 b3954 + 0.5 x18354 - x28103 = 0 e73606: 0.5 b3955 + 0.5 x18355 - x28104 = 0 e73607: 0.5 b3956 + 0.5 x18356 - x28105 = 0 e73608: 0.5 b3957 + 0.5 x18357 - x28106 = 0 e73609: 0.5 b3958 + 0.5 x18358 - x28107 = 0 e73610: 0.5 b3959 + 0.5 x18359 - x28108 = 0 e73611: 0.5 b3960 + 0.5 x18360 - x28109 = 0 e73612: 0.5 b3961 + 0.5 x18361 - x28110 = 0 e73613: 0.5 b3962 + 0.5 x18362 - x28111 = 0 e73614: 0.5 b3963 + 0.5 x18363 - x28112 = 0 e73615: 0.5 b3964 + 0.5 x18364 - x28113 = 0 e73616: 0.5 b3965 + 0.5 x18365 - x28114 = 0 e73617: 0.5 b3966 + 0.5 x18366 - x28115 = 0 e73618: 0.5 b3967 + 0.5 x18367 - x28116 = 0 e73619: 0.5 b3968 + 0.5 x18368 - x28117 = 0 e73620: 0.5 b3969 + 0.5 x18369 - x28118 = 0 e73621: 0.5 b3970 + 0.5 x18370 - x28119 = 0 e73622: 0.5 b3971 + 0.5 x18371 - x28120 = 0 e73623: 0.5 b3972 + 0.5 x18372 - x28121 = 0 e73624: 0.5 b3973 + 0.5 x18373 - x28122 = 0 e73625: 0.5 b3974 + 0.5 x18374 - x28123 = 0 e73626: 0.5 b3975 + 0.5 x18375 - x28124 = 0 e73627: 0.5 b3976 + 0.5 x18376 - x28125 = 0 e73628: 0.5 b3977 + 0.5 x18377 - x28126 = 0 e73629: 0.5 b3978 + 0.5 x18378 - x28127 = 0 e73630: 0.5 b3979 + 0.5 x18379 - x28128 = 0 e73631: 0.5 b3980 + 0.5 x18380 - x28129 = 0 e73632: 0.5 b3981 + 0.5 x18381 - x28130 = 0 e73633: 0.5 b3982 + 0.5 x18382 - x28131 = 0 e73634: 0.5 b3983 + 0.5 x18383 - x28132 = 0 e73635: 0.5 b3984 + 0.5 x18384 - x28133 = 0 e73636: 0.5 b3985 + 0.5 x18385 - x28134 = 0 e73637: 0.5 b3986 + 0.5 x18386 - x28135 = 0 e73638: 0.5 b3987 + 0.5 x18387 - x28136 = 0 e73639: 0.5 b3988 + 0.5 x18388 - x28137 = 0 e73640: 0.5 b3989 + 0.5 x18389 - x28138 = 0 e73641: 0.5 b3990 + 0.5 x18390 - x28139 = 0 e73642: 0.5 b3991 + 0.5 x18391 - x28140 = 0 e73643: 0.5 b3992 + 0.5 x18392 - x28141 = 0 e73644: 0.5 b3993 + 0.5 x18393 - x28142 = 0 e73645: 0.5 b3994 + 0.5 x18394 - x28143 = 0 e73646: 0.5 b3995 + 0.5 x18395 - x28144 = 0 e73647: 0.5 b3996 + 0.5 x18396 - x28145 = 0 e73648: 0.5 b3997 + 0.5 x18397 - x28146 = 0 e73649: 0.5 b3998 + 0.5 x18398 - x28147 = 0 e73650: 0.5 b3999 + 0.5 x18399 - x28148 = 0 e73651: 0.5 b4000 + 0.5 x18400 - x28149 = 0 e73652: 0.5 b4001 + 0.5 x18401 - x28150 = 0 e73653: 0.5 b4002 + 0.5 x18402 - x28151 = 0 e73654: 0.5 b4003 + 0.5 x18403 - x28152 = 0 e73655: 0.5 b4004 + 0.5 x18404 - x28153 = 0 e73656: 0.5 b4005 + 0.5 x18405 - x28154 = 0 e73657: 0.5 b4006 + 0.5 x18406 - x28155 = 0 e73658: 0.5 b4007 + 0.5 x18407 - x28156 = 0 e73659: 0.5 b4008 + 0.5 x18408 - x28157 = 0 e73660: 0.5 b4009 + 0.5 x18409 - x28158 = 0 e73661: 0.5 b4010 + 0.5 x18410 - x28159 = 0 e73662: 0.5 b4011 + 0.5 x18411 - x28160 = 0 e73663: 0.5 b4012 + 0.5 x18412 - x28161 = 0 e73664: 0.5 b4013 + 0.5 x18413 - x28162 = 0 e73665: 0.5 b4014 + 0.5 x18414 - x28163 = 0 e73666: 0.5 b4015 + 0.5 x18415 - x28164 = 0 e73667: 0.5 b4016 + 0.5 x18416 - x28165 = 0 e73668: 0.5 b4017 + 0.5 x18417 - x28166 = 0 e73669: 0.5 b4018 + 0.5 x18418 - x28167 = 0 e73670: 0.5 b4019 + 0.5 x18419 - x28168 = 0 e73671: 0.5 b4020 + 0.5 x18420 - x28169 = 0 e73672: 0.5 b4021 + 0.5 x18421 - x28170 = 0 e73673: 0.5 b4022 + 0.5 x18422 - x28171 = 0 e73674: 0.5 b4023 + 0.5 x18423 - x28172 = 0 e73675: 0.5 b4024 + 0.5 x18424 - x28173 = 0 e73676: 0.5 b4025 + 0.5 x18425 - x28174 = 0 e73677: 0.5 b4026 + 0.5 x18426 - x28175 = 0 e73678: 0.5 b4027 + 0.5 x18427 - x28176 = 0 e73679: 0.5 b4028 + 0.5 x18428 - x28177 = 0 e73680: 0.5 b4029 + 0.5 x18429 - x28178 = 0 e73681: 0.5 b4030 + 0.5 x18430 - x28179 = 0 e73682: 0.5 b4031 + 0.5 x18431 - x28180 = 0 e73683: 0.5 b4032 + 0.5 x18432 - x28181 = 0 e73684: 0.5 b4033 + 0.5 x18433 - x28182 = 0 e73685: 0.5 b4034 + 0.5 x18434 - x28183 = 0 e73686: 0.5 b4035 + 0.5 x18435 - x28184 = 0 e73687: 0.5 b4036 + 0.5 x18436 - x28185 = 0 e73688: 0.5 b4037 + 0.5 x18437 - x28186 = 0 e73689: 0.5 b4038 + 0.5 x18438 - x28187 = 0 e73690: 0.5 b4039 + 0.5 x18439 - x28188 = 0 e73691: 0.5 b4040 + 0.5 x18440 - x28189 = 0 e73692: 0.5 b4041 + 0.5 x18441 - x28190 = 0 e73693: 0.5 b4042 + 0.5 x18442 - x28191 = 0 e73694: 0.5 b4043 + 0.5 x18443 - x28192 = 0 e73695: 0.5 b4044 + 0.5 x18444 - x28193 = 0 e73696: 0.5 b4045 + 0.5 x18445 - x28194 = 0 e73697: 0.5 b4046 + 0.5 x18446 - x28195 = 0 e73698: 0.5 b4047 + 0.5 x18447 - x28196 = 0 e73699: 0.5 b4048 + 0.5 x18448 - x28197 = 0 e73700: 0.5 b4049 + 0.5 x18449 - x28198 = 0 e73701: 0.5 b4050 + 0.5 x18450 - x28199 = 0 e73702: 0.5 b4051 + 0.5 x18451 - x28200 = 0 e73703: 0.5 b4052 + 0.5 x18452 - x28201 = 0 e73704: 0.5 b4053 + 0.5 x18453 - x28202 = 0 e73705: 0.5 b4054 + 0.5 x18454 - x28203 = 0 e73706: 0.5 b4055 + 0.5 x18455 - x28204 = 0 e73707: 0.5 b4056 + 0.5 x18456 - x28205 = 0 e73708: 0.5 b4057 + 0.5 x18457 - x28206 = 0 e73709: 0.5 b4058 + 0.5 x18458 - x28207 = 0 e73710: 0.5 b4059 + 0.5 x18459 - x28208 = 0 e73711: 0.5 b4060 + 0.5 x18460 - x28209 = 0 e73712: 0.5 b4061 + 0.5 x18461 - x28210 = 0 e73713: 0.5 b4062 + 0.5 x18462 - x28211 = 0 e73714: 0.5 b4063 + 0.5 x18463 - x28212 = 0 e73715: 0.5 b4064 + 0.5 x18464 - x28213 = 0 e73716: 0.5 b4065 + 0.5 x18465 - x28214 = 0 e73717: 0.5 b4066 + 0.5 x18466 - x28215 = 0 e73718: 0.5 b4067 + 0.5 x18467 - x28216 = 0 e73719: 0.5 b4068 + 0.5 x18468 - x28217 = 0 e73720: 0.5 b4069 + 0.5 x18469 - x28218 = 0 e73721: 0.5 b4070 + 0.5 x18470 - x28219 = 0 e73722: 0.5 b4071 + 0.5 x18471 - x28220 = 0 e73723: 0.5 b4072 + 0.5 x18472 - x28221 = 0 e73724: 0.5 b4073 + 0.5 x18473 - x28222 = 0 e73725: 0.5 b4074 + 0.5 x18474 - x28223 = 0 e73726: 0.5 b4075 + 0.5 x18475 - x28224 = 0 e73727: 0.5 b4076 + 0.5 x18476 - x28225 = 0 e73728: 0.5 b4077 + 0.5 x18477 - x28226 = 0 e73729: 0.5 b4078 + 0.5 x18478 - x28227 = 0 e73730: 0.5 b4079 + 0.5 x18479 - x28228 = 0 e73731: 0.5 b4080 + 0.5 x18480 - x28229 = 0 e73732: 0.5 b4081 + 0.5 x18481 - x28230 = 0 e73733: 0.5 b4082 + 0.5 x18482 - x28231 = 0 e73734: 0.5 b4083 + 0.5 x18483 - x28232 = 0 e73735: 0.5 b4084 + 0.5 x18484 - x28233 = 0 e73736: 0.5 b4085 + 0.5 x18485 - x28234 = 0 e73737: 0.5 b4086 + 0.5 x18486 - x28235 = 0 e73738: 0.5 b4087 + 0.5 x18487 - x28236 = 0 e73739: 0.5 b4088 + 0.5 x18488 - x28237 = 0 e73740: 0.5 b4089 + 0.5 x18489 - x28238 = 0 e73741: 0.5 b4090 + 0.5 x18490 - x28239 = 0 e73742: 0.5 b4091 + 0.5 x18491 - x28240 = 0 e73743: 0.5 b4092 + 0.5 x18492 - x28241 = 0 e73744: 0.5 b4093 + 0.5 x18493 - x28242 = 0 e73745: 0.5 b4094 + 0.5 x18494 - x28243 = 0 e73746: 0.5 b4095 + 0.5 x18495 - x28244 = 0 e73747: 0.5 b4096 + 0.5 x18496 - x28245 = 0 e73748: 0.5 b4097 + 0.5 x18497 - x28246 = 0 e73749: 0.5 b4098 + 0.5 x18498 - x28247 = 0 e73750: 0.5 b4099 + 0.5 x18499 - x28248 = 0 e73751: 0.5 b4100 + 0.5 x18500 - x28249 = 0 e73752: 0.5 b4101 + 0.5 x18501 - x28250 = 0 e73753: 0.5 b4102 + 0.5 x18502 - x28251 = 0 e73754: 0.5 b4103 + 0.5 x18503 - x28252 = 0 e73755: 0.5 b4104 + 0.5 x18504 - x28253 = 0 e73756: 0.5 b4105 + 0.5 x18505 - x28254 = 0 e73757: 0.5 b4106 + 0.5 x18506 - x28255 = 0 e73758: 0.5 b4107 + 0.5 x18507 - x28256 = 0 e73759: 0.5 b4108 + 0.5 x18508 - x28257 = 0 e73760: 0.5 b4109 + 0.5 x18509 - x28258 = 0 e73761: 0.5 b4110 + 0.5 x18510 - x28259 = 0 e73762: 0.5 b4111 + 0.5 x18511 - x28260 = 0 e73763: 0.5 b4112 + 0.5 x18512 - x28261 = 0 e73764: 0.5 b4113 + 0.5 x18513 - x28262 = 0 e73765: 0.5 b4114 + 0.5 x18514 - x28263 = 0 e73766: 0.5 b4115 + 0.5 x18515 - x28264 = 0 e73767: 0.5 b4116 + 0.5 x18516 - x28265 = 0 e73768: 0.5 b4117 + 0.5 x18517 - x28266 = 0 e73769: 0.5 b4118 + 0.5 x18518 - x28267 = 0 e73770: 0.5 b4119 + 0.5 x18519 - x28268 = 0 e73771: 0.5 b4120 + 0.5 x18520 - x28269 = 0 e73772: 0.5 b4121 + 0.5 x18521 - x28270 = 0 e73773: 0.5 b4122 + 0.5 x18522 - x28271 = 0 e73774: 0.5 b4123 + 0.5 x18523 - x28272 = 0 e73775: 0.5 b4124 + 0.5 x18524 - x28273 = 0 e73776: 0.5 b4125 + 0.5 x18525 - x28274 = 0 e73777: 0.5 b4126 + 0.5 x18526 - x28275 = 0 e73778: 0.5 b4127 + 0.5 x18527 - x28276 = 0 e73779: 0.5 b4128 + 0.5 x18528 - x28277 = 0 e73780: 0.5 b4129 + 0.5 x18529 - x28278 = 0 e73781: 0.5 b4130 + 0.5 x18530 - x28279 = 0 e73782: 0.5 b4131 + 0.5 x18531 - x28280 = 0 e73783: 0.5 b4132 + 0.5 x18532 - x28281 = 0 e73784: 0.5 b4133 + 0.5 x18533 - x28282 = 0 e73785: 0.5 b4134 + 0.5 x18534 - x28283 = 0 e73786: 0.5 b4135 + 0.5 x18535 - x28284 = 0 e73787: 0.5 b4136 + 0.5 x18536 - x28285 = 0 e73788: 0.5 b4137 + 0.5 x18537 - x28286 = 0 e73789: 0.5 b4138 + 0.5 x18538 - x28287 = 0 e73790: 0.5 b4139 + 0.5 x18539 - x28288 = 0 e73791: 0.5 b4140 + 0.5 x18540 - x28289 = 0 e73792: 0.5 b4141 + 0.5 x18541 - x28290 = 0 e73793: 0.5 b4142 + 0.5 x18542 - x28291 = 0 e73794: 0.5 b4143 + 0.5 x18543 - x28292 = 0 e73795: 0.5 b4144 + 0.5 x18544 - x28293 = 0 e73796: 0.5 b4145 + 0.5 x18545 - x28294 = 0 e73797: 0.5 b4146 + 0.5 x18546 - x28295 = 0 e73798: 0.5 b4147 + 0.5 x18547 - x28296 = 0 e73799: 0.5 b4148 + 0.5 x18548 - x28297 = 0 e73800: 0.5 b4149 + 0.5 x18549 - x28298 = 0 e73801: 0.5 b4150 + 0.5 x18550 - x28299 = 0 e73802: 0.5 b4151 + 0.5 x18551 - x28300 = 0 e73803: 0.5 b4152 + 0.5 x18552 - x28301 = 0 e73804: 0.5 b4153 + 0.5 x18553 - x28302 = 0 e73805: 0.5 b4154 + 0.5 x18554 - x28303 = 0 e73806: 0.5 b4155 + 0.5 x18555 - x28304 = 0 e73807: 0.5 b4156 + 0.5 x18556 - x28305 = 0 e73808: 0.5 b4157 + 0.5 x18557 - x28306 = 0 e73809: 0.5 b4158 + 0.5 x18558 - x28307 = 0 e73810: 0.5 b4159 + 0.5 x18559 - x28308 = 0 e73811: 0.5 b4160 + 0.5 x18560 - x28309 = 0 e73812: 0.5 b4161 + 0.5 x18561 - x28310 = 0 e73813: 0.5 b4162 + 0.5 x18562 - x28311 = 0 e73814: 0.5 b4163 + 0.5 x18563 - x28312 = 0 e73815: 0.5 b4164 + 0.5 x18564 - x28313 = 0 e73816: 0.5 b4165 + 0.5 x18565 - x28314 = 0 e73817: 0.5 b4166 + 0.5 x18566 - x28315 = 0 e73818: 0.5 b4167 + 0.5 x18567 - x28316 = 0 e73819: 0.5 b4168 + 0.5 x18568 - x28317 = 0 e73820: 0.5 b4169 + 0.5 x18569 - x28318 = 0 e73821: 0.5 b4170 + 0.5 x18570 - x28319 = 0 e73822: 0.5 b4171 + 0.5 x18571 - x28320 = 0 e73823: 0.5 b4172 + 0.5 x18572 - x28321 = 0 e73824: 0.5 b4173 + 0.5 x18573 - x28322 = 0 e73825: 0.5 b4174 + 0.5 x18574 - x28323 = 0 e73826: 0.5 b4175 + 0.5 x18575 - x28324 = 0 e73827: 0.5 b4176 + 0.5 x18576 - x28325 = 0 e73828: 0.5 b4177 + 0.5 x18577 - x28326 = 0 e73829: 0.5 b4178 + 0.5 x18578 - x28327 = 0 e73830: 0.5 b4179 + 0.5 x18579 - x28328 = 0 e73831: 0.5 b4180 + 0.5 x18580 - x28329 = 0 e73832: 0.5 b4181 + 0.5 x18581 - x28330 = 0 e73833: 0.5 b4182 + 0.5 x18582 - x28331 = 0 e73834: 0.5 b4183 + 0.5 x18583 - x28332 = 0 e73835: 0.5 b4184 + 0.5 x18584 - x28333 = 0 e73836: 0.5 b4185 + 0.5 x18585 - x28334 = 0 e73837: 0.5 b4186 + 0.5 x18586 - x28335 = 0 e73838: 0.5 b4187 + 0.5 x18587 - x28336 = 0 e73839: 0.5 b4188 + 0.5 x18588 - x28337 = 0 e73840: 0.5 b4189 + 0.5 x18589 - x28338 = 0 e73841: 0.5 b4190 + 0.5 x18590 - x28339 = 0 e73842: 0.5 b4191 + 0.5 x18591 - x28340 = 0 e73843: 0.5 b4192 + 0.5 x18592 - x28341 = 0 e73844: 0.5 b4193 + 0.5 x18593 - x28342 = 0 e73845: 0.5 b4194 + 0.5 x18594 - x28343 = 0 e73846: 0.5 b4195 + 0.5 x18595 - x28344 = 0 e73847: 0.5 b4196 + 0.5 x18596 - x28345 = 0 e73848: 0.5 b4197 + 0.5 x18597 - x28346 = 0 e73849: 0.5 b4198 + 0.5 x18598 - x28347 = 0 e73850: 0.5 b4199 + 0.5 x18599 - x28348 = 0 e73851: 0.5 b4200 + 0.5 x18600 - x28349 = 0 e73852: 0.5 b4201 + 0.5 x18601 - x28350 = 0 e73853: 0.5 b4202 + 0.5 x18602 - x28351 = 0 e73854: 0.5 b4203 + 0.5 x18603 - x28352 = 0 e73855: 0.5 b4204 + 0.5 x18604 - x28353 = 0 e73856: 0.5 b4205 + 0.5 x18605 - x28354 = 0 e73857: 0.5 b4206 + 0.5 x18606 - x28355 = 0 e73858: 0.5 b4207 + 0.5 x18607 - x28356 = 0 e73859: 0.5 b4208 + 0.5 x18608 - x28357 = 0 e73860: 0.5 b4209 + 0.5 x18609 - x28358 = 0 e73861: 0.5 b4210 + 0.5 x18610 - x28359 = 0 e73862: 0.5 b4211 + 0.5 x18611 - x28360 = 0 e73863: 0.5 b4212 + 0.5 x18612 - x28361 = 0 e73864: 0.5 b4213 + 0.5 x18613 - x28362 = 0 e73865: 0.5 b4214 + 0.5 x18614 - x28363 = 0 e73866: 0.5 b4215 + 0.5 x18615 - x28364 = 0 e73867: 0.5 b4216 + 0.5 x18616 - x28365 = 0 e73868: 0.5 b4217 + 0.5 x18617 - x28366 = 0 e73869: 0.5 b4218 + 0.5 x18618 - x28367 = 0 e73870: 0.5 b4219 + 0.5 x18619 - x28368 = 0 e73871: 0.5 b4220 + 0.5 x18620 - x28369 = 0 e73872: 0.5 b4221 + 0.5 x18621 - x28370 = 0 e73873: 0.5 b4222 + 0.5 x18622 - x28371 = 0 e73874: 0.5 b4223 + 0.5 x18623 - x28372 = 0 e73875: 0.5 b4224 + 0.5 x18624 - x28373 = 0 e73876: 0.5 b4225 + 0.5 x18625 - x28374 = 0 e73877: 0.5 b4226 + 0.5 x18626 - x28375 = 0 e73878: 0.5 b4227 + 0.5 x18627 - x28376 = 0 e73879: 0.5 b4228 + 0.5 x18628 - x28377 = 0 e73880: 0.5 b4229 + 0.5 x18629 - x28378 = 0 e73881: 0.5 b4230 + 0.5 x18630 - x28379 = 0 e73882: 0.5 b4231 + 0.5 x18631 - x28380 = 0 e73883: 0.5 b4232 + 0.5 x18632 - x28381 = 0 e73884: 0.5 b4233 + 0.5 x18633 - x28382 = 0 e73885: 0.5 b4234 + 0.5 x18634 - x28383 = 0 e73886: 0.5 b4235 + 0.5 x18635 - x28384 = 0 e73887: 0.5 b4236 + 0.5 x18636 - x28385 = 0 e73888: 0.5 b4237 + 0.5 x18637 - x28386 = 0 e73889: 0.5 b4238 + 0.5 x18638 - x28387 = 0 e73890: 0.5 b4239 + 0.5 x18639 - x28388 = 0 e73891: 0.5 b4240 + 0.5 x18640 - x28389 = 0 e73892: 0.5 b4241 + 0.5 x18641 - x28390 = 0 e73893: 0.5 b4242 + 0.5 x18642 - x28391 = 0 e73894: 0.5 b4243 + 0.5 x18643 - x28392 = 0 e73895: 0.5 b4244 + 0.5 x18644 - x28393 = 0 e73896: 0.5 b4245 + 0.5 x18645 - x28394 = 0 e73897: 0.5 b4246 + 0.5 x18646 - x28395 = 0 e73898: 0.5 b4247 + 0.5 x18647 - x28396 = 0 e73899: 0.5 b4248 + 0.5 x18648 - x28397 = 0 e73900: 0.5 b4249 + 0.5 x18649 - x28398 = 0 e73901: 0.5 b4250 + 0.5 x18650 - x28399 = 0 e73902: 0.5 b4251 + 0.5 x18651 - x28400 = 0 e73903: 0.5 b4252 + 0.5 x18652 - x28401 = 0 e73904: 0.5 b4253 + 0.5 x18653 - x28402 = 0 e73905: 0.5 b4254 + 0.5 x18654 - x28403 = 0 e73906: 0.5 b4255 + 0.5 x18655 - x28404 = 0 e73907: 0.5 b4256 + 0.5 x18656 - x28405 = 0 e73908: 0.5 b4257 + 0.5 x18657 - x28406 = 0 e73909: 0.5 b4258 + 0.5 x18658 - x28407 = 0 e73910: 0.5 b4259 + 0.5 x18659 - x28408 = 0 e73911: 0.5 b4260 + 0.5 x18660 - x28409 = 0 e73912: 0.5 b4261 + 0.5 x18661 - x28410 = 0 e73913: 0.5 b4262 + 0.5 x18662 - x28411 = 0 e73914: 0.5 b4263 + 0.5 x18663 - x28412 = 0 e73915: 0.5 b4264 + 0.5 x18664 - x28413 = 0 e73916: 0.5 b4265 + 0.5 x18665 - x28414 = 0 e73917: 0.5 b4266 + 0.5 x18666 - x28415 = 0 e73918: 0.5 b4267 + 0.5 x18667 - x28416 = 0 e73919: 0.5 b4268 + 0.5 x18668 - x28417 = 0 e73920: 0.5 b4269 + 0.5 x18669 - x28418 = 0 e73921: 0.5 b4270 + 0.5 x18670 - x28419 = 0 e73922: 0.5 b4271 + 0.5 x18671 - x28420 = 0 e73923: 0.5 b4272 + 0.5 x18672 - x28421 = 0 e73924: 0.5 b4273 + 0.5 x18673 - x28422 = 0 e73925: 0.5 b4274 + 0.5 x18674 - x28423 = 0 e73926: 0.5 b4275 + 0.5 x18675 - x28424 = 0 e73927: 0.5 b4276 + 0.5 x18676 - x28425 = 0 e73928: 0.5 b4277 + 0.5 x18677 - x28426 = 0 e73929: 0.5 b4278 + 0.5 x18678 - x28427 = 0 e73930: 0.5 b4279 + 0.5 x18679 - x28428 = 0 e73931: 0.5 b4280 + 0.5 x18680 - x28429 = 0 e73932: 0.5 b4281 + 0.5 x18681 - x28430 = 0 e73933: 0.5 b4282 + 0.5 x18682 - x28431 = 0 e73934: 0.5 b4283 + 0.5 x18683 - x28432 = 0 e73935: 0.5 b4284 + 0.5 x18684 - x28433 = 0 e73936: 0.5 b4285 + 0.5 x18685 - x28434 = 0 e73937: 0.5 b4286 + 0.5 x18686 - x28435 = 0 e73938: 0.5 b4287 + 0.5 x18687 - x28436 = 0 e73939: 0.5 b4288 + 0.5 x18688 - x28437 = 0 e73940: 0.5 b4289 + 0.5 x18689 - x28438 = 0 e73941: 0.5 b4290 + 0.5 x18690 - x28439 = 0 e73942: 0.5 b4291 + 0.5 x18691 - x28440 = 0 e73943: 0.5 b4292 + 0.5 x18692 - x28441 = 0 e73944: 0.5 b4293 + 0.5 x18693 - x28442 = 0 e73945: 0.5 b4294 + 0.5 x18694 - x28443 = 0 e73946: 0.5 b4295 + 0.5 x18695 - x28444 = 0 e73947: 0.5 b4296 + 0.5 x18696 - x28445 = 0 e73948: 0.5 b4297 + 0.5 x18697 - x28446 = 0 e73949: 0.5 b4298 + 0.5 x18698 - x28447 = 0 e73950: 0.5 b4299 + 0.5 x18699 - x28448 = 0 e73951: 0.5 b4300 + 0.5 x18700 - x28449 = 0 e73952: 0.5 b4301 + 0.5 x18701 - x28450 = 0 e73953: 0.5 b4302 + 0.5 x18702 - x28451 = 0 e73954: 0.5 b4303 + 0.5 x18703 - x28452 = 0 e73955: 0.5 b4304 + 0.5 x18704 - x28453 = 0 e73956: 0.5 b4305 + 0.5 x18705 - x28454 = 0 e73957: 0.5 b4306 + 0.5 x18706 - x28455 = 0 e73958: 0.5 b4307 + 0.5 x18707 - x28456 = 0 e73959: 0.5 b4308 + 0.5 x18708 - x28457 = 0 e73960: 0.5 b4309 + 0.5 x18709 - x28458 = 0 e73961: 0.5 b4310 + 0.5 x18710 - x28459 = 0 e73962: 0.5 b4311 + 0.5 x18711 - x28460 = 0 e73963: 0.5 b4312 + 0.5 x18712 - x28461 = 0 e73964: 0.5 b4313 + 0.5 x18713 - x28462 = 0 e73965: 0.5 b4314 + 0.5 x18714 - x28463 = 0 e73966: 0.5 b4315 + 0.5 x18715 - x28464 = 0 e73967: 0.5 b4316 + 0.5 x18716 - x28465 = 0 e73968: 0.5 b4317 + 0.5 x18717 - x28466 = 0 e73969: 0.5 b4318 + 0.5 x18718 - x28467 = 0 e73970: 0.5 b4319 + 0.5 x18719 - x28468 = 0 e73971: 0.5 b4320 + 0.5 x18720 - x28469 = 0 e73972: 0.5 b4321 + 0.5 x18721 - x28470 = 0 e73973: 0.5 b4322 + 0.5 x18722 - x28471 = 0 e73974: 0.5 b4323 + 0.5 x18723 - x28472 = 0 e73975: 0.5 b4324 + 0.5 x18724 - x28473 = 0 e73976: 0.5 b4325 + 0.5 x18725 - x28474 = 0 e73977: 0.5 b4326 + 0.5 x18726 - x28475 = 0 e73978: 0.5 b4327 + 0.5 x18727 - x28476 = 0 e73979: 0.5 b4328 + 0.5 x18728 - x28477 = 0 e73980: 0.5 b4329 + 0.5 x18729 - x28478 = 0 e73981: 0.5 b4330 + 0.5 x18730 - x28479 = 0 e73982: 0.5 b4331 + 0.5 x18731 - x28480 = 0 e73983: 0.5 b4332 + 0.5 x18732 - x28481 = 0 e73984: 0.5 b4333 + 0.5 x18733 - x28482 = 0 e73985: 0.5 b4334 + 0.5 x18734 - x28483 = 0 e73986: 0.5 b4335 + 0.5 x18735 - x28484 = 0 e73987: 0.5 b4336 + 0.5 x18736 - x28485 = 0 e73988: 0.5 b4337 + 0.5 x18737 - x28486 = 0 e73989: 0.5 b4338 + 0.5 x18738 - x28487 = 0 e73990: 0.5 b4339 + 0.5 x18739 - x28488 = 0 e73991: 0.5 b4340 + 0.5 x18740 - x28489 = 0 e73992: 0.5 b4341 + 0.5 x18741 - x28490 = 0 e73993: 0.5 b4342 + 0.5 x18742 - x28491 = 0 e73994: 0.5 b4343 + 0.5 x18743 - x28492 = 0 e73995: 0.5 b4344 + 0.5 x18744 - x28493 = 0 e73996: 0.5 b4345 + 0.5 x18745 - x28494 = 0 e73997: 0.5 b4346 + 0.5 x18746 - x28495 = 0 e73998: 0.5 b4347 + 0.5 x18747 - x28496 = 0 e73999: 0.5 b4348 + 0.5 x18748 - x28497 = 0 e74000: 0.5 b4349 + 0.5 x18749 - x28498 = 0 e74001: 0.5 b4350 + 0.5 x18750 - x28499 = 0 e74002: 0.5 b4351 + 0.5 x18751 - x28500 = 0 e74003: 0.5 b4352 + 0.5 x18752 - x28501 = 0 e74004: 0.5 b4353 + 0.5 x18753 - x28502 = 0 e74005: 0.5 b4354 + 0.5 x18754 - x28503 = 0 e74006: 0.5 b4355 + 0.5 x18755 - x28504 = 0 e74007: 0.5 b4356 + 0.5 x18756 - x28505 = 0 e74008: 0.5 b4357 + 0.5 x18757 - x28506 = 0 e74009: 0.5 b4358 + 0.5 x18758 - x28507 = 0 e74010: 0.5 b4359 + 0.5 x18759 - x28508 = 0 e74011: 0.5 b4360 + 0.5 x18760 - x28509 = 0 e74012: 0.5 b4361 + 0.5 x18761 - x28510 = 0 e74013: 0.5 b4362 + 0.5 x18762 - x28511 = 0 e74014: 0.5 b4363 + 0.5 x18763 - x28512 = 0 e74015: 0.5 b4364 + 0.5 x18764 - x28513 = 0 e74016: 0.5 b4365 + 0.5 x18765 - x28514 = 0 e74017: 0.5 b4366 + 0.5 x18766 - x28515 = 0 e74018: 0.5 b4367 + 0.5 x18767 - x28516 = 0 e74019: 0.5 b4368 + 0.5 x18768 - x28517 = 0 e74020: 0.5 b4369 + 0.5 x18769 - x28518 = 0 e74021: 0.5 b4370 + 0.5 x18770 - x28519 = 0 e74022: 0.5 b4371 + 0.5 x18771 - x28520 = 0 e74023: 0.5 b4372 + 0.5 x18772 - x28521 = 0 e74024: 0.5 b4373 + 0.5 x18773 - x28522 = 0 e74025: 0.5 b4374 + 0.5 x18774 - x28523 = 0 e74026: 0.5 b4375 + 0.5 x18775 - x28524 = 0 e74027: 0.5 b4376 + 0.5 x18776 - x28525 = 0 e74028: 0.5 b4377 + 0.5 x18777 - x28526 = 0 e74029: 0.5 b4378 + 0.5 x18778 - x28527 = 0 e74030: 0.5 b4379 + 0.5 x18779 - x28528 = 0 e74031: 0.5 b4380 + 0.5 x18780 - x28529 = 0 e74032: 0.5 b4381 + 0.5 x18781 - x28530 = 0 e74033: 0.5 b4382 + 0.5 x18782 - x28531 = 0 e74034: 0.5 b4383 + 0.5 x18783 - x28532 = 0 e74035: 0.5 b4384 + 0.5 x18784 - x28533 = 0 e74036: 0.5 b4385 + 0.5 x18785 - x28534 = 0 e74037: 0.5 b4386 + 0.5 x18786 - x28535 = 0 e74038: 0.5 b4387 + 0.5 x18787 - x28536 = 0 e74039: 0.5 b4388 + 0.5 x18788 - x28537 = 0 e74040: 0.5 b4389 + 0.5 x18789 - x28538 = 0 e74041: 0.5 b4390 + 0.5 x18790 - x28539 = 0 e74042: 0.5 b4391 + 0.5 x18791 - x28540 = 0 e74043: 0.5 b4392 + 0.5 x18792 - x28541 = 0 e74044: 0.5 b4393 + 0.5 x18793 - x28542 = 0 e74045: 0.5 b4394 + 0.5 x18794 - x28543 = 0 e74046: 0.5 b4395 + 0.5 x18795 - x28544 = 0 e74047: 0.5 b4396 + 0.5 x18796 - x28545 = 0 e74048: 0.5 b4397 + 0.5 x18797 - x28546 = 0 e74049: 0.5 b4398 + 0.5 x18798 - x28547 = 0 e74050: 0.5 b4399 + 0.5 x18799 - x28548 = 0 e74051: 0.5 b4400 + 0.5 x18800 - x28549 = 0 e74052: 0.5 b4401 + 0.5 x18801 - x28550 = 0 e74053: 0.5 b4402 + 0.5 x18802 - x28551 = 0 e74054: 0.5 b4403 + 0.5 x18803 - x28552 = 0 e74055: 0.5 b4404 + 0.5 x18804 - x28553 = 0 e74056: 0.5 b4405 + 0.5 x18805 - x28554 = 0 e74057: 0.5 b4406 + 0.5 x18806 - x28555 = 0 e74058: 0.5 b4407 + 0.5 x18807 - x28556 = 0 e74059: 0.5 b4408 + 0.5 x18808 - x28557 = 0 e74060: 0.5 b4409 + 0.5 x18809 - x28558 = 0 e74061: 0.5 b4410 + 0.5 x18810 - x28559 = 0 e74062: 0.5 b4411 + 0.5 x18811 - x28560 = 0 e74063: 0.5 b4412 + 0.5 x18812 - x28561 = 0 e74064: 0.5 b4413 + 0.5 x18813 - x28562 = 0 e74065: 0.5 b4414 + 0.5 x18814 - x28563 = 0 e74066: 0.5 b4415 + 0.5 x18815 - x28564 = 0 e74067: 0.5 b4416 + 0.5 x18816 - x28565 = 0 e74068: 0.5 b4417 + 0.5 x18817 - x28566 = 0 e74069: 0.5 b4418 + 0.5 x18818 - x28567 = 0 e74070: 0.5 b4419 + 0.5 x18819 - x28568 = 0 e74071: 0.5 b4420 + 0.5 x18820 - x28569 = 0 e74072: 0.5 b4421 + 0.5 x18821 - x28570 = 0 e74073: 0.5 b4422 + 0.5 x18822 - x28571 = 0 e74074: 0.5 b4423 + 0.5 x18823 - x28572 = 0 e74075: 0.5 b4424 + 0.5 x18824 - x28573 = 0 e74076: 0.5 b4425 + 0.5 x18825 - x28574 = 0 e74077: 0.5 b4426 + 0.5 x18826 - x28575 = 0 e74078: 0.5 b4427 + 0.5 x18827 - x28576 = 0 e74079: 0.5 b4428 + 0.5 x18828 - x28577 = 0 e74080: 0.5 b4429 + 0.5 x18829 - x28578 = 0 e74081: 0.5 b4430 + 0.5 x18830 - x28579 = 0 e74082: 0.5 b4431 + 0.5 x18831 - x28580 = 0 e74083: 0.5 b4432 + 0.5 x18832 - x28581 = 0 e74084: 0.5 b4433 + 0.5 x18833 - x28582 = 0 e74085: 0.5 b4434 + 0.5 x18834 - x28583 = 0 e74086: 0.5 b4435 + 0.5 x18835 - x28584 = 0 e74087: 0.5 b4436 + 0.5 x18836 - x28585 = 0 e74088: 0.5 b4437 + 0.5 x18837 - x28586 = 0 e74089: 0.5 b4438 + 0.5 x18838 - x28587 = 0 e74090: 0.5 b4439 + 0.5 x18839 - x28588 = 0 e74091: 0.5 b4440 + 0.5 x18840 - x28589 = 0 e74092: 0.5 b4441 + 0.5 x18841 - x28590 = 0 e74093: 0.5 b4442 + 0.5 x18842 - x28591 = 0 e74094: 0.5 b4443 + 0.5 x18843 - x28592 = 0 e74095: 0.5 b4444 + 0.5 x18844 - x28593 = 0 e74096: 0.5 b4445 + 0.5 x18845 - x28594 = 0 e74097: 0.5 b4446 + 0.5 x18846 - x28595 = 0 e74098: 0.5 b4447 + 0.5 x18847 - x28596 = 0 e74099: 0.5 b4448 + 0.5 x18848 - x28597 = 0 e74100: 0.5 b4449 + 0.5 x18849 - x28598 = 0 e74101: 0.5 b4450 + 0.5 x18850 - x28599 = 0 e74102: 0.5 b4451 + 0.5 x18851 - x28600 = 0 e74103: 0.5 b4452 + 0.5 x18852 - x28601 = 0 e74104: 0.5 b4453 + 0.5 x18853 - x28602 = 0 e74105: 0.5 b4454 + 0.5 x18854 - x28603 = 0 e74106: 0.5 b4455 + 0.5 x18855 - x28604 = 0 e74107: 0.5 b4456 + 0.5 x18856 - x28605 = 0 e74108: 0.5 b4457 + 0.5 x18857 - x28606 = 0 e74109: 0.5 b4458 + 0.5 x18858 - x28607 = 0 e74110: 0.5 b4459 + 0.5 x18859 - x28608 = 0 e74111: 0.5 b4460 + 0.5 x18860 - x28609 = 0 e74112: 0.5 b4461 + 0.5 x18861 - x28610 = 0 e74113: 0.5 b4462 + 0.5 x18862 - x28611 = 0 e74114: 0.5 b4463 + 0.5 x18863 - x28612 = 0 e74115: 0.5 b4464 + 0.5 x18864 - x28613 = 0 e74116: 0.5 b4465 + 0.5 x18865 - x28614 = 0 e74117: 0.5 b4466 + 0.5 x18866 - x28615 = 0 e74118: 0.5 b4467 + 0.5 x18867 - x28616 = 0 e74119: 0.5 b4468 + 0.5 x18868 - x28617 = 0 e74120: 0.5 b4469 + 0.5 x18869 - x28618 = 0 e74121: 0.5 b4470 + 0.5 x18870 - x28619 = 0 e74122: 0.5 b4471 + 0.5 x18871 - x28620 = 0 e74123: 0.5 b4472 + 0.5 x18872 - x28621 = 0 e74124: 0.5 b4473 + 0.5 x18873 - x28622 = 0 e74125: 0.5 b4474 + 0.5 x18874 - x28623 = 0 e74126: 0.5 b4475 + 0.5 x18875 - x28624 = 0 e74127: 0.5 b4476 + 0.5 x18876 - x28625 = 0 e74128: 0.5 b4477 + 0.5 x18877 - x28626 = 0 e74129: 0.5 b4478 + 0.5 x18878 - x28627 = 0 e74130: 0.5 b4479 + 0.5 x18879 - x28628 = 0 e74131: 0.5 b4480 + 0.5 x18880 - x28629 = 0 e74132: 0.5 b4481 + 0.5 x18881 - x28630 = 0 e74133: 0.5 b4482 + 0.5 x18882 - x28631 = 0 e74134: 0.5 b4483 + 0.5 x18883 - x28632 = 0 e74135: 0.5 b4484 + 0.5 x18884 - x28633 = 0 e74136: 0.5 b4485 + 0.5 x18885 - x28634 = 0 e74137: 0.5 b4486 + 0.5 x18886 - x28635 = 0 e74138: 0.5 b4487 + 0.5 x18887 - x28636 = 0 e74139: 0.5 b4488 + 0.5 x18888 - x28637 = 0 e74140: 0.5 b4489 + 0.5 x18889 - x28638 = 0 e74141: 0.5 b4490 + 0.5 x18890 - x28639 = 0 e74142: 0.5 b4491 + 0.5 x18891 - x28640 = 0 e74143: 0.5 b4492 + 0.5 x18892 - x28641 = 0 e74144: 0.5 b4493 + 0.5 x18893 - x28642 = 0 e74145: 0.5 b4494 + 0.5 x18894 - x28643 = 0 e74146: 0.5 b4495 + 0.5 x18895 - x28644 = 0 e74147: 0.5 b4496 + 0.5 x18896 - x28645 = 0 e74148: 0.5 b4497 + 0.5 x18897 - x28646 = 0 e74149: 0.5 b4498 + 0.5 x18898 - x28647 = 0 e74150: 0.5 b4499 + 0.5 x18899 - x28648 = 0 e74151: 0.5 b4500 + 0.5 x18900 - x28649 = 0 e74152: 0.5 b4501 + 0.5 x18901 - x28650 = 0 e74153: 0.5 b4502 + 0.5 x18902 - x28651 = 0 e74154: 0.5 b4503 + 0.5 x18903 - x28652 = 0 e74155: 0.5 b4504 + 0.5 x18904 - x28653 = 0 e74156: 0.5 b4505 + 0.5 x18905 - x28654 = 0 e74157: 0.5 b4506 + 0.5 x18906 - x28655 = 0 e74158: 0.5 b4507 + 0.5 x18907 - x28656 = 0 e74159: 0.5 b4508 + 0.5 x18908 - x28657 = 0 e74160: 0.5 b4509 + 0.5 x18909 - x28658 = 0 e74161: 0.5 b4510 + 0.5 x18910 - x28659 = 0 e74162: 0.5 b4511 + 0.5 x18911 - x28660 = 0 e74163: 0.5 b4512 + 0.5 x18912 - x28661 = 0 e74164: 0.5 b4513 + 0.5 x18913 - x28662 = 0 e74165: 0.5 b4514 + 0.5 x18914 - x28663 = 0 e74166: 0.5 b4515 + 0.5 x18915 - x28664 = 0 e74167: 0.5 b4516 + 0.5 x18916 - x28665 = 0 e74168: 0.5 b4517 + 0.5 x18917 - x28666 = 0 e74169: 0.5 b4518 + 0.5 x18918 - x28667 = 0 e74170: 0.5 b4519 + 0.5 x18919 - x28668 = 0 e74171: 0.5 b4520 + 0.5 x18920 - x28669 = 0 e74172: 0.5 b4521 + 0.5 x18921 - x28670 = 0 e74173: 0.5 b4522 + 0.5 x18922 - x28671 = 0 e74174: 0.5 b4523 + 0.5 x18923 - x28672 = 0 e74175: 0.5 b4524 + 0.5 x18924 - x28673 = 0 e74176: 0.5 b4525 + 0.5 x18925 - x28674 = 0 e74177: 0.5 b4526 + 0.5 x18926 - x28675 = 0 e74178: 0.5 b4527 + 0.5 x18927 - x28676 = 0 e74179: 0.5 b4528 + 0.5 x18928 - x28677 = 0 e74180: 0.5 b4529 + 0.5 x18929 - x28678 = 0 e74181: 0.5 b4530 + 0.5 x18930 - x28679 = 0 e74182: 0.5 b4531 + 0.5 x18931 - x28680 = 0 e74183: 0.5 b4532 + 0.5 x18932 - x28681 = 0 e74184: 0.5 b4533 + 0.5 x18933 - x28682 = 0 e74185: 0.5 b4534 + 0.5 x18934 - x28683 = 0 e74186: 0.5 b4535 + 0.5 x18935 - x28684 = 0 e74187: 0.5 b4536 + 0.5 x18936 - x28685 = 0 e74188: 0.5 b4537 + 0.5 x18937 - x28686 = 0 e74189: 0.5 b4538 + 0.5 x18938 - x28687 = 0 e74190: 0.5 b4539 + 0.5 x18939 - x28688 = 0 e74191: 0.5 b4540 + 0.5 x18940 - x28689 = 0 e74192: 0.5 b4541 + 0.5 x18941 - x28690 = 0 e74193: 0.5 b4542 + 0.5 x18942 - x28691 = 0 e74194: 0.5 b4543 + 0.5 x18943 - x28692 = 0 e74195: 0.5 b4544 + 0.5 x18944 - x28693 = 0 e74196: 0.5 b4545 + 0.5 x18945 - x28694 = 0 e74197: 0.5 b4546 + 0.5 x18946 - x28695 = 0 e74198: 0.5 b4547 + 0.5 x18947 - x28696 = 0 e74199: 0.5 b4548 + 0.5 x18948 - x28697 = 0 e74200: 0.5 b4549 + 0.5 x18949 - x28698 = 0 e74201: 0.5 b4550 + 0.5 x18950 - x28699 = 0 e74202: 0.5 b4551 + 0.5 x18951 - x28700 = 0 e74203: 0.5 b4552 + 0.5 x18952 - x28701 = 0 e74204: 0.5 b4553 + 0.5 x18953 - x28702 = 0 e74205: 0.5 b4554 + 0.5 x18954 - x28703 = 0 e74206: 0.5 b4555 + 0.5 x18955 - x28704 = 0 e74207: 0.5 b4556 + 0.5 x18956 - x28705 = 0 e74208: 0.5 b4557 + 0.5 x18957 - x28706 = 0 e74209: 0.5 b4558 + 0.5 x18958 - x28707 = 0 e74210: 0.5 b4559 + 0.5 x18959 - x28708 = 0 e74211: 0.5 b4560 + 0.5 x18960 - x28709 = 0 e74212: 0.5 b4561 + 0.5 x18961 - x28710 = 0 e74213: 0.5 b4562 + 0.5 x18962 - x28711 = 0 e74214: 0.5 b4563 + 0.5 x18963 - x28712 = 0 e74215: 0.5 b4564 + 0.5 x18964 - x28713 = 0 e74216: 0.5 b4565 + 0.5 x18965 - x28714 = 0 e74217: 0.5 b4566 + 0.5 x18966 - x28715 = 0 e74218: 0.5 b4567 + 0.5 x18967 - x28716 = 0 e74219: 0.5 b4568 + 0.5 x18968 - x28717 = 0 e74220: 0.5 b4569 + 0.5 x18969 - x28718 = 0 e74221: 0.5 b4570 + 0.5 x18970 - x28719 = 0 e74222: 0.5 b4571 + 0.5 x18971 - x28720 = 0 e74223: 0.5 b4572 + 0.5 x18972 - x28721 = 0 e74224: 0.5 b4573 + 0.5 x18973 - x28722 = 0 e74225: 0.5 b4574 + 0.5 x18974 - x28723 = 0 e74226: 0.5 b4575 + 0.5 x18975 - x28724 = 0 e74227: 0.5 b4576 + 0.5 x18976 - x28725 = 0 e74228: 0.5 b4577 + 0.5 x18977 - x28726 = 0 e74229: 0.5 b4578 + 0.5 x18978 - x28727 = 0 e74230: 0.5 b4579 + 0.5 x18979 - x28728 = 0 e74231: 0.5 b4580 + 0.5 x18980 - x28729 = 0 e74232: 0.5 b4581 + 0.5 x18981 - x28730 = 0 e74233: 0.5 b4582 + 0.5 x18982 - x28731 = 0 e74234: 0.5 b4583 + 0.5 x18983 - x28732 = 0 e74235: 0.5 b4584 + 0.5 x18984 - x28733 = 0 e74236: 0.5 b4585 + 0.5 x18985 - x28734 = 0 e74237: 0.5 b4586 + 0.5 x18986 - x28735 = 0 e74238: 0.5 b4587 + 0.5 x18987 - x28736 = 0 e74239: 0.5 b4588 + 0.5 x18988 - x28737 = 0 e74240: 0.5 b4589 + 0.5 x18989 - x28738 = 0 e74241: 0.5 b4590 + 0.5 x18990 - x28739 = 0 e74242: 0.5 b4591 + 0.5 x18991 - x28740 = 0 e74243: 0.5 b4592 + 0.5 x18992 - x28741 = 0 e74244: 0.5 b4593 + 0.5 x18993 - x28742 = 0 e74245: 0.5 b4594 + 0.5 x18994 - x28743 = 0 e74246: 0.5 b4595 + 0.5 x18995 - x28744 = 0 e74247: 0.5 b4596 + 0.5 x18996 - x28745 = 0 e74248: 0.5 b4597 + 0.5 x18997 - x28746 = 0 e74249: 0.5 b4598 + 0.5 x18998 - x28747 = 0 e74250: 0.5 b4599 + 0.5 x18999 - x28748 = 0 e74251: 0.5 b4600 + 0.5 x19000 - x28749 = 0 e74252: 0.5 b4601 + 0.5 x19001 - x28750 = 0 e74253: 0.5 b4602 + 0.5 x19002 - x28751 = 0 e74254: 0.5 b4603 + 0.5 x19003 - x28752 = 0 e74255: 0.5 b4604 + 0.5 x19004 - x28753 = 0 e74256: 0.5 b4605 + 0.5 x19005 - x28754 = 0 e74257: 0.5 b4606 + 0.5 x19006 - x28755 = 0 e74258: 0.5 b4607 + 0.5 x19007 - x28756 = 0 e74259: 0.5 b4608 + 0.5 x19008 - x28757 = 0 e74260: 0.5 b4609 + 0.5 x19009 - x28758 = 0 e74261: 0.5 b4610 + 0.5 x19010 - x28759 = 0 e74262: 0.5 b4611 + 0.5 x19011 - x28760 = 0 e74263: 0.5 b4612 + 0.5 x19012 - x28761 = 0 e74264: 0.5 b4613 + 0.5 x19013 - x28762 = 0 e74265: 0.5 b4614 + 0.5 x19014 - x28763 = 0 e74266: 0.5 b4615 + 0.5 x19015 - x28764 = 0 e74267: 0.5 b4616 + 0.5 x19016 - x28765 = 0 e74268: 0.5 b4617 + 0.5 x19017 - x28766 = 0 e74269: 0.5 b4618 + 0.5 x19018 - x28767 = 0 e74270: 0.5 b4619 + 0.5 x19019 - x28768 = 0 e74271: 0.5 b4620 + 0.5 x19020 - x28769 = 0 e74272: 0.5 b4621 + 0.5 x19021 - x28770 = 0 e74273: 0.5 b4622 + 0.5 x19022 - x28771 = 0 e74274: 0.5 b4623 + 0.5 x19023 - x28772 = 0 e74275: 0.5 b4624 + 0.5 x19024 - x28773 = 0 e74276: 0.5 b4625 + 0.5 x19025 - x28774 = 0 e74277: 0.5 b4626 + 0.5 x19026 - x28775 = 0 e74278: 0.5 b4627 + 0.5 x19027 - x28776 = 0 e74279: 0.5 b4628 + 0.5 x19028 - x28777 = 0 e74280: 0.5 b4629 + 0.5 x19029 - x28778 = 0 e74281: 0.5 b4630 + 0.5 x19030 - x28779 = 0 e74282: 0.5 b4631 + 0.5 x19031 - x28780 = 0 e74283: 0.5 b4632 + 0.5 x19032 - x28781 = 0 e74284: 0.5 b4633 + 0.5 x19033 - x28782 = 0 e74285: 0.5 b4634 + 0.5 x19034 - x28783 = 0 e74286: 0.5 b4635 + 0.5 x19035 - x28784 = 0 e74287: 0.5 b4636 + 0.5 x19036 - x28785 = 0 e74288: 0.5 b4637 + 0.5 x19037 - x28786 = 0 e74289: 0.5 b4638 + 0.5 x19038 - x28787 = 0 e74290: 0.5 b4639 + 0.5 x19039 - x28788 = 0 e74291: 0.5 b4640 + 0.5 x19040 - x28789 = 0 e74292: 0.5 b4641 + 0.5 x19041 - x28790 = 0 e74293: 0.5 b4642 + 0.5 x19042 - x28791 = 0 e74294: 0.5 b4643 + 0.5 x19043 - x28792 = 0 e74295: 0.5 b4644 + 0.5 x19044 - x28793 = 0 e74296: 0.5 b4645 + 0.5 x19045 - x28794 = 0 e74297: 0.5 b4646 + 0.5 x19046 - x28795 = 0 e74298: 0.5 b4647 + 0.5 x19047 - x28796 = 0 e74299: 0.5 b4648 + 0.5 x19048 - x28797 = 0 e74300: 0.5 b4649 + 0.5 x19049 - x28798 = 0 e74301: 0.5 b4650 + 0.5 x19050 - x28799 = 0 e74302: 0.5 b4651 + 0.5 x19051 - x28800 = 0 e74303: 0.5 b4652 + 0.5 x19052 - x28801 = 0 e74304: 0.5 b4653 + 0.5 x19053 - x28802 = 0 e74305: 0.5 b4654 + 0.5 x19054 - x28803 = 0 e74306: 0.5 b4655 + 0.5 x19055 - x28804 = 0 e74307: 0.5 b4656 + 0.5 x19056 - x28805 = 0 e74308: 0.5 b4657 + 0.5 x19057 - x28806 = 0 e74309: 0.5 b4658 + 0.5 x19058 - x28807 = 0 e74310: 0.5 b4659 + 0.5 x19059 - x28808 = 0 e74311: 0.5 b4660 + 0.5 x19060 - x28809 = 0 e74312: 0.5 b4661 + 0.5 x19061 - x28810 = 0 e74313: 0.5 b4662 + 0.5 x19062 - x28811 = 0 e74314: 0.5 b4663 + 0.5 x19063 - x28812 = 0 e74315: 0.5 b4664 + 0.5 x19064 - x28813 = 0 e74316: 0.5 b4665 + 0.5 x19065 - x28814 = 0 e74317: 0.5 b4666 + 0.5 x19066 - x28815 = 0 e74318: 0.5 b4667 + 0.5 x19067 - x28816 = 0 e74319: 0.5 b4668 + 0.5 x19068 - x28817 = 0 e74320: 0.5 b4669 + 0.5 x19069 - x28818 = 0 e74321: 0.5 b4670 + 0.5 x19070 - x28819 = 0 e74322: 0.5 b4671 + 0.5 x19071 - x28820 = 0 e74323: 0.5 b4672 + 0.5 x19072 - x28821 = 0 e74324: 0.5 b4673 + 0.5 x19073 - x28822 = 0 e74325: 0.5 b4674 + 0.5 x19074 - x28823 = 0 e74326: 0.5 b4675 + 0.5 x19075 - x28824 = 0 e74327: 0.5 b4676 + 0.5 x19076 - x28825 = 0 e74328: 0.5 b4677 + 0.5 x19077 - x28826 = 0 e74329: 0.5 b4678 + 0.5 x19078 - x28827 = 0 e74330: 0.5 b4679 + 0.5 x19079 - x28828 = 0 e74331: 0.5 b4680 + 0.5 x19080 - x28829 = 0 e74332: 0.5 b4681 + 0.5 x19081 - x28830 = 0 e74333: 0.5 b4682 + 0.5 x19082 - x28831 = 0 e74334: 0.5 b4683 + 0.5 x19083 - x28832 = 0 e74335: 0.5 b4684 + 0.5 x19084 - x28833 = 0 e74336: 0.5 b4685 + 0.5 x19085 - x28834 = 0 e74337: 0.5 b4686 + 0.5 x19086 - x28835 = 0 e74338: 0.5 b4687 + 0.5 x19087 - x28836 = 0 e74339: 0.5 b4688 + 0.5 x19088 - x28837 = 0 e74340: 0.5 b4689 + 0.5 x19089 - x28838 = 0 e74341: 0.5 b4690 + 0.5 x19090 - x28839 = 0 e74342: 0.5 b4691 + 0.5 x19091 - x28840 = 0 e74343: 0.5 b4692 + 0.5 x19092 - x28841 = 0 e74344: 0.5 b4693 + 0.5 x19093 - x28842 = 0 e74345: 0.5 b4694 + 0.5 x19094 - x28843 = 0 e74346: 0.5 b4695 + 0.5 x19095 - x28844 = 0 e74347: 0.5 b4696 + 0.5 x19096 - x28845 = 0 e74348: 0.5 b4697 + 0.5 x19097 - x28846 = 0 e74349: 0.5 b4698 + 0.5 x19098 - x28847 = 0 e74350: 0.5 b4699 + 0.5 x19099 - x28848 = 0 e74351: 0.5 b4700 + 0.5 x19100 - x28849 = 0 e74352: 0.5 b4701 + 0.5 x19101 - x28850 = 0 e74353: 0.5 b4702 + 0.5 x19102 - x28851 = 0 e74354: 0.5 b4703 + 0.5 x19103 - x28852 = 0 e74355: 0.5 b4704 + 0.5 x19104 - x28853 = 0 e74356: 0.5 b4705 + 0.5 x19105 - x28854 = 0 e74357: 0.5 b4706 + 0.5 x19106 - x28855 = 0 e74358: 0.5 b4707 + 0.5 x19107 - x28856 = 0 e74359: 0.5 b4708 + 0.5 x19108 - x28857 = 0 e74360: 0.5 b4709 + 0.5 x19109 - x28858 = 0 e74361: 0.5 b4710 + 0.5 x19110 - x28859 = 0 e74362: 0.5 b4711 + 0.5 x19111 - x28860 = 0 e74363: 0.5 b4712 + 0.5 x19112 - x28861 = 0 e74364: 0.5 b4713 + 0.5 x19113 - x28862 = 0 e74365: 0.5 b4714 + 0.5 x19114 - x28863 = 0 e74366: 0.5 b4715 + 0.5 x19115 - x28864 = 0 e74367: 0.5 b4716 + 0.5 x19116 - x28865 = 0 e74368: 0.5 b4717 + 0.5 x19117 - x28866 = 0 e74369: 0.5 b4718 + 0.5 x19118 - x28867 = 0 e74370: 0.5 b4719 + 0.5 x19119 - x28868 = 0 e74371: 0.5 b4720 + 0.5 x19120 - x28869 = 0 e74372: 0.5 b4721 + 0.5 x19121 - x28870 = 0 e74373: 0.5 b4722 + 0.5 x19122 - x28871 = 0 e74374: 0.5 b4723 + 0.5 x19123 - x28872 = 0 e74375: 0.5 b4724 + 0.5 x19124 - x28873 = 0 e74376: 0.5 b4725 + 0.5 x19125 - x28874 = 0 e74377: 0.5 b4726 + 0.5 x19126 - x28875 = 0 e74378: 0.5 b4727 + 0.5 x19127 - x28876 = 0 e74379: 0.5 b4728 + 0.5 x19128 - x28877 = 0 e74380: 0.5 b4729 + 0.5 x19129 - x28878 = 0 e74381: 0.5 b4730 + 0.5 x19130 - x28879 = 0 e74382: 0.5 b4731 + 0.5 x19131 - x28880 = 0 e74383: 0.5 b4732 + 0.5 x19132 - x28881 = 0 e74384: 0.5 b4733 + 0.5 x19133 - x28882 = 0 e74385: 0.5 b4734 + 0.5 x19134 - x28883 = 0 e74386: 0.5 b4735 + 0.5 x19135 - x28884 = 0 e74387: 0.5 b4736 + 0.5 x19136 - x28885 = 0 e74388: 0.5 b4737 + 0.5 x19137 - x28886 = 0 e74389: 0.5 b4738 + 0.5 x19138 - x28887 = 0 e74390: 0.5 b4739 + 0.5 x19139 - x28888 = 0 e74391: 0.5 b4740 + 0.5 x19140 - x28889 = 0 e74392: 0.5 b4741 + 0.5 x19141 - x28890 = 0 e74393: 0.5 b4742 + 0.5 x19142 - x28891 = 0 e74394: 0.5 b4743 + 0.5 x19143 - x28892 = 0 e74395: 0.5 b4744 + 0.5 x19144 - x28893 = 0 e74396: 0.5 b4745 + 0.5 x19145 - x28894 = 0 e74397: 0.5 b4746 + 0.5 x19146 - x28895 = 0 e74398: 0.5 b4747 + 0.5 x19147 - x28896 = 0 e74399: 0.5 b4748 + 0.5 x19148 - x28897 = 0 e74400: 0.5 b4749 + 0.5 x19149 - x28898 = 0 e74401: 0.5 b4750 + 0.5 x19150 - x28899 = 0 e74402: 0.5 b4751 + 0.5 x19151 - x28900 = 0 e74403: 0.5 b4752 + 0.5 x19152 - x28901 = 0 e74404: 0.5 b4753 + 0.5 x19153 - x28902 = 0 e74405: 0.5 b4754 + 0.5 x19154 - x28903 = 0 e74406: 0.5 b4755 + 0.5 x19155 - x28904 = 0 e74407: 0.5 b4756 + 0.5 x19156 - x28905 = 0 e74408: 0.5 b4757 + 0.5 x19157 - x28906 = 0 e74409: 0.5 b4758 + 0.5 x19158 - x28907 = 0 e74410: 0.5 b4759 + 0.5 x19159 - x28908 = 0 e74411: 0.5 b4760 + 0.5 x19160 - x28909 = 0 e74412: 0.5 b4761 + 0.5 x19161 - x28910 = 0 e74413: 0.5 b4762 + 0.5 x19162 - x28911 = 0 e74414: 0.5 b4763 + 0.5 x19163 - x28912 = 0 e74415: 0.5 b4764 + 0.5 x19164 - x28913 = 0 e74416: 0.5 b4765 + 0.5 x19165 - x28914 = 0 e74417: 0.5 b4766 + 0.5 x19166 - x28915 = 0 e74418: 0.5 b4767 + 0.5 x19167 - x28916 = 0 e74419: 0.5 b4768 + 0.5 x19168 - x28917 = 0 e74420: 0.5 b4769 + 0.5 x19169 - x28918 = 0 e74421: 0.5 b4770 + 0.5 x19170 - x28919 = 0 e74422: 0.5 b4771 + 0.5 x19171 - x28920 = 0 e74423: 0.5 b4772 + 0.5 x19172 - x28921 = 0 e74424: 0.5 b4773 + 0.5 x19173 - x28922 = 0 e74425: 0.5 b4774 + 0.5 x19174 - x28923 = 0 e74426: 0.5 b4775 + 0.5 x19175 - x28924 = 0 e74427: 0.5 b4776 + 0.5 x19176 - x28925 = 0 e74428: 0.5 b4777 + 0.5 x19177 - x28926 = 0 e74429: 0.5 b4778 + 0.5 x19178 - x28927 = 0 e74430: 0.5 b4779 + 0.5 x19179 - x28928 = 0 e74431: 0.5 b4780 + 0.5 x19180 - x28929 = 0 e74432: 0.5 b4781 + 0.5 x19181 - x28930 = 0 e74433: 0.5 b4782 + 0.5 x19182 - x28931 = 0 e74434: 0.5 b4783 + 0.5 x19183 - x28932 = 0 e74435: 0.5 b4784 + 0.5 x19184 - x28933 = 0 e74436: 0.5 b4785 + 0.5 x19185 - x28934 = 0 e74437: 0.5 b4786 + 0.5 x19186 - x28935 = 0 e74438: 0.5 b4787 + 0.5 x19187 - x28936 = 0 e74439: 0.5 b4788 + 0.5 x19188 - x28937 = 0 e74440: 0.5 b4789 + 0.5 x19189 - x28938 = 0 e74441: 0.5 b4790 + 0.5 x19190 - x28939 = 0 e74442: 0.5 b4791 + 0.5 x19191 - x28940 = 0 e74443: 0.5 b4792 + 0.5 x19192 - x28941 = 0 e74444: 0.5 b4793 + 0.5 x19193 - x28942 = 0 e74445: 0.5 b4794 + 0.5 x19194 - x28943 = 0 e74446: 0.5 b4795 + 0.5 x19195 - x28944 = 0 e74447: 0.5 b4796 + 0.5 x19196 - x28945 = 0 e74448: 0.5 b4797 + 0.5 x19197 - x28946 = 0 e74449: 0.5 b4798 + 0.5 x19198 - x28947 = 0 e74450: 0.5 b4799 + 0.5 x19199 - x28948 = 0 e74451: 0.5 b4800 + 0.5 x19200 - x28949 = 0 e74452: 0.5 b4801 + 0.5 x19201 - x28950 = 0 e74453: [ 0.03447 x4802^2 + x19351^2 - x24151^2 ] <= 0 e74454: [ 0.03447 x4803^2 + x19352^2 - x24152^2 ] <= 0 e74455: [ 0.03447 x4804^2 + x19353^2 - x24153^2 ] <= 0 e74456: [ 0.03447 x4805^2 + x19354^2 - x24154^2 ] <= 0 e74457: [ 0.03447 x4806^2 + x19355^2 - x24155^2 ] <= 0 e74458: [ 0.03447 x4807^2 + x19356^2 - x24156^2 ] <= 0 e74459: [ 0.03447 x4808^2 + x19357^2 - x24157^2 ] <= 0 e74460: [ 0.03447 x4809^2 + x19358^2 - x24158^2 ] <= 0 e74461: [ 0.03447 x4810^2 + x19359^2 - x24159^2 ] <= 0 e74462: [ 0.03447 x4811^2 + x19360^2 - x24160^2 ] <= 0 e74463: [ 0.03447 x4812^2 + x19361^2 - x24161^2 ] <= 0 e74464: [ 0.03447 x4813^2 + x19362^2 - x24162^2 ] <= 0 e74465: [ 0.03447 x4814^2 + x19363^2 - x24163^2 ] <= 0 e74466: [ 0.03447 x4815^2 + x19364^2 - x24164^2 ] <= 0 e74467: [ 0.03447 x4816^2 + x19365^2 - x24165^2 ] <= 0 e74468: [ 0.03447 x4817^2 + x19366^2 - x24166^2 ] <= 0 e74469: [ 0.03447 x4818^2 + x19367^2 - x24167^2 ] <= 0 e74470: [ 0.03447 x4819^2 + x19368^2 - x24168^2 ] <= 0 e74471: [ 0.03447 x4820^2 + x19369^2 - x24169^2 ] <= 0 e74472: [ 0.03447 x4821^2 + x19370^2 - x24170^2 ] <= 0 e74473: [ 0.03447 x4822^2 + x19371^2 - x24171^2 ] <= 0 e74474: [ 0.03447 x4823^2 + x19372^2 - x24172^2 ] <= 0 e74475: [ 0.03447 x4824^2 + x19373^2 - x24173^2 ] <= 0 e74476: [ 0.03447 x4825^2 + x19374^2 - x24174^2 ] <= 0 e74477: [ 0.010136 x4826^2 + x19375^2 - x24175^2 ] <= 0 e74478: [ 0.010136 x4827^2 + x19376^2 - x24176^2 ] <= 0 e74479: [ 0.010136 x4828^2 + x19377^2 - x24177^2 ] <= 0 e74480: [ 0.010136 x4829^2 + x19378^2 - x24178^2 ] <= 0 e74481: [ 0.010136 x4830^2 + x19379^2 - x24179^2 ] <= 0 e74482: [ 0.010136 x4831^2 + x19380^2 - x24180^2 ] <= 0 e74483: [ 0.010136 x4832^2 + x19381^2 - x24181^2 ] <= 0 e74484: [ 0.010136 x4833^2 + x19382^2 - x24182^2 ] <= 0 e74485: [ 0.010136 x4834^2 + x19383^2 - x24183^2 ] <= 0 e74486: [ 0.010136 x4835^2 + x19384^2 - x24184^2 ] <= 0 e74487: [ 0.010136 x4836^2 + x19385^2 - x24185^2 ] <= 0 e74488: [ 0.010136 x4837^2 + x19386^2 - x24186^2 ] <= 0 e74489: [ 0.010136 x4838^2 + x19387^2 - x24187^2 ] <= 0 e74490: [ 0.010136 x4839^2 + x19388^2 - x24188^2 ] <= 0 e74491: [ 0.010136 x4840^2 + x19389^2 - x24189^2 ] <= 0 e74492: [ 0.010136 x4841^2 + x19390^2 - x24190^2 ] <= 0 e74493: [ 0.010136 x4842^2 + x19391^2 - x24191^2 ] <= 0 e74494: [ 0.010136 x4843^2 + x19392^2 - x24192^2 ] <= 0 e74495: [ 0.010136 x4844^2 + x19393^2 - x24193^2 ] <= 0 e74496: [ 0.010136 x4845^2 + x19394^2 - x24194^2 ] <= 0 e74497: [ 0.010136 x4846^2 + x19395^2 - x24195^2 ] <= 0 e74498: [ 0.010136 x4847^2 + x19396^2 - x24196^2 ] <= 0 e74499: [ 0.010136 x4848^2 + x19397^2 - x24197^2 ] <= 0 e74500: [ 0.010136 x4849^2 + x19398^2 - x24198^2 ] <= 0 e74501: [ 0.047214 x4850^2 + x19399^2 - x24199^2 ] <= 0 e74502: [ 0.047214 x4851^2 + x19400^2 - x24200^2 ] <= 0 e74503: [ 0.047214 x4852^2 + x19401^2 - x24201^2 ] <= 0 e74504: [ 0.047214 x4853^2 + x19402^2 - x24202^2 ] <= 0 e74505: [ 0.047214 x4854^2 + x19403^2 - x24203^2 ] <= 0 e74506: [ 0.047214 x4855^2 + x19404^2 - x24204^2 ] <= 0 e74507: [ 0.047214 x4856^2 + x19405^2 - x24205^2 ] <= 0 e74508: [ 0.047214 x4857^2 + x19406^2 - x24206^2 ] <= 0 e74509: [ 0.047214 x4858^2 + x19407^2 - x24207^2 ] <= 0 e74510: [ 0.047214 x4859^2 + x19408^2 - x24208^2 ] <= 0 e74511: [ 0.047214 x4860^2 + x19409^2 - x24209^2 ] <= 0 e74512: [ 0.047214 x4861^2 + x19410^2 - x24210^2 ] <= 0 e74513: [ 0.047214 x4862^2 + x19411^2 - x24211^2 ] <= 0 e74514: [ 0.047214 x4863^2 + x19412^2 - x24212^2 ] <= 0 e74515: [ 0.047214 x4864^2 + x19413^2 - x24213^2 ] <= 0 e74516: [ 0.047214 x4865^2 + x19414^2 - x24214^2 ] <= 0 e74517: [ 0.047214 x4866^2 + x19415^2 - x24215^2 ] <= 0 e74518: [ 0.047214 x4867^2 + x19416^2 - x24216^2 ] <= 0 e74519: [ 0.047214 x4868^2 + x19417^2 - x24217^2 ] <= 0 e74520: [ 0.047214 x4869^2 + x19418^2 - x24218^2 ] <= 0 e74521: [ 0.047214 x4870^2 + x19419^2 - x24219^2 ] <= 0 e74522: [ 0.047214 x4871^2 + x19420^2 - x24220^2 ] <= 0 e74523: [ 0.047214 x4872^2 + x19421^2 - x24221^2 ] <= 0 e74524: [ 0.047214 x4873^2 + x19422^2 - x24222^2 ] <= 0 e74525: [ 0.093116 x4874^2 + x19423^2 - x24223^2 ] <= 0 e74526: [ 0.093116 x4875^2 + x19424^2 - x24224^2 ] <= 0 e74527: [ 0.093116 x4876^2 + x19425^2 - x24225^2 ] <= 0 e74528: [ 0.093116 x4877^2 + x19426^2 - x24226^2 ] <= 0 e74529: [ 0.093116 x4878^2 + x19427^2 - x24227^2 ] <= 0 e74530: [ 0.093116 x4879^2 + x19428^2 - x24228^2 ] <= 0 e74531: [ 0.093116 x4880^2 + x19429^2 - x24229^2 ] <= 0 e74532: [ 0.093116 x4881^2 + x19430^2 - x24230^2 ] <= 0 e74533: [ 0.093116 x4882^2 + x19431^2 - x24231^2 ] <= 0 e74534: [ 0.093116 x4883^2 + x19432^2 - x24232^2 ] <= 0 e74535: [ 0.093116 x4884^2 + x19433^2 - x24233^2 ] <= 0 e74536: [ 0.093116 x4885^2 + x19434^2 - x24234^2 ] <= 0 e74537: [ 0.093116 x4886^2 + x19435^2 - x24235^2 ] <= 0 e74538: [ 0.093116 x4887^2 + x19436^2 - x24236^2 ] <= 0 e74539: [ 0.093116 x4888^2 + x19437^2 - x24237^2 ] <= 0 e74540: [ 0.093116 x4889^2 + x19438^2 - x24238^2 ] <= 0 e74541: [ 0.093116 x4890^2 + x19439^2 - x24239^2 ] <= 0 e74542: [ 0.093116 x4891^2 + x19440^2 - x24240^2 ] <= 0 e74543: [ 0.093116 x4892^2 + x19441^2 - x24241^2 ] <= 0 e74544: [ 0.093116 x4893^2 + x19442^2 - x24242^2 ] <= 0 e74545: [ 0.093116 x4894^2 + x19443^2 - x24243^2 ] <= 0 e74546: [ 0.093116 x4895^2 + x19444^2 - x24244^2 ] <= 0 e74547: [ 0.093116 x4896^2 + x19445^2 - x24245^2 ] <= 0 e74548: [ 0.093116 x4897^2 + x19446^2 - x24246^2 ] <= 0 e74549: [ 0.059092 x4898^2 + x19447^2 - x24247^2 ] <= 0 e74550: [ 0.059092 x4899^2 + x19448^2 - x24248^2 ] <= 0 e74551: [ 0.059092 x4900^2 + x19449^2 - x24249^2 ] <= 0 e74552: [ 0.059092 x4901^2 + x19450^2 - x24250^2 ] <= 0 e74553: [ 0.059092 x4902^2 + x19451^2 - x24251^2 ] <= 0 e74554: [ 0.059092 x4903^2 + x19452^2 - x24252^2 ] <= 0 e74555: [ 0.059092 x4904^2 + x19453^2 - x24253^2 ] <= 0 e74556: [ 0.059092 x4905^2 + x19454^2 - x24254^2 ] <= 0 e74557: [ 0.059092 x4906^2 + x19455^2 - x24255^2 ] <= 0 e74558: [ 0.059092 x4907^2 + x19456^2 - x24256^2 ] <= 0 e74559: [ 0.059092 x4908^2 + x19457^2 - x24257^2 ] <= 0 e74560: [ 0.059092 x4909^2 + x19458^2 - x24258^2 ] <= 0 e74561: [ 0.059092 x4910^2 + x19459^2 - x24259^2 ] <= 0 e74562: [ 0.059092 x4911^2 + x19460^2 - x24260^2 ] <= 0 e74563: [ 0.059092 x4912^2 + x19461^2 - x24261^2 ] <= 0 e74564: [ 0.059092 x4913^2 + x19462^2 - x24262^2 ] <= 0 e74565: [ 0.059092 x4914^2 + x19463^2 - x24263^2 ] <= 0 e74566: [ 0.059092 x4915^2 + x19464^2 - x24264^2 ] <= 0 e74567: [ 0.059092 x4916^2 + x19465^2 - x24265^2 ] <= 0 e74568: [ 0.059092 x4917^2 + x19466^2 - x24266^2 ] <= 0 e74569: [ 0.059092 x4918^2 + x19467^2 - x24267^2 ] <= 0 e74570: [ 0.059092 x4919^2 + x19468^2 - x24268^2 ] <= 0 e74571: [ 0.059092 x4920^2 + x19469^2 - x24269^2 ] <= 0 e74572: [ 0.059092 x4921^2 + x19470^2 - x24270^2 ] <= 0 e74573: [ 0.073569 x4922^2 + x19471^2 - x24271^2 ] <= 0 e74574: [ 0.073569 x4923^2 + x19472^2 - x24272^2 ] <= 0 e74575: [ 0.073569 x4924^2 + x19473^2 - x24273^2 ] <= 0 e74576: [ 0.073569 x4925^2 + x19474^2 - x24274^2 ] <= 0 e74577: [ 0.073569 x4926^2 + x19475^2 - x24275^2 ] <= 0 e74578: [ 0.073569 x4927^2 + x19476^2 - x24276^2 ] <= 0 e74579: [ 0.073569 x4928^2 + x19477^2 - x24277^2 ] <= 0 e74580: [ 0.073569 x4929^2 + x19478^2 - x24278^2 ] <= 0 e74581: [ 0.073569 x4930^2 + x19479^2 - x24279^2 ] <= 0 e74582: [ 0.073569 x4931^2 + x19480^2 - x24280^2 ] <= 0 e74583: [ 0.073569 x4932^2 + x19481^2 - x24281^2 ] <= 0 e74584: [ 0.073569 x4933^2 + x19482^2 - x24282^2 ] <= 0 e74585: [ 0.073569 x4934^2 + x19483^2 - x24283^2 ] <= 0 e74586: [ 0.073569 x4935^2 + x19484^2 - x24284^2 ] <= 0 e74587: [ 0.073569 x4936^2 + x19485^2 - x24285^2 ] <= 0 e74588: [ 0.073569 x4937^2 + x19486^2 - x24286^2 ] <= 0 e74589: [ 0.073569 x4938^2 + x19487^2 - x24287^2 ] <= 0 e74590: [ 0.073569 x4939^2 + x19488^2 - x24288^2 ] <= 0 e74591: [ 0.073569 x4940^2 + x19489^2 - x24289^2 ] <= 0 e74592: [ 0.073569 x4941^2 + x19490^2 - x24290^2 ] <= 0 e74593: [ 0.073569 x4942^2 + x19491^2 - x24291^2 ] <= 0 e74594: [ 0.073569 x4943^2 + x19492^2 - x24292^2 ] <= 0 e74595: [ 0.073569 x4944^2 + x19493^2 - x24293^2 ] <= 0 e74596: [ 0.073569 x4945^2 + x19494^2 - x24294^2 ] <= 0 e74597: [ 0.05744 x4946^2 + x19495^2 - x24295^2 ] <= 0 e74598: [ 0.05744 x4947^2 + x19496^2 - x24296^2 ] <= 0 e74599: [ 0.05744 x4948^2 + x19497^2 - x24297^2 ] <= 0 e74600: [ 0.05744 x4949^2 + x19498^2 - x24298^2 ] <= 0 e74601: [ 0.05744 x4950^2 + x19499^2 - x24299^2 ] <= 0 e74602: [ 0.05744 x4951^2 + x19500^2 - x24300^2 ] <= 0 e74603: [ 0.05744 x4952^2 + x19501^2 - x24301^2 ] <= 0 e74604: [ 0.05744 x4953^2 + x19502^2 - x24302^2 ] <= 0 e74605: [ 0.05744 x4954^2 + x19503^2 - x24303^2 ] <= 0 e74606: [ 0.05744 x4955^2 + x19504^2 - x24304^2 ] <= 0 e74607: [ 0.05744 x4956^2 + x19505^2 - x24305^2 ] <= 0 e74608: [ 0.05744 x4957^2 + x19506^2 - x24306^2 ] <= 0 e74609: [ 0.05744 x4958^2 + x19507^2 - x24307^2 ] <= 0 e74610: [ 0.05744 x4959^2 + x19508^2 - x24308^2 ] <= 0 e74611: [ 0.05744 x4960^2 + x19509^2 - x24309^2 ] <= 0 e74612: [ 0.05744 x4961^2 + x19510^2 - x24310^2 ] <= 0 e74613: [ 0.05744 x4962^2 + x19511^2 - x24311^2 ] <= 0 e74614: [ 0.05744 x4963^2 + x19512^2 - x24312^2 ] <= 0 e74615: [ 0.05744 x4964^2 + x19513^2 - x24313^2 ] <= 0 e74616: [ 0.05744 x4965^2 + x19514^2 - x24314^2 ] <= 0 e74617: [ 0.05744 x4966^2 + x19515^2 - x24315^2 ] <= 0 e74618: [ 0.05744 x4967^2 + x19516^2 - x24316^2 ] <= 0 e74619: [ 0.05744 x4968^2 + x19517^2 - x24317^2 ] <= 0 e74620: [ 0.05744 x4969^2 + x19518^2 - x24318^2 ] <= 0 e74621: [ 0.08708 x4970^2 + x19519^2 - x24319^2 ] <= 0 e74622: [ 0.08708 x4971^2 + x19520^2 - x24320^2 ] <= 0 e74623: [ 0.08708 x4972^2 + x19521^2 - x24321^2 ] <= 0 e74624: [ 0.08708 x4973^2 + x19522^2 - x24322^2 ] <= 0 e74625: [ 0.08708 x4974^2 + x19523^2 - x24323^2 ] <= 0 e74626: [ 0.08708 x4975^2 + x19524^2 - x24324^2 ] <= 0 e74627: [ 0.08708 x4976^2 + x19525^2 - x24325^2 ] <= 0 e74628: [ 0.08708 x4977^2 + x19526^2 - x24326^2 ] <= 0 e74629: [ 0.08708 x4978^2 + x19527^2 - x24327^2 ] <= 0 e74630: [ 0.08708 x4979^2 + x19528^2 - x24328^2 ] <= 0 e74631: [ 0.08708 x4980^2 + x19529^2 - x24329^2 ] <= 0 e74632: [ 0.08708 x4981^2 + x19530^2 - x24330^2 ] <= 0 e74633: [ 0.08708 x4982^2 + x19531^2 - x24331^2 ] <= 0 e74634: [ 0.08708 x4983^2 + x19532^2 - x24332^2 ] <= 0 e74635: [ 0.08708 x4984^2 + x19533^2 - x24333^2 ] <= 0 e74636: [ 0.08708 x4985^2 + x19534^2 - x24334^2 ] <= 0 e74637: [ 0.08708 x4986^2 + x19535^2 - x24335^2 ] <= 0 e74638: [ 0.08708 x4987^2 + x19536^2 - x24336^2 ] <= 0 e74639: [ 0.08708 x4988^2 + x19537^2 - x24337^2 ] <= 0 e74640: [ 0.08708 x4989^2 + x19538^2 - x24338^2 ] <= 0 e74641: [ 0.08708 x4990^2 + x19539^2 - x24339^2 ] <= 0 e74642: [ 0.08708 x4991^2 + x19540^2 - x24340^2 ] <= 0 e74643: [ 0.08708 x4992^2 + x19541^2 - x24341^2 ] <= 0 e74644: [ 0.08708 x4993^2 + x19542^2 - x24342^2 ] <= 0 e74645: [ 0.025898 x4994^2 + x19543^2 - x24343^2 ] <= 0 e74646: [ 0.025898 x4995^2 + x19544^2 - x24344^2 ] <= 0 e74647: [ 0.025898 x4996^2 + x19545^2 - x24345^2 ] <= 0 e74648: [ 0.025898 x4997^2 + x19546^2 - x24346^2 ] <= 0 e74649: [ 0.025898 x4998^2 + x19547^2 - x24347^2 ] <= 0 e74650: [ 0.025898 x4999^2 + x19548^2 - x24348^2 ] <= 0 e74651: [ 0.025898 x5000^2 + x19549^2 - x24349^2 ] <= 0 e74652: [ 0.025898 x5001^2 + x19550^2 - x24350^2 ] <= 0 e74653: [ 0.025898 x5002^2 + x19551^2 - x24351^2 ] <= 0 e74654: [ 0.025898 x5003^2 + x19552^2 - x24352^2 ] <= 0 e74655: [ 0.025898 x5004^2 + x19553^2 - x24353^2 ] <= 0 e74656: [ 0.025898 x5005^2 + x19554^2 - x24354^2 ] <= 0 e74657: [ 0.025898 x5006^2 + x19555^2 - x24355^2 ] <= 0 e74658: [ 0.025898 x5007^2 + x19556^2 - x24356^2 ] <= 0 e74659: [ 0.025898 x5008^2 + x19557^2 - x24357^2 ] <= 0 e74660: [ 0.025898 x5009^2 + x19558^2 - x24358^2 ] <= 0 e74661: [ 0.025898 x5010^2 + x19559^2 - x24359^2 ] <= 0 e74662: [ 0.025898 x5011^2 + x19560^2 - x24360^2 ] <= 0 e74663: [ 0.025898 x5012^2 + x19561^2 - x24361^2 ] <= 0 e74664: [ 0.025898 x5013^2 + x19562^2 - x24362^2 ] <= 0 e74665: [ 0.025898 x5014^2 + x19563^2 - x24363^2 ] <= 0 e74666: [ 0.025898 x5015^2 + x19564^2 - x24364^2 ] <= 0 e74667: [ 0.025898 x5016^2 + x19565^2 - x24365^2 ] <= 0 e74668: [ 0.025898 x5017^2 + x19566^2 - x24366^2 ] <= 0 e74669: [ 0.083951 x5018^2 + x19567^2 - x24367^2 ] <= 0 e74670: [ 0.083951 x5019^2 + x19568^2 - x24368^2 ] <= 0 e74671: [ 0.083951 x5020^2 + x19569^2 - x24369^2 ] <= 0 e74672: [ 0.083951 x5021^2 + x19570^2 - x24370^2 ] <= 0 e74673: [ 0.083951 x5022^2 + x19571^2 - x24371^2 ] <= 0 e74674: [ 0.083951 x5023^2 + x19572^2 - x24372^2 ] <= 0 e74675: [ 0.083951 x5024^2 + x19573^2 - x24373^2 ] <= 0 e74676: [ 0.083951 x5025^2 + x19574^2 - x24374^2 ] <= 0 e74677: [ 0.083951 x5026^2 + x19575^2 - x24375^2 ] <= 0 e74678: [ 0.083951 x5027^2 + x19576^2 - x24376^2 ] <= 0 e74679: [ 0.083951 x5028^2 + x19577^2 - x24377^2 ] <= 0 e74680: [ 0.083951 x5029^2 + x19578^2 - x24378^2 ] <= 0 e74681: [ 0.083951 x5030^2 + x19579^2 - x24379^2 ] <= 0 e74682: [ 0.083951 x5031^2 + x19580^2 - x24380^2 ] <= 0 e74683: [ 0.083951 x5032^2 + x19581^2 - x24381^2 ] <= 0 e74684: [ 0.083951 x5033^2 + x19582^2 - x24382^2 ] <= 0 e74685: [ 0.083951 x5034^2 + x19583^2 - x24383^2 ] <= 0 e74686: [ 0.083951 x5035^2 + x19584^2 - x24384^2 ] <= 0 e74687: [ 0.083951 x5036^2 + x19585^2 - x24385^2 ] <= 0 e74688: [ 0.083951 x5037^2 + x19586^2 - x24386^2 ] <= 0 e74689: [ 0.083951 x5038^2 + x19587^2 - x24387^2 ] <= 0 e74690: [ 0.083951 x5039^2 + x19588^2 - x24388^2 ] <= 0 e74691: [ 0.083951 x5040^2 + x19589^2 - x24389^2 ] <= 0 e74692: [ 0.083951 x5041^2 + x19590^2 - x24390^2 ] <= 0 e74693: [ 0.063702 x5042^2 + x19591^2 - x24391^2 ] <= 0 e74694: [ 0.063702 x5043^2 + x19592^2 - x24392^2 ] <= 0 e74695: [ 0.063702 x5044^2 + x19593^2 - x24393^2 ] <= 0 e74696: [ 0.063702 x5045^2 + x19594^2 - x24394^2 ] <= 0 e74697: [ 0.063702 x5046^2 + x19595^2 - x24395^2 ] <= 0 e74698: [ 0.063702 x5047^2 + x19596^2 - x24396^2 ] <= 0 e74699: [ 0.063702 x5048^2 + x19597^2 - x24397^2 ] <= 0 e74700: [ 0.063702 x5049^2 + x19598^2 - x24398^2 ] <= 0 e74701: [ 0.063702 x5050^2 + x19599^2 - x24399^2 ] <= 0 e74702: [ 0.063702 x5051^2 + x19600^2 - x24400^2 ] <= 0 e74703: [ 0.063702 x5052^2 + x19601^2 - x24401^2 ] <= 0 e74704: [ 0.063702 x5053^2 + x19602^2 - x24402^2 ] <= 0 e74705: [ 0.063702 x5054^2 + x19603^2 - x24403^2 ] <= 0 e74706: [ 0.063702 x5055^2 + x19604^2 - x24404^2 ] <= 0 e74707: [ 0.063702 x5056^2 + x19605^2 - x24405^2 ] <= 0 e74708: [ 0.063702 x5057^2 + x19606^2 - x24406^2 ] <= 0 e74709: [ 0.063702 x5058^2 + x19607^2 - x24407^2 ] <= 0 e74710: [ 0.063702 x5059^2 + x19608^2 - x24408^2 ] <= 0 e74711: [ 0.063702 x5060^2 + x19609^2 - x24409^2 ] <= 0 e74712: [ 0.063702 x5061^2 + x19610^2 - x24410^2 ] <= 0 e74713: [ 0.063702 x5062^2 + x19611^2 - x24411^2 ] <= 0 e74714: [ 0.063702 x5063^2 + x19612^2 - x24412^2 ] <= 0 e74715: [ 0.063702 x5064^2 + x19613^2 - x24413^2 ] <= 0 e74716: [ 0.063702 x5065^2 + x19614^2 - x24414^2 ] <= 0 e74717: [ 0.08528 x5066^2 + x19615^2 - x24415^2 ] <= 0 e74718: [ 0.08528 x5067^2 + x19616^2 - x24416^2 ] <= 0 e74719: [ 0.08528 x5068^2 + x19617^2 - x24417^2 ] <= 0 e74720: [ 0.08528 x5069^2 + x19618^2 - x24418^2 ] <= 0 e74721: [ 0.08528 x5070^2 + x19619^2 - x24419^2 ] <= 0 e74722: [ 0.08528 x5071^2 + x19620^2 - x24420^2 ] <= 0 e74723: [ 0.08528 x5072^2 + x19621^2 - x24421^2 ] <= 0 e74724: [ 0.08528 x5073^2 + x19622^2 - x24422^2 ] <= 0 e74725: [ 0.08528 x5074^2 + x19623^2 - x24423^2 ] <= 0 e74726: [ 0.08528 x5075^2 + x19624^2 - x24424^2 ] <= 0 e74727: [ 0.08528 x5076^2 + x19625^2 - x24425^2 ] <= 0 e74728: [ 0.08528 x5077^2 + x19626^2 - x24426^2 ] <= 0 e74729: [ 0.08528 x5078^2 + x19627^2 - x24427^2 ] <= 0 e74730: [ 0.08528 x5079^2 + x19628^2 - x24428^2 ] <= 0 e74731: [ 0.08528 x5080^2 + x19629^2 - x24429^2 ] <= 0 e74732: [ 0.08528 x5081^2 + x19630^2 - x24430^2 ] <= 0 e74733: [ 0.08528 x5082^2 + x19631^2 - x24431^2 ] <= 0 e74734: [ 0.08528 x5083^2 + x19632^2 - x24432^2 ] <= 0 e74735: [ 0.08528 x5084^2 + x19633^2 - x24433^2 ] <= 0 e74736: [ 0.08528 x5085^2 + x19634^2 - x24434^2 ] <= 0 e74737: [ 0.08528 x5086^2 + x19635^2 - x24435^2 ] <= 0 e74738: [ 0.08528 x5087^2 + x19636^2 - x24436^2 ] <= 0 e74739: [ 0.08528 x5088^2 + x19637^2 - x24437^2 ] <= 0 e74740: [ 0.08528 x5089^2 + x19638^2 - x24438^2 ] <= 0 e74741: [ 0.073756 x5090^2 + x19639^2 - x24439^2 ] <= 0 e74742: [ 0.073756 x5091^2 + x19640^2 - x24440^2 ] <= 0 e74743: [ 0.073756 x5092^2 + x19641^2 - x24441^2 ] <= 0 e74744: [ 0.073756 x5093^2 + x19642^2 - x24442^2 ] <= 0 e74745: [ 0.073756 x5094^2 + x19643^2 - x24443^2 ] <= 0 e74746: [ 0.073756 x5095^2 + x19644^2 - x24444^2 ] <= 0 e74747: [ 0.073756 x5096^2 + x19645^2 - x24445^2 ] <= 0 e74748: [ 0.073756 x5097^2 + x19646^2 - x24446^2 ] <= 0 e74749: [ 0.073756 x5098^2 + x19647^2 - x24447^2 ] <= 0 e74750: [ 0.073756 x5099^2 + x19648^2 - x24448^2 ] <= 0 e74751: [ 0.073756 x5100^2 + x19649^2 - x24449^2 ] <= 0 e74752: [ 0.073756 x5101^2 + x19650^2 - x24450^2 ] <= 0 e74753: [ 0.073756 x5102^2 + x19651^2 - x24451^2 ] <= 0 e74754: [ 0.073756 x5103^2 + x19652^2 - x24452^2 ] <= 0 e74755: [ 0.073756 x5104^2 + x19653^2 - x24453^2 ] <= 0 e74756: [ 0.073756 x5105^2 + x19654^2 - x24454^2 ] <= 0 e74757: [ 0.073756 x5106^2 + x19655^2 - x24455^2 ] <= 0 e74758: [ 0.073756 x5107^2 + x19656^2 - x24456^2 ] <= 0 e74759: [ 0.073756 x5108^2 + x19657^2 - x24457^2 ] <= 0 e74760: [ 0.073756 x5109^2 + x19658^2 - x24458^2 ] <= 0 e74761: [ 0.073756 x5110^2 + x19659^2 - x24459^2 ] <= 0 e74762: [ 0.073756 x5111^2 + x19660^2 - x24460^2 ] <= 0 e74763: [ 0.073756 x5112^2 + x19661^2 - x24461^2 ] <= 0 e74764: [ 0.073756 x5113^2 + x19662^2 - x24462^2 ] <= 0 e74765: [ 0.068856 x5114^2 + x19663^2 - x24463^2 ] <= 0 e74766: [ 0.068856 x5115^2 + x19664^2 - x24464^2 ] <= 0 e74767: [ 0.068856 x5116^2 + x19665^2 - x24465^2 ] <= 0 e74768: [ 0.068856 x5117^2 + x19666^2 - x24466^2 ] <= 0 e74769: [ 0.068856 x5118^2 + x19667^2 - x24467^2 ] <= 0 e74770: [ 0.068856 x5119^2 + x19668^2 - x24468^2 ] <= 0 e74771: [ 0.068856 x5120^2 + x19669^2 - x24469^2 ] <= 0 e74772: [ 0.068856 x5121^2 + x19670^2 - x24470^2 ] <= 0 e74773: [ 0.068856 x5122^2 + x19671^2 - x24471^2 ] <= 0 e74774: [ 0.068856 x5123^2 + x19672^2 - x24472^2 ] <= 0 e74775: [ 0.068856 x5124^2 + x19673^2 - x24473^2 ] <= 0 e74776: [ 0.068856 x5125^2 + x19674^2 - x24474^2 ] <= 0 e74777: [ 0.068856 x5126^2 + x19675^2 - x24475^2 ] <= 0 e74778: [ 0.068856 x5127^2 + x19676^2 - x24476^2 ] <= 0 e74779: [ 0.068856 x5128^2 + x19677^2 - x24477^2 ] <= 0 e74780: [ 0.068856 x5129^2 + x19678^2 - x24478^2 ] <= 0 e74781: [ 0.068856 x5130^2 + x19679^2 - x24479^2 ] <= 0 e74782: [ 0.068856 x5131^2 + x19680^2 - x24480^2 ] <= 0 e74783: [ 0.068856 x5132^2 + x19681^2 - x24481^2 ] <= 0 e74784: [ 0.068856 x5133^2 + x19682^2 - x24482^2 ] <= 0 e74785: [ 0.068856 x5134^2 + x19683^2 - x24483^2 ] <= 0 e74786: [ 0.068856 x5135^2 + x19684^2 - x24484^2 ] <= 0 e74787: [ 0.068856 x5136^2 + x19685^2 - x24485^2 ] <= 0 e74788: [ 0.068856 x5137^2 + x19686^2 - x24486^2 ] <= 0 e74789: [ 0.056523 x5138^2 + x19687^2 - x24487^2 ] <= 0 e74790: [ 0.056523 x5139^2 + x19688^2 - x24488^2 ] <= 0 e74791: [ 0.056523 x5140^2 + x19689^2 - x24489^2 ] <= 0 e74792: [ 0.056523 x5141^2 + x19690^2 - x24490^2 ] <= 0 e74793: [ 0.056523 x5142^2 + x19691^2 - x24491^2 ] <= 0 e74794: [ 0.056523 x5143^2 + x19692^2 - x24492^2 ] <= 0 e74795: [ 0.056523 x5144^2 + x19693^2 - x24493^2 ] <= 0 e74796: [ 0.056523 x5145^2 + x19694^2 - x24494^2 ] <= 0 e74797: [ 0.056523 x5146^2 + x19695^2 - x24495^2 ] <= 0 e74798: [ 0.056523 x5147^2 + x19696^2 - x24496^2 ] <= 0 e74799: [ 0.056523 x5148^2 + x19697^2 - x24497^2 ] <= 0 e74800: [ 0.056523 x5149^2 + x19698^2 - x24498^2 ] <= 0 e74801: [ 0.056523 x5150^2 + x19699^2 - x24499^2 ] <= 0 e74802: [ 0.056523 x5151^2 + x19700^2 - x24500^2 ] <= 0 e74803: [ 0.056523 x5152^2 + x19701^2 - x24501^2 ] <= 0 e74804: [ 0.056523 x5153^2 + x19702^2 - x24502^2 ] <= 0 e74805: [ 0.056523 x5154^2 + x19703^2 - x24503^2 ] <= 0 e74806: [ 0.056523 x5155^2 + x19704^2 - x24504^2 ] <= 0 e74807: [ 0.056523 x5156^2 + x19705^2 - x24505^2 ] <= 0 e74808: [ 0.056523 x5157^2 + x19706^2 - x24506^2 ] <= 0 e74809: [ 0.056523 x5158^2 + x19707^2 - x24507^2 ] <= 0 e74810: [ 0.056523 x5159^2 + x19708^2 - x24508^2 ] <= 0 e74811: [ 0.056523 x5160^2 + x19709^2 - x24509^2 ] <= 0 e74812: [ 0.056523 x5161^2 + x19710^2 - x24510^2 ] <= 0 e74813: [ 0.022758 x5162^2 + x19711^2 - x24511^2 ] <= 0 e74814: [ 0.022758 x5163^2 + x19712^2 - x24512^2 ] <= 0 e74815: [ 0.022758 x5164^2 + x19713^2 - x24513^2 ] <= 0 e74816: [ 0.022758 x5165^2 + x19714^2 - x24514^2 ] <= 0 e74817: [ 0.022758 x5166^2 + x19715^2 - x24515^2 ] <= 0 e74818: [ 0.022758 x5167^2 + x19716^2 - x24516^2 ] <= 0 e74819: [ 0.022758 x5168^2 + x19717^2 - x24517^2 ] <= 0 e74820: [ 0.022758 x5169^2 + x19718^2 - x24518^2 ] <= 0 e74821: [ 0.022758 x5170^2 + x19719^2 - x24519^2 ] <= 0 e74822: [ 0.022758 x5171^2 + x19720^2 - x24520^2 ] <= 0 e74823: [ 0.022758 x5172^2 + x19721^2 - x24521^2 ] <= 0 e74824: [ 0.022758 x5173^2 + x19722^2 - x24522^2 ] <= 0 e74825: [ 0.022758 x5174^2 + x19723^2 - x24523^2 ] <= 0 e74826: [ 0.022758 x5175^2 + x19724^2 - x24524^2 ] <= 0 e74827: [ 0.022758 x5176^2 + x19725^2 - x24525^2 ] <= 0 e74828: [ 0.022758 x5177^2 + x19726^2 - x24526^2 ] <= 0 e74829: [ 0.022758 x5178^2 + x19727^2 - x24527^2 ] <= 0 e74830: [ 0.022758 x5179^2 + x19728^2 - x24528^2 ] <= 0 e74831: [ 0.022758 x5180^2 + x19729^2 - x24529^2 ] <= 0 e74832: [ 0.022758 x5181^2 + x19730^2 - x24530^2 ] <= 0 e74833: [ 0.022758 x5182^2 + x19731^2 - x24531^2 ] <= 0 e74834: [ 0.022758 x5183^2 + x19732^2 - x24532^2 ] <= 0 e74835: [ 0.022758 x5184^2 + x19733^2 - x24533^2 ] <= 0 e74836: [ 0.022758 x5185^2 + x19734^2 - x24534^2 ] <= 0 e74837: [ 0.03977 x5186^2 + x19735^2 - x24535^2 ] <= 0 e74838: [ 0.03977 x5187^2 + x19736^2 - x24536^2 ] <= 0 e74839: [ 0.03977 x5188^2 + x19737^2 - x24537^2 ] <= 0 e74840: [ 0.03977 x5189^2 + x19738^2 - x24538^2 ] <= 0 e74841: [ 0.03977 x5190^2 + x19739^2 - x24539^2 ] <= 0 e74842: [ 0.03977 x5191^2 + x19740^2 - x24540^2 ] <= 0 e74843: [ 0.03977 x5192^2 + x19741^2 - x24541^2 ] <= 0 e74844: [ 0.03977 x5193^2 + x19742^2 - x24542^2 ] <= 0 e74845: [ 0.03977 x5194^2 + x19743^2 - x24543^2 ] <= 0 e74846: [ 0.03977 x5195^2 + x19744^2 - x24544^2 ] <= 0 e74847: [ 0.03977 x5196^2 + x19745^2 - x24545^2 ] <= 0 e74848: [ 0.03977 x5197^2 + x19746^2 - x24546^2 ] <= 0 e74849: [ 0.03977 x5198^2 + x19747^2 - x24547^2 ] <= 0 e74850: [ 0.03977 x5199^2 + x19748^2 - x24548^2 ] <= 0 e74851: [ 0.03977 x5200^2 + x19749^2 - x24549^2 ] <= 0 e74852: [ 0.03977 x5201^2 + x19750^2 - x24550^2 ] <= 0 e74853: [ 0.03977 x5202^2 + x19751^2 - x24551^2 ] <= 0 e74854: [ 0.03977 x5203^2 + x19752^2 - x24552^2 ] <= 0 e74855: [ 0.03977 x5204^2 + x19753^2 - x24553^2 ] <= 0 e74856: [ 0.03977 x5205^2 + x19754^2 - x24554^2 ] <= 0 e74857: [ 0.03977 x5206^2 + x19755^2 - x24555^2 ] <= 0 e74858: [ 0.03977 x5207^2 + x19756^2 - x24556^2 ] <= 0 e74859: [ 0.03977 x5208^2 + x19757^2 - x24557^2 ] <= 0 e74860: [ 0.03977 x5209^2 + x19758^2 - x24558^2 ] <= 0 e74861: [ 0.094494 x5210^2 + x19759^2 - x24559^2 ] <= 0 e74862: [ 0.094494 x5211^2 + x19760^2 - x24560^2 ] <= 0 e74863: [ 0.094494 x5212^2 + x19761^2 - x24561^2 ] <= 0 e74864: [ 0.094494 x5213^2 + x19762^2 - x24562^2 ] <= 0 e74865: [ 0.094494 x5214^2 + x19763^2 - x24563^2 ] <= 0 e74866: [ 0.094494 x5215^2 + x19764^2 - x24564^2 ] <= 0 e74867: [ 0.094494 x5216^2 + x19765^2 - x24565^2 ] <= 0 e74868: [ 0.094494 x5217^2 + x19766^2 - x24566^2 ] <= 0 e74869: [ 0.094494 x5218^2 + x19767^2 - x24567^2 ] <= 0 e74870: [ 0.094494 x5219^2 + x19768^2 - x24568^2 ] <= 0 e74871: [ 0.094494 x5220^2 + x19769^2 - x24569^2 ] <= 0 e74872: [ 0.094494 x5221^2 + x19770^2 - x24570^2 ] <= 0 e74873: [ 0.094494 x5222^2 + x19771^2 - x24571^2 ] <= 0 e74874: [ 0.094494 x5223^2 + x19772^2 - x24572^2 ] <= 0 e74875: [ 0.094494 x5224^2 + x19773^2 - x24573^2 ] <= 0 e74876: [ 0.094494 x5225^2 + x19774^2 - x24574^2 ] <= 0 e74877: [ 0.094494 x5226^2 + x19775^2 - x24575^2 ] <= 0 e74878: [ 0.094494 x5227^2 + x19776^2 - x24576^2 ] <= 0 e74879: [ 0.094494 x5228^2 + x19777^2 - x24577^2 ] <= 0 e74880: [ 0.094494 x5229^2 + x19778^2 - x24578^2 ] <= 0 e74881: [ 0.094494 x5230^2 + x19779^2 - x24579^2 ] <= 0 e74882: [ 0.094494 x5231^2 + x19780^2 - x24580^2 ] <= 0 e74883: [ 0.094494 x5232^2 + x19781^2 - x24581^2 ] <= 0 e74884: [ 0.094494 x5233^2 + x19782^2 - x24582^2 ] <= 0 e74885: [ 0.092013 x5234^2 + x19783^2 - x24583^2 ] <= 0 e74886: [ 0.092013 x5235^2 + x19784^2 - x24584^2 ] <= 0 e74887: [ 0.092013 x5236^2 + x19785^2 - x24585^2 ] <= 0 e74888: [ 0.092013 x5237^2 + x19786^2 - x24586^2 ] <= 0 e74889: [ 0.092013 x5238^2 + x19787^2 - x24587^2 ] <= 0 e74890: [ 0.092013 x5239^2 + x19788^2 - x24588^2 ] <= 0 e74891: [ 0.092013 x5240^2 + x19789^2 - x24589^2 ] <= 0 e74892: [ 0.092013 x5241^2 + x19790^2 - x24590^2 ] <= 0 e74893: [ 0.092013 x5242^2 + x19791^2 - x24591^2 ] <= 0 e74894: [ 0.092013 x5243^2 + x19792^2 - x24592^2 ] <= 0 e74895: [ 0.092013 x5244^2 + x19793^2 - x24593^2 ] <= 0 e74896: [ 0.092013 x5245^2 + x19794^2 - x24594^2 ] <= 0 e74897: [ 0.092013 x5246^2 + x19795^2 - x24595^2 ] <= 0 e74898: [ 0.092013 x5247^2 + x19796^2 - x24596^2 ] <= 0 e74899: [ 0.092013 x5248^2 + x19797^2 - x24597^2 ] <= 0 e74900: [ 0.092013 x5249^2 + x19798^2 - x24598^2 ] <= 0 e74901: [ 0.092013 x5250^2 + x19799^2 - x24599^2 ] <= 0 e74902: [ 0.092013 x5251^2 + x19800^2 - x24600^2 ] <= 0 e74903: [ 0.092013 x5252^2 + x19801^2 - x24601^2 ] <= 0 e74904: [ 0.092013 x5253^2 + x19802^2 - x24602^2 ] <= 0 e74905: [ 0.092013 x5254^2 + x19803^2 - x24603^2 ] <= 0 e74906: [ 0.092013 x5255^2 + x19804^2 - x24604^2 ] <= 0 e74907: [ 0.092013 x5256^2 + x19805^2 - x24605^2 ] <= 0 e74908: [ 0.092013 x5257^2 + x19806^2 - x24606^2 ] <= 0 e74909: [ 0.029239 x5258^2 + x19807^2 - x24607^2 ] <= 0 e74910: [ 0.029239 x5259^2 + x19808^2 - x24608^2 ] <= 0 e74911: [ 0.029239 x5260^2 + x19809^2 - x24609^2 ] <= 0 e74912: [ 0.029239 x5261^2 + x19810^2 - x24610^2 ] <= 0 e74913: [ 0.029239 x5262^2 + x19811^2 - x24611^2 ] <= 0 e74914: [ 0.029239 x5263^2 + x19812^2 - x24612^2 ] <= 0 e74915: [ 0.029239 x5264^2 + x19813^2 - x24613^2 ] <= 0 e74916: [ 0.029239 x5265^2 + x19814^2 - x24614^2 ] <= 0 e74917: [ 0.029239 x5266^2 + x19815^2 - x24615^2 ] <= 0 e74918: [ 0.029239 x5267^2 + x19816^2 - x24616^2 ] <= 0 e74919: [ 0.029239 x5268^2 + x19817^2 - x24617^2 ] <= 0 e74920: [ 0.029239 x5269^2 + x19818^2 - x24618^2 ] <= 0 e74921: [ 0.029239 x5270^2 + x19819^2 - x24619^2 ] <= 0 e74922: [ 0.029239 x5271^2 + x19820^2 - x24620^2 ] <= 0 e74923: [ 0.029239 x5272^2 + x19821^2 - x24621^2 ] <= 0 e74924: [ 0.029239 x5273^2 + x19822^2 - x24622^2 ] <= 0 e74925: [ 0.029239 x5274^2 + x19823^2 - x24623^2 ] <= 0 e74926: [ 0.029239 x5275^2 + x19824^2 - x24624^2 ] <= 0 e74927: [ 0.029239 x5276^2 + x19825^2 - x24625^2 ] <= 0 e74928: [ 0.029239 x5277^2 + x19826^2 - x24626^2 ] <= 0 e74929: [ 0.029239 x5278^2 + x19827^2 - x24627^2 ] <= 0 e74930: [ 0.029239 x5279^2 + x19828^2 - x24628^2 ] <= 0 e74931: [ 0.029239 x5280^2 + x19829^2 - x24629^2 ] <= 0 e74932: [ 0.029239 x5281^2 + x19830^2 - x24630^2 ] <= 0 e74933: [ 0.08034 x5282^2 + x19831^2 - x24631^2 ] <= 0 e74934: [ 0.08034 x5283^2 + x19832^2 - x24632^2 ] <= 0 e74935: [ 0.08034 x5284^2 + x19833^2 - x24633^2 ] <= 0 e74936: [ 0.08034 x5285^2 + x19834^2 - x24634^2 ] <= 0 e74937: [ 0.08034 x5286^2 + x19835^2 - x24635^2 ] <= 0 e74938: [ 0.08034 x5287^2 + x19836^2 - x24636^2 ] <= 0 e74939: [ 0.08034 x5288^2 + x19837^2 - x24637^2 ] <= 0 e74940: [ 0.08034 x5289^2 + x19838^2 - x24638^2 ] <= 0 e74941: [ 0.08034 x5290^2 + x19839^2 - x24639^2 ] <= 0 e74942: [ 0.08034 x5291^2 + x19840^2 - x24640^2 ] <= 0 e74943: [ 0.08034 x5292^2 + x19841^2 - x24641^2 ] <= 0 e74944: [ 0.08034 x5293^2 + x19842^2 - x24642^2 ] <= 0 e74945: [ 0.08034 x5294^2 + x19843^2 - x24643^2 ] <= 0 e74946: [ 0.08034 x5295^2 + x19844^2 - x24644^2 ] <= 0 e74947: [ 0.08034 x5296^2 + x19845^2 - x24645^2 ] <= 0 e74948: [ 0.08034 x5297^2 + x19846^2 - x24646^2 ] <= 0 e74949: [ 0.08034 x5298^2 + x19847^2 - x24647^2 ] <= 0 e74950: [ 0.08034 x5299^2 + x19848^2 - x24648^2 ] <= 0 e74951: [ 0.08034 x5300^2 + x19849^2 - x24649^2 ] <= 0 e74952: [ 0.08034 x5301^2 + x19850^2 - x24650^2 ] <= 0 e74953: [ 0.08034 x5302^2 + x19851^2 - x24651^2 ] <= 0 e74954: [ 0.08034 x5303^2 + x19852^2 - x24652^2 ] <= 0 e74955: [ 0.08034 x5304^2 + x19853^2 - x24653^2 ] <= 0 e74956: [ 0.08034 x5305^2 + x19854^2 - x24654^2 ] <= 0 e74957: [ 0.025958 x5306^2 + x19855^2 - x24655^2 ] <= 0 e74958: [ 0.025958 x5307^2 + x19856^2 - x24656^2 ] <= 0 e74959: [ 0.025958 x5308^2 + x19857^2 - x24657^2 ] <= 0 e74960: [ 0.025958 x5309^2 + x19858^2 - x24658^2 ] <= 0 e74961: [ 0.025958 x5310^2 + x19859^2 - x24659^2 ] <= 0 e74962: [ 0.025958 x5311^2 + x19860^2 - x24660^2 ] <= 0 e74963: [ 0.025958 x5312^2 + x19861^2 - x24661^2 ] <= 0 e74964: [ 0.025958 x5313^2 + x19862^2 - x24662^2 ] <= 0 e74965: [ 0.025958 x5314^2 + x19863^2 - x24663^2 ] <= 0 e74966: [ 0.025958 x5315^2 + x19864^2 - x24664^2 ] <= 0 e74967: [ 0.025958 x5316^2 + x19865^2 - x24665^2 ] <= 0 e74968: [ 0.025958 x5317^2 + x19866^2 - x24666^2 ] <= 0 e74969: [ 0.025958 x5318^2 + x19867^2 - x24667^2 ] <= 0 e74970: [ 0.025958 x5319^2 + x19868^2 - x24668^2 ] <= 0 e74971: [ 0.025958 x5320^2 + x19869^2 - x24669^2 ] <= 0 e74972: [ 0.025958 x5321^2 + x19870^2 - x24670^2 ] <= 0 e74973: [ 0.025958 x5322^2 + x19871^2 - x24671^2 ] <= 0 e74974: [ 0.025958 x5323^2 + x19872^2 - x24672^2 ] <= 0 e74975: [ 0.025958 x5324^2 + x19873^2 - x24673^2 ] <= 0 e74976: [ 0.025958 x5325^2 + x19874^2 - x24674^2 ] <= 0 e74977: [ 0.025958 x5326^2 + x19875^2 - x24675^2 ] <= 0 e74978: [ 0.025958 x5327^2 + x19876^2 - x24676^2 ] <= 0 e74979: [ 0.025958 x5328^2 + x19877^2 - x24677^2 ] <= 0 e74980: [ 0.025958 x5329^2 + x19878^2 - x24678^2 ] <= 0 e74981: [ 0.097225 x5330^2 + x19879^2 - x24679^2 ] <= 0 e74982: [ 0.097225 x5331^2 + x19880^2 - x24680^2 ] <= 0 e74983: [ 0.097225 x5332^2 + x19881^2 - x24681^2 ] <= 0 e74984: [ 0.097225 x5333^2 + x19882^2 - x24682^2 ] <= 0 e74985: [ 0.097225 x5334^2 + x19883^2 - x24683^2 ] <= 0 e74986: [ 0.097225 x5335^2 + x19884^2 - x24684^2 ] <= 0 e74987: [ 0.097225 x5336^2 + x19885^2 - x24685^2 ] <= 0 e74988: [ 0.097225 x5337^2 + x19886^2 - x24686^2 ] <= 0 e74989: [ 0.097225 x5338^2 + x19887^2 - x24687^2 ] <= 0 e74990: [ 0.097225 x5339^2 + x19888^2 - x24688^2 ] <= 0 e74991: [ 0.097225 x5340^2 + x19889^2 - x24689^2 ] <= 0 e74992: [ 0.097225 x5341^2 + x19890^2 - x24690^2 ] <= 0 e74993: [ 0.097225 x5342^2 + x19891^2 - x24691^2 ] <= 0 e74994: [ 0.097225 x5343^2 + x19892^2 - x24692^2 ] <= 0 e74995: [ 0.097225 x5344^2 + x19893^2 - x24693^2 ] <= 0 e74996: [ 0.097225 x5345^2 + x19894^2 - x24694^2 ] <= 0 e74997: [ 0.097225 x5346^2 + x19895^2 - x24695^2 ] <= 0 e74998: [ 0.097225 x5347^2 + x19896^2 - x24696^2 ] <= 0 e74999: [ 0.097225 x5348^2 + x19897^2 - x24697^2 ] <= 0 e75000: [ 0.097225 x5349^2 + x19898^2 - x24698^2 ] <= 0 e75001: [ 0.097225 x5350^2 + x19899^2 - x24699^2 ] <= 0 e75002: [ 0.097225 x5351^2 + x19900^2 - x24700^2 ] <= 0 e75003: [ 0.097225 x5352^2 + x19901^2 - x24701^2 ] <= 0 e75004: [ 0.097225 x5353^2 + x19902^2 - x24702^2 ] <= 0 e75005: [ 0.013573 x5354^2 + x19903^2 - x24703^2 ] <= 0 e75006: [ 0.013573 x5355^2 + x19904^2 - x24704^2 ] <= 0 e75007: [ 0.013573 x5356^2 + x19905^2 - x24705^2 ] <= 0 e75008: [ 0.013573 x5357^2 + x19906^2 - x24706^2 ] <= 0 e75009: [ 0.013573 x5358^2 + x19907^2 - x24707^2 ] <= 0 e75010: [ 0.013573 x5359^2 + x19908^2 - x24708^2 ] <= 0 e75011: [ 0.013573 x5360^2 + x19909^2 - x24709^2 ] <= 0 e75012: [ 0.013573 x5361^2 + x19910^2 - x24710^2 ] <= 0 e75013: [ 0.013573 x5362^2 + x19911^2 - x24711^2 ] <= 0 e75014: [ 0.013573 x5363^2 + x19912^2 - x24712^2 ] <= 0 e75015: [ 0.013573 x5364^2 + x19913^2 - x24713^2 ] <= 0 e75016: [ 0.013573 x5365^2 + x19914^2 - x24714^2 ] <= 0 e75017: [ 0.013573 x5366^2 + x19915^2 - x24715^2 ] <= 0 e75018: [ 0.013573 x5367^2 + x19916^2 - x24716^2 ] <= 0 e75019: [ 0.013573 x5368^2 + x19917^2 - x24717^2 ] <= 0 e75020: [ 0.013573 x5369^2 + x19918^2 - x24718^2 ] <= 0 e75021: [ 0.013573 x5370^2 + x19919^2 - x24719^2 ] <= 0 e75022: [ 0.013573 x5371^2 + x19920^2 - x24720^2 ] <= 0 e75023: [ 0.013573 x5372^2 + x19921^2 - x24721^2 ] <= 0 e75024: [ 0.013573 x5373^2 + x19922^2 - x24722^2 ] <= 0 e75025: [ 0.013573 x5374^2 + x19923^2 - x24723^2 ] <= 0 e75026: [ 0.013573 x5375^2 + x19924^2 - x24724^2 ] <= 0 e75027: [ 0.013573 x5376^2 + x19925^2 - x24725^2 ] <= 0 e75028: [ 0.013573 x5377^2 + x19926^2 - x24726^2 ] <= 0 e75029: [ 0.012613 x5378^2 + x19927^2 - x24727^2 ] <= 0 e75030: [ 0.012613 x5379^2 + x19928^2 - x24728^2 ] <= 0 e75031: [ 0.012613 x5380^2 + x19929^2 - x24729^2 ] <= 0 e75032: [ 0.012613 x5381^2 + x19930^2 - x24730^2 ] <= 0 e75033: [ 0.012613 x5382^2 + x19931^2 - x24731^2 ] <= 0 e75034: [ 0.012613 x5383^2 + x19932^2 - x24732^2 ] <= 0 e75035: [ 0.012613 x5384^2 + x19933^2 - x24733^2 ] <= 0 e75036: [ 0.012613 x5385^2 + x19934^2 - x24734^2 ] <= 0 e75037: [ 0.012613 x5386^2 + x19935^2 - x24735^2 ] <= 0 e75038: [ 0.012613 x5387^2 + x19936^2 - x24736^2 ] <= 0 e75039: [ 0.012613 x5388^2 + x19937^2 - x24737^2 ] <= 0 e75040: [ 0.012613 x5389^2 + x19938^2 - x24738^2 ] <= 0 e75041: [ 0.012613 x5390^2 + x19939^2 - x24739^2 ] <= 0 e75042: [ 0.012613 x5391^2 + x19940^2 - x24740^2 ] <= 0 e75043: [ 0.012613 x5392^2 + x19941^2 - x24741^2 ] <= 0 e75044: [ 0.012613 x5393^2 + x19942^2 - x24742^2 ] <= 0 e75045: [ 0.012613 x5394^2 + x19943^2 - x24743^2 ] <= 0 e75046: [ 0.012613 x5395^2 + x19944^2 - x24744^2 ] <= 0 e75047: [ 0.012613 x5396^2 + x19945^2 - x24745^2 ] <= 0 e75048: [ 0.012613 x5397^2 + x19946^2 - x24746^2 ] <= 0 e75049: [ 0.012613 x5398^2 + x19947^2 - x24747^2 ] <= 0 e75050: [ 0.012613 x5399^2 + x19948^2 - x24748^2 ] <= 0 e75051: [ 0.012613 x5400^2 + x19949^2 - x24749^2 ] <= 0 e75052: [ 0.012613 x5401^2 + x19950^2 - x24750^2 ] <= 0 e75053: [ 0.022993 x5402^2 + x19951^2 - x24751^2 ] <= 0 e75054: [ 0.022993 x5403^2 + x19952^2 - x24752^2 ] <= 0 e75055: [ 0.022993 x5404^2 + x19953^2 - x24753^2 ] <= 0 e75056: [ 0.022993 x5405^2 + x19954^2 - x24754^2 ] <= 0 e75057: [ 0.022993 x5406^2 + x19955^2 - x24755^2 ] <= 0 e75058: [ 0.022993 x5407^2 + x19956^2 - x24756^2 ] <= 0 e75059: [ 0.022993 x5408^2 + x19957^2 - x24757^2 ] <= 0 e75060: [ 0.022993 x5409^2 + x19958^2 - x24758^2 ] <= 0 e75061: [ 0.022993 x5410^2 + x19959^2 - x24759^2 ] <= 0 e75062: [ 0.022993 x5411^2 + x19960^2 - x24760^2 ] <= 0 e75063: [ 0.022993 x5412^2 + x19961^2 - x24761^2 ] <= 0 e75064: [ 0.022993 x5413^2 + x19962^2 - x24762^2 ] <= 0 e75065: [ 0.022993 x5414^2 + x19963^2 - x24763^2 ] <= 0 e75066: [ 0.022993 x5415^2 + x19964^2 - x24764^2 ] <= 0 e75067: [ 0.022993 x5416^2 + x19965^2 - x24765^2 ] <= 0 e75068: [ 0.022993 x5417^2 + x19966^2 - x24766^2 ] <= 0 e75069: [ 0.022993 x5418^2 + x19967^2 - x24767^2 ] <= 0 e75070: [ 0.022993 x5419^2 + x19968^2 - x24768^2 ] <= 0 e75071: [ 0.022993 x5420^2 + x19969^2 - x24769^2 ] <= 0 e75072: [ 0.022993 x5421^2 + x19970^2 - x24770^2 ] <= 0 e75073: [ 0.022993 x5422^2 + x19971^2 - x24771^2 ] <= 0 e75074: [ 0.022993 x5423^2 + x19972^2 - x24772^2 ] <= 0 e75075: [ 0.022993 x5424^2 + x19973^2 - x24773^2 ] <= 0 e75076: [ 0.022993 x5425^2 + x19974^2 - x24774^2 ] <= 0 e75077: [ 0.048043 x5426^2 + x19975^2 - x24775^2 ] <= 0 e75078: [ 0.048043 x5427^2 + x19976^2 - x24776^2 ] <= 0 e75079: [ 0.048043 x5428^2 + x19977^2 - x24777^2 ] <= 0 e75080: [ 0.048043 x5429^2 + x19978^2 - x24778^2 ] <= 0 e75081: [ 0.048043 x5430^2 + x19979^2 - x24779^2 ] <= 0 e75082: [ 0.048043 x5431^2 + x19980^2 - x24780^2 ] <= 0 e75083: [ 0.048043 x5432^2 + x19981^2 - x24781^2 ] <= 0 e75084: [ 0.048043 x5433^2 + x19982^2 - x24782^2 ] <= 0 e75085: [ 0.048043 x5434^2 + x19983^2 - x24783^2 ] <= 0 e75086: [ 0.048043 x5435^2 + x19984^2 - x24784^2 ] <= 0 e75087: [ 0.048043 x5436^2 + x19985^2 - x24785^2 ] <= 0 e75088: [ 0.048043 x5437^2 + x19986^2 - x24786^2 ] <= 0 e75089: [ 0.048043 x5438^2 + x19987^2 - x24787^2 ] <= 0 e75090: [ 0.048043 x5439^2 + x19988^2 - x24788^2 ] <= 0 e75091: [ 0.048043 x5440^2 + x19989^2 - x24789^2 ] <= 0 e75092: [ 0.048043 x5441^2 + x19990^2 - x24790^2 ] <= 0 e75093: [ 0.048043 x5442^2 + x19991^2 - x24791^2 ] <= 0 e75094: [ 0.048043 x5443^2 + x19992^2 - x24792^2 ] <= 0 e75095: [ 0.048043 x5444^2 + x19993^2 - x24793^2 ] <= 0 e75096: [ 0.048043 x5445^2 + x19994^2 - x24794^2 ] <= 0 e75097: [ 0.048043 x5446^2 + x19995^2 - x24795^2 ] <= 0 e75098: [ 0.048043 x5447^2 + x19996^2 - x24796^2 ] <= 0 e75099: [ 0.048043 x5448^2 + x19997^2 - x24797^2 ] <= 0 e75100: [ 0.048043 x5449^2 + x19998^2 - x24798^2 ] <= 0 e75101: [ 0.06888 x5450^2 + x19999^2 - x24799^2 ] <= 0 e75102: [ 0.06888 x5451^2 + x20000^2 - x24800^2 ] <= 0 e75103: [ 0.06888 x5452^2 + x20001^2 - x24801^2 ] <= 0 e75104: [ 0.06888 x5453^2 + x20002^2 - x24802^2 ] <= 0 e75105: [ 0.06888 x5454^2 + x20003^2 - x24803^2 ] <= 0 e75106: [ 0.06888 x5455^2 + x20004^2 - x24804^2 ] <= 0 e75107: [ 0.06888 x5456^2 + x20005^2 - x24805^2 ] <= 0 e75108: [ 0.06888 x5457^2 + x20006^2 - x24806^2 ] <= 0 e75109: [ 0.06888 x5458^2 + x20007^2 - x24807^2 ] <= 0 e75110: [ 0.06888 x5459^2 + x20008^2 - x24808^2 ] <= 0 e75111: [ 0.06888 x5460^2 + x20009^2 - x24809^2 ] <= 0 e75112: [ 0.06888 x5461^2 + x20010^2 - x24810^2 ] <= 0 e75113: [ 0.06888 x5462^2 + x20011^2 - x24811^2 ] <= 0 e75114: [ 0.06888 x5463^2 + x20012^2 - x24812^2 ] <= 0 e75115: [ 0.06888 x5464^2 + x20013^2 - x24813^2 ] <= 0 e75116: [ 0.06888 x5465^2 + x20014^2 - x24814^2 ] <= 0 e75117: [ 0.06888 x5466^2 + x20015^2 - x24815^2 ] <= 0 e75118: [ 0.06888 x5467^2 + x20016^2 - x24816^2 ] <= 0 e75119: [ 0.06888 x5468^2 + x20017^2 - x24817^2 ] <= 0 e75120: [ 0.06888 x5469^2 + x20018^2 - x24818^2 ] <= 0 e75121: [ 0.06888 x5470^2 + x20019^2 - x24819^2 ] <= 0 e75122: [ 0.06888 x5471^2 + x20020^2 - x24820^2 ] <= 0 e75123: [ 0.06888 x5472^2 + x20021^2 - x24821^2 ] <= 0 e75124: [ 0.06888 x5473^2 + x20022^2 - x24822^2 ] <= 0 e75125: [ 0.062585 x5474^2 + x20023^2 - x24823^2 ] <= 0 e75126: [ 0.062585 x5475^2 + x20024^2 - x24824^2 ] <= 0 e75127: [ 0.062585 x5476^2 + x20025^2 - x24825^2 ] <= 0 e75128: [ 0.062585 x5477^2 + x20026^2 - x24826^2 ] <= 0 e75129: [ 0.062585 x5478^2 + x20027^2 - x24827^2 ] <= 0 e75130: [ 0.062585 x5479^2 + x20028^2 - x24828^2 ] <= 0 e75131: [ 0.062585 x5480^2 + x20029^2 - x24829^2 ] <= 0 e75132: [ 0.062585 x5481^2 + x20030^2 - x24830^2 ] <= 0 e75133: [ 0.062585 x5482^2 + x20031^2 - x24831^2 ] <= 0 e75134: [ 0.062585 x5483^2 + x20032^2 - x24832^2 ] <= 0 e75135: [ 0.062585 x5484^2 + x20033^2 - x24833^2 ] <= 0 e75136: [ 0.062585 x5485^2 + x20034^2 - x24834^2 ] <= 0 e75137: [ 0.062585 x5486^2 + x20035^2 - x24835^2 ] <= 0 e75138: [ 0.062585 x5487^2 + x20036^2 - x24836^2 ] <= 0 e75139: [ 0.062585 x5488^2 + x20037^2 - x24837^2 ] <= 0 e75140: [ 0.062585 x5489^2 + x20038^2 - x24838^2 ] <= 0 e75141: [ 0.062585 x5490^2 + x20039^2 - x24839^2 ] <= 0 e75142: [ 0.062585 x5491^2 + x20040^2 - x24840^2 ] <= 0 e75143: [ 0.062585 x5492^2 + x20041^2 - x24841^2 ] <= 0 e75144: [ 0.062585 x5493^2 + x20042^2 - x24842^2 ] <= 0 e75145: [ 0.062585 x5494^2 + x20043^2 - x24843^2 ] <= 0 e75146: [ 0.062585 x5495^2 + x20044^2 - x24844^2 ] <= 0 e75147: [ 0.062585 x5496^2 + x20045^2 - x24845^2 ] <= 0 e75148: [ 0.062585 x5497^2 + x20046^2 - x24846^2 ] <= 0 e75149: [ 0.029236 x5498^2 + x20047^2 - x24847^2 ] <= 0 e75150: [ 0.029236 x5499^2 + x20048^2 - x24848^2 ] <= 0 e75151: [ 0.029236 x5500^2 + x20049^2 - x24849^2 ] <= 0 e75152: [ 0.029236 x5501^2 + x20050^2 - x24850^2 ] <= 0 e75153: [ 0.029236 x5502^2 + x20051^2 - x24851^2 ] <= 0 e75154: [ 0.029236 x5503^2 + x20052^2 - x24852^2 ] <= 0 e75155: [ 0.029236 x5504^2 + x20053^2 - x24853^2 ] <= 0 e75156: [ 0.029236 x5505^2 + x20054^2 - x24854^2 ] <= 0 e75157: [ 0.029236 x5506^2 + x20055^2 - x24855^2 ] <= 0 e75158: [ 0.029236 x5507^2 + x20056^2 - x24856^2 ] <= 0 e75159: [ 0.029236 x5508^2 + x20057^2 - x24857^2 ] <= 0 e75160: [ 0.029236 x5509^2 + x20058^2 - x24858^2 ] <= 0 e75161: [ 0.029236 x5510^2 + x20059^2 - x24859^2 ] <= 0 e75162: [ 0.029236 x5511^2 + x20060^2 - x24860^2 ] <= 0 e75163: [ 0.029236 x5512^2 + x20061^2 - x24861^2 ] <= 0 e75164: [ 0.029236 x5513^2 + x20062^2 - x24862^2 ] <= 0 e75165: [ 0.029236 x5514^2 + x20063^2 - x24863^2 ] <= 0 e75166: [ 0.029236 x5515^2 + x20064^2 - x24864^2 ] <= 0 e75167: [ 0.029236 x5516^2 + x20065^2 - x24865^2 ] <= 0 e75168: [ 0.029236 x5517^2 + x20066^2 - x24866^2 ] <= 0 e75169: [ 0.029236 x5518^2 + x20067^2 - x24867^2 ] <= 0 e75170: [ 0.029236 x5519^2 + x20068^2 - x24868^2 ] <= 0 e75171: [ 0.029236 x5520^2 + x20069^2 - x24869^2 ] <= 0 e75172: [ 0.029236 x5521^2 + x20070^2 - x24870^2 ] <= 0 e75173: [ 0.034839 x5522^2 + x20071^2 - x24871^2 ] <= 0 e75174: [ 0.034839 x5523^2 + x20072^2 - x24872^2 ] <= 0 e75175: [ 0.034839 x5524^2 + x20073^2 - x24873^2 ] <= 0 e75176: [ 0.034839 x5525^2 + x20074^2 - x24874^2 ] <= 0 e75177: [ 0.034839 x5526^2 + x20075^2 - x24875^2 ] <= 0 e75178: [ 0.034839 x5527^2 + x20076^2 - x24876^2 ] <= 0 e75179: [ 0.034839 x5528^2 + x20077^2 - x24877^2 ] <= 0 e75180: [ 0.034839 x5529^2 + x20078^2 - x24878^2 ] <= 0 e75181: [ 0.034839 x5530^2 + x20079^2 - x24879^2 ] <= 0 e75182: [ 0.034839 x5531^2 + x20080^2 - x24880^2 ] <= 0 e75183: [ 0.034839 x5532^2 + x20081^2 - x24881^2 ] <= 0 e75184: [ 0.034839 x5533^2 + x20082^2 - x24882^2 ] <= 0 e75185: [ 0.034839 x5534^2 + x20083^2 - x24883^2 ] <= 0 e75186: [ 0.034839 x5535^2 + x20084^2 - x24884^2 ] <= 0 e75187: [ 0.034839 x5536^2 + x20085^2 - x24885^2 ] <= 0 e75188: [ 0.034839 x5537^2 + x20086^2 - x24886^2 ] <= 0 e75189: [ 0.034839 x5538^2 + x20087^2 - x24887^2 ] <= 0 e75190: [ 0.034839 x5539^2 + x20088^2 - x24888^2 ] <= 0 e75191: [ 0.034839 x5540^2 + x20089^2 - x24889^2 ] <= 0 e75192: [ 0.034839 x5541^2 + x20090^2 - x24890^2 ] <= 0 e75193: [ 0.034839 x5542^2 + x20091^2 - x24891^2 ] <= 0 e75194: [ 0.034839 x5543^2 + x20092^2 - x24892^2 ] <= 0 e75195: [ 0.034839 x5544^2 + x20093^2 - x24893^2 ] <= 0 e75196: [ 0.034839 x5545^2 + x20094^2 - x24894^2 ] <= 0 e75197: [ 0.081482 x5546^2 + x20095^2 - x24895^2 ] <= 0 e75198: [ 0.081482 x5547^2 + x20096^2 - x24896^2 ] <= 0 e75199: [ 0.081482 x5548^2 + x20097^2 - x24897^2 ] <= 0 e75200: [ 0.081482 x5549^2 + x20098^2 - x24898^2 ] <= 0 e75201: [ 0.081482 x5550^2 + x20099^2 - x24899^2 ] <= 0 e75202: [ 0.081482 x5551^2 + x20100^2 - x24900^2 ] <= 0 e75203: [ 0.081482 x5552^2 + x20101^2 - x24901^2 ] <= 0 e75204: [ 0.081482 x5553^2 + x20102^2 - x24902^2 ] <= 0 e75205: [ 0.081482 x5554^2 + x20103^2 - x24903^2 ] <= 0 e75206: [ 0.081482 x5555^2 + x20104^2 - x24904^2 ] <= 0 e75207: [ 0.081482 x5556^2 + x20105^2 - x24905^2 ] <= 0 e75208: [ 0.081482 x5557^2 + x20106^2 - x24906^2 ] <= 0 e75209: [ 0.081482 x5558^2 + x20107^2 - x24907^2 ] <= 0 e75210: [ 0.081482 x5559^2 + x20108^2 - x24908^2 ] <= 0 e75211: [ 0.081482 x5560^2 + x20109^2 - x24909^2 ] <= 0 e75212: [ 0.081482 x5561^2 + x20110^2 - x24910^2 ] <= 0 e75213: [ 0.081482 x5562^2 + x20111^2 - x24911^2 ] <= 0 e75214: [ 0.081482 x5563^2 + x20112^2 - x24912^2 ] <= 0 e75215: [ 0.081482 x5564^2 + x20113^2 - x24913^2 ] <= 0 e75216: [ 0.081482 x5565^2 + x20114^2 - x24914^2 ] <= 0 e75217: [ 0.081482 x5566^2 + x20115^2 - x24915^2 ] <= 0 e75218: [ 0.081482 x5567^2 + x20116^2 - x24916^2 ] <= 0 e75219: [ 0.081482 x5568^2 + x20117^2 - x24917^2 ] <= 0 e75220: [ 0.081482 x5569^2 + x20118^2 - x24918^2 ] <= 0 e75221: [ 0.01204 x5570^2 + x20119^2 - x24919^2 ] <= 0 e75222: [ 0.01204 x5571^2 + x20120^2 - x24920^2 ] <= 0 e75223: [ 0.01204 x5572^2 + x20121^2 - x24921^2 ] <= 0 e75224: [ 0.01204 x5573^2 + x20122^2 - x24922^2 ] <= 0 e75225: [ 0.01204 x5574^2 + x20123^2 - x24923^2 ] <= 0 e75226: [ 0.01204 x5575^2 + x20124^2 - x24924^2 ] <= 0 e75227: [ 0.01204 x5576^2 + x20125^2 - x24925^2 ] <= 0 e75228: [ 0.01204 x5577^2 + x20126^2 - x24926^2 ] <= 0 e75229: [ 0.01204 x5578^2 + x20127^2 - x24927^2 ] <= 0 e75230: [ 0.01204 x5579^2 + x20128^2 - x24928^2 ] <= 0 e75231: [ 0.01204 x5580^2 + x20129^2 - x24929^2 ] <= 0 e75232: [ 0.01204 x5581^2 + x20130^2 - x24930^2 ] <= 0 e75233: [ 0.01204 x5582^2 + x20131^2 - x24931^2 ] <= 0 e75234: [ 0.01204 x5583^2 + x20132^2 - x24932^2 ] <= 0 e75235: [ 0.01204 x5584^2 + x20133^2 - x24933^2 ] <= 0 e75236: [ 0.01204 x5585^2 + x20134^2 - x24934^2 ] <= 0 e75237: [ 0.01204 x5586^2 + x20135^2 - x24935^2 ] <= 0 e75238: [ 0.01204 x5587^2 + x20136^2 - x24936^2 ] <= 0 e75239: [ 0.01204 x5588^2 + x20137^2 - x24937^2 ] <= 0 e75240: [ 0.01204 x5589^2 + x20138^2 - x24938^2 ] <= 0 e75241: [ 0.01204 x5590^2 + x20139^2 - x24939^2 ] <= 0 e75242: [ 0.01204 x5591^2 + x20140^2 - x24940^2 ] <= 0 e75243: [ 0.01204 x5592^2 + x20141^2 - x24941^2 ] <= 0 e75244: [ 0.01204 x5593^2 + x20142^2 - x24942^2 ] <= 0 e75245: [ 0.013265 x5594^2 + x20143^2 - x24943^2 ] <= 0 e75246: [ 0.013265 x5595^2 + x20144^2 - x24944^2 ] <= 0 e75247: [ 0.013265 x5596^2 + x20145^2 - x24945^2 ] <= 0 e75248: [ 0.013265 x5597^2 + x20146^2 - x24946^2 ] <= 0 e75249: [ 0.013265 x5598^2 + x20147^2 - x24947^2 ] <= 0 e75250: [ 0.013265 x5599^2 + x20148^2 - x24948^2 ] <= 0 e75251: [ 0.013265 x5600^2 + x20149^2 - x24949^2 ] <= 0 e75252: [ 0.013265 x5601^2 + x20150^2 - x24950^2 ] <= 0 e75253: [ 0.013265 x5602^2 + x20151^2 - x24951^2 ] <= 0 e75254: [ 0.013265 x5603^2 + x20152^2 - x24952^2 ] <= 0 e75255: [ 0.013265 x5604^2 + x20153^2 - x24953^2 ] <= 0 e75256: [ 0.013265 x5605^2 + x20154^2 - x24954^2 ] <= 0 e75257: [ 0.013265 x5606^2 + x20155^2 - x24955^2 ] <= 0 e75258: [ 0.013265 x5607^2 + x20156^2 - x24956^2 ] <= 0 e75259: [ 0.013265 x5608^2 + x20157^2 - x24957^2 ] <= 0 e75260: [ 0.013265 x5609^2 + x20158^2 - x24958^2 ] <= 0 e75261: [ 0.013265 x5610^2 + x20159^2 - x24959^2 ] <= 0 e75262: [ 0.013265 x5611^2 + x20160^2 - x24960^2 ] <= 0 e75263: [ 0.013265 x5612^2 + x20161^2 - x24961^2 ] <= 0 e75264: [ 0.013265 x5613^2 + x20162^2 - x24962^2 ] <= 0 e75265: [ 0.013265 x5614^2 + x20163^2 - x24963^2 ] <= 0 e75266: [ 0.013265 x5615^2 + x20164^2 - x24964^2 ] <= 0 e75267: [ 0.013265 x5616^2 + x20165^2 - x24965^2 ] <= 0 e75268: [ 0.013265 x5617^2 + x20166^2 - x24966^2 ] <= 0 e75269: [ 0.043785 x5618^2 + x20167^2 - x24967^2 ] <= 0 e75270: [ 0.043785 x5619^2 + x20168^2 - x24968^2 ] <= 0 e75271: [ 0.043785 x5620^2 + x20169^2 - x24969^2 ] <= 0 e75272: [ 0.043785 x5621^2 + x20170^2 - x24970^2 ] <= 0 e75273: [ 0.043785 x5622^2 + x20171^2 - x24971^2 ] <= 0 e75274: [ 0.043785 x5623^2 + x20172^2 - x24972^2 ] <= 0 e75275: [ 0.043785 x5624^2 + x20173^2 - x24973^2 ] <= 0 e75276: [ 0.043785 x5625^2 + x20174^2 - x24974^2 ] <= 0 e75277: [ 0.043785 x5626^2 + x20175^2 - x24975^2 ] <= 0 e75278: [ 0.043785 x5627^2 + x20176^2 - x24976^2 ] <= 0 e75279: [ 0.043785 x5628^2 + x20177^2 - x24977^2 ] <= 0 e75280: [ 0.043785 x5629^2 + x20178^2 - x24978^2 ] <= 0 e75281: [ 0.043785 x5630^2 + x20179^2 - x24979^2 ] <= 0 e75282: [ 0.043785 x5631^2 + x20180^2 - x24980^2 ] <= 0 e75283: [ 0.043785 x5632^2 + x20181^2 - x24981^2 ] <= 0 e75284: [ 0.043785 x5633^2 + x20182^2 - x24982^2 ] <= 0 e75285: [ 0.043785 x5634^2 + x20183^2 - x24983^2 ] <= 0 e75286: [ 0.043785 x5635^2 + x20184^2 - x24984^2 ] <= 0 e75287: [ 0.043785 x5636^2 + x20185^2 - x24985^2 ] <= 0 e75288: [ 0.043785 x5637^2 + x20186^2 - x24986^2 ] <= 0 e75289: [ 0.043785 x5638^2 + x20187^2 - x24987^2 ] <= 0 e75290: [ 0.043785 x5639^2 + x20188^2 - x24988^2 ] <= 0 e75291: [ 0.043785 x5640^2 + x20189^2 - x24989^2 ] <= 0 e75292: [ 0.043785 x5641^2 + x20190^2 - x24990^2 ] <= 0 e75293: [ 0.017726 x5642^2 + x20191^2 - x24991^2 ] <= 0 e75294: [ 0.017726 x5643^2 + x20192^2 - x24992^2 ] <= 0 e75295: [ 0.017726 x5644^2 + x20193^2 - x24993^2 ] <= 0 e75296: [ 0.017726 x5645^2 + x20194^2 - x24994^2 ] <= 0 e75297: [ 0.017726 x5646^2 + x20195^2 - x24995^2 ] <= 0 e75298: [ 0.017726 x5647^2 + x20196^2 - x24996^2 ] <= 0 e75299: [ 0.017726 x5648^2 + x20197^2 - x24997^2 ] <= 0 e75300: [ 0.017726 x5649^2 + x20198^2 - x24998^2 ] <= 0 e75301: [ 0.017726 x5650^2 + x20199^2 - x24999^2 ] <= 0 e75302: [ 0.017726 x5651^2 + x20200^2 - x25000^2 ] <= 0 e75303: [ 0.017726 x5652^2 + x20201^2 - x25001^2 ] <= 0 e75304: [ 0.017726 x5653^2 + x20202^2 - x25002^2 ] <= 0 e75305: [ 0.017726 x5654^2 + x20203^2 - x25003^2 ] <= 0 e75306: [ 0.017726 x5655^2 + x20204^2 - x25004^2 ] <= 0 e75307: [ 0.017726 x5656^2 + x20205^2 - x25005^2 ] <= 0 e75308: [ 0.017726 x5657^2 + x20206^2 - x25006^2 ] <= 0 e75309: [ 0.017726 x5658^2 + x20207^2 - x25007^2 ] <= 0 e75310: [ 0.017726 x5659^2 + x20208^2 - x25008^2 ] <= 0 e75311: [ 0.017726 x5660^2 + x20209^2 - x25009^2 ] <= 0 e75312: [ 0.017726 x5661^2 + x20210^2 - x25010^2 ] <= 0 e75313: [ 0.017726 x5662^2 + x20211^2 - x25011^2 ] <= 0 e75314: [ 0.017726 x5663^2 + x20212^2 - x25012^2 ] <= 0 e75315: [ 0.017726 x5664^2 + x20213^2 - x25013^2 ] <= 0 e75316: [ 0.017726 x5665^2 + x20214^2 - x25014^2 ] <= 0 e75317: [ 0.050416 x5666^2 + x20215^2 - x25015^2 ] <= 0 e75318: [ 0.050416 x5667^2 + x20216^2 - x25016^2 ] <= 0 e75319: [ 0.050416 x5668^2 + x20217^2 - x25017^2 ] <= 0 e75320: [ 0.050416 x5669^2 + x20218^2 - x25018^2 ] <= 0 e75321: [ 0.050416 x5670^2 + x20219^2 - x25019^2 ] <= 0 e75322: [ 0.050416 x5671^2 + x20220^2 - x25020^2 ] <= 0 e75323: [ 0.050416 x5672^2 + x20221^2 - x25021^2 ] <= 0 e75324: [ 0.050416 x5673^2 + x20222^2 - x25022^2 ] <= 0 e75325: [ 0.050416 x5674^2 + x20223^2 - x25023^2 ] <= 0 e75326: [ 0.050416 x5675^2 + x20224^2 - x25024^2 ] <= 0 e75327: [ 0.050416 x5676^2 + x20225^2 - x25025^2 ] <= 0 e75328: [ 0.050416 x5677^2 + x20226^2 - x25026^2 ] <= 0 e75329: [ 0.050416 x5678^2 + x20227^2 - x25027^2 ] <= 0 e75330: [ 0.050416 x5679^2 + x20228^2 - x25028^2 ] <= 0 e75331: [ 0.050416 x5680^2 + x20229^2 - x25029^2 ] <= 0 e75332: [ 0.050416 x5681^2 + x20230^2 - x25030^2 ] <= 0 e75333: [ 0.050416 x5682^2 + x20231^2 - x25031^2 ] <= 0 e75334: [ 0.050416 x5683^2 + x20232^2 - x25032^2 ] <= 0 e75335: [ 0.050416 x5684^2 + x20233^2 - x25033^2 ] <= 0 e75336: [ 0.050416 x5685^2 + x20234^2 - x25034^2 ] <= 0 e75337: [ 0.050416 x5686^2 + x20235^2 - x25035^2 ] <= 0 e75338: [ 0.050416 x5687^2 + x20236^2 - x25036^2 ] <= 0 e75339: [ 0.050416 x5688^2 + x20237^2 - x25037^2 ] <= 0 e75340: [ 0.050416 x5689^2 + x20238^2 - x25038^2 ] <= 0 e75341: [ 0.010143 x5690^2 + x20239^2 - x25039^2 ] <= 0 e75342: [ 0.010143 x5691^2 + x20240^2 - x25040^2 ] <= 0 e75343: [ 0.010143 x5692^2 + x20241^2 - x25041^2 ] <= 0 e75344: [ 0.010143 x5693^2 + x20242^2 - x25042^2 ] <= 0 e75345: [ 0.010143 x5694^2 + x20243^2 - x25043^2 ] <= 0 e75346: [ 0.010143 x5695^2 + x20244^2 - x25044^2 ] <= 0 e75347: [ 0.010143 x5696^2 + x20245^2 - x25045^2 ] <= 0 e75348: [ 0.010143 x5697^2 + x20246^2 - x25046^2 ] <= 0 e75349: [ 0.010143 x5698^2 + x20247^2 - x25047^2 ] <= 0 e75350: [ 0.010143 x5699^2 + x20248^2 - x25048^2 ] <= 0 e75351: [ 0.010143 x5700^2 + x20249^2 - x25049^2 ] <= 0 e75352: [ 0.010143 x5701^2 + x20250^2 - x25050^2 ] <= 0 e75353: [ 0.010143 x5702^2 + x20251^2 - x25051^2 ] <= 0 e75354: [ 0.010143 x5703^2 + x20252^2 - x25052^2 ] <= 0 e75355: [ 0.010143 x5704^2 + x20253^2 - x25053^2 ] <= 0 e75356: [ 0.010143 x5705^2 + x20254^2 - x25054^2 ] <= 0 e75357: [ 0.010143 x5706^2 + x20255^2 - x25055^2 ] <= 0 e75358: [ 0.010143 x5707^2 + x20256^2 - x25056^2 ] <= 0 e75359: [ 0.010143 x5708^2 + x20257^2 - x25057^2 ] <= 0 e75360: [ 0.010143 x5709^2 + x20258^2 - x25058^2 ] <= 0 e75361: [ 0.010143 x5710^2 + x20259^2 - x25059^2 ] <= 0 e75362: [ 0.010143 x5711^2 + x20260^2 - x25060^2 ] <= 0 e75363: [ 0.010143 x5712^2 + x20261^2 - x25061^2 ] <= 0 e75364: [ 0.010143 x5713^2 + x20262^2 - x25062^2 ] <= 0 e75365: [ 0.028925 x5714^2 + x20263^2 - x25063^2 ] <= 0 e75366: [ 0.028925 x5715^2 + x20264^2 - x25064^2 ] <= 0 e75367: [ 0.028925 x5716^2 + x20265^2 - x25065^2 ] <= 0 e75368: [ 0.028925 x5717^2 + x20266^2 - x25066^2 ] <= 0 e75369: [ 0.028925 x5718^2 + x20267^2 - x25067^2 ] <= 0 e75370: [ 0.028925 x5719^2 + x20268^2 - x25068^2 ] <= 0 e75371: [ 0.028925 x5720^2 + x20269^2 - x25069^2 ] <= 0 e75372: [ 0.028925 x5721^2 + x20270^2 - x25070^2 ] <= 0 e75373: [ 0.028925 x5722^2 + x20271^2 - x25071^2 ] <= 0 e75374: [ 0.028925 x5723^2 + x20272^2 - x25072^2 ] <= 0 e75375: [ 0.028925 x5724^2 + x20273^2 - x25073^2 ] <= 0 e75376: [ 0.028925 x5725^2 + x20274^2 - x25074^2 ] <= 0 e75377: [ 0.028925 x5726^2 + x20275^2 - x25075^2 ] <= 0 e75378: [ 0.028925 x5727^2 + x20276^2 - x25076^2 ] <= 0 e75379: [ 0.028925 x5728^2 + x20277^2 - x25077^2 ] <= 0 e75380: [ 0.028925 x5729^2 + x20278^2 - x25078^2 ] <= 0 e75381: [ 0.028925 x5730^2 + x20279^2 - x25079^2 ] <= 0 e75382: [ 0.028925 x5731^2 + x20280^2 - x25080^2 ] <= 0 e75383: [ 0.028925 x5732^2 + x20281^2 - x25081^2 ] <= 0 e75384: [ 0.028925 x5733^2 + x20282^2 - x25082^2 ] <= 0 e75385: [ 0.028925 x5734^2 + x20283^2 - x25083^2 ] <= 0 e75386: [ 0.028925 x5735^2 + x20284^2 - x25084^2 ] <= 0 e75387: [ 0.028925 x5736^2 + x20285^2 - x25085^2 ] <= 0 e75388: [ 0.028925 x5737^2 + x20286^2 - x25086^2 ] <= 0 e75389: [ 0.060627 x5738^2 + x20287^2 - x25087^2 ] <= 0 e75390: [ 0.060627 x5739^2 + x20288^2 - x25088^2 ] <= 0 e75391: [ 0.060627 x5740^2 + x20289^2 - x25089^2 ] <= 0 e75392: [ 0.060627 x5741^2 + x20290^2 - x25090^2 ] <= 0 e75393: [ 0.060627 x5742^2 + x20291^2 - x25091^2 ] <= 0 e75394: [ 0.060627 x5743^2 + x20292^2 - x25092^2 ] <= 0 e75395: [ 0.060627 x5744^2 + x20293^2 - x25093^2 ] <= 0 e75396: [ 0.060627 x5745^2 + x20294^2 - x25094^2 ] <= 0 e75397: [ 0.060627 x5746^2 + x20295^2 - x25095^2 ] <= 0 e75398: [ 0.060627 x5747^2 + x20296^2 - x25096^2 ] <= 0 e75399: [ 0.060627 x5748^2 + x20297^2 - x25097^2 ] <= 0 e75400: [ 0.060627 x5749^2 + x20298^2 - x25098^2 ] <= 0 e75401: [ 0.060627 x5750^2 + x20299^2 - x25099^2 ] <= 0 e75402: [ 0.060627 x5751^2 + x20300^2 - x25100^2 ] <= 0 e75403: [ 0.060627 x5752^2 + x20301^2 - x25101^2 ] <= 0 e75404: [ 0.060627 x5753^2 + x20302^2 - x25102^2 ] <= 0 e75405: [ 0.060627 x5754^2 + x20303^2 - x25103^2 ] <= 0 e75406: [ 0.060627 x5755^2 + x20304^2 - x25104^2 ] <= 0 e75407: [ 0.060627 x5756^2 + x20305^2 - x25105^2 ] <= 0 e75408: [ 0.060627 x5757^2 + x20306^2 - x25106^2 ] <= 0 e75409: [ 0.060627 x5758^2 + x20307^2 - x25107^2 ] <= 0 e75410: [ 0.060627 x5759^2 + x20308^2 - x25108^2 ] <= 0 e75411: [ 0.060627 x5760^2 + x20309^2 - x25109^2 ] <= 0 e75412: [ 0.060627 x5761^2 + x20310^2 - x25110^2 ] <= 0 e75413: [ 0.091084 x5762^2 + x20311^2 - x25111^2 ] <= 0 e75414: [ 0.091084 x5763^2 + x20312^2 - x25112^2 ] <= 0 e75415: [ 0.091084 x5764^2 + x20313^2 - x25113^2 ] <= 0 e75416: [ 0.091084 x5765^2 + x20314^2 - x25114^2 ] <= 0 e75417: [ 0.091084 x5766^2 + x20315^2 - x25115^2 ] <= 0 e75418: [ 0.091084 x5767^2 + x20316^2 - x25116^2 ] <= 0 e75419: [ 0.091084 x5768^2 + x20317^2 - x25117^2 ] <= 0 e75420: [ 0.091084 x5769^2 + x20318^2 - x25118^2 ] <= 0 e75421: [ 0.091084 x5770^2 + x20319^2 - x25119^2 ] <= 0 e75422: [ 0.091084 x5771^2 + x20320^2 - x25120^2 ] <= 0 e75423: [ 0.091084 x5772^2 + x20321^2 - x25121^2 ] <= 0 e75424: [ 0.091084 x5773^2 + x20322^2 - x25122^2 ] <= 0 e75425: [ 0.091084 x5774^2 + x20323^2 - x25123^2 ] <= 0 e75426: [ 0.091084 x5775^2 + x20324^2 - x25124^2 ] <= 0 e75427: [ 0.091084 x5776^2 + x20325^2 - x25125^2 ] <= 0 e75428: [ 0.091084 x5777^2 + x20326^2 - x25126^2 ] <= 0 e75429: [ 0.091084 x5778^2 + x20327^2 - x25127^2 ] <= 0 e75430: [ 0.091084 x5779^2 + x20328^2 - x25128^2 ] <= 0 e75431: [ 0.091084 x5780^2 + x20329^2 - x25129^2 ] <= 0 e75432: [ 0.091084 x5781^2 + x20330^2 - x25130^2 ] <= 0 e75433: [ 0.091084 x5782^2 + x20331^2 - x25131^2 ] <= 0 e75434: [ 0.091084 x5783^2 + x20332^2 - x25132^2 ] <= 0 e75435: [ 0.091084 x5784^2 + x20333^2 - x25133^2 ] <= 0 e75436: [ 0.091084 x5785^2 + x20334^2 - x25134^2 ] <= 0 e75437: [ 0.088953 x5786^2 + x20335^2 - x25135^2 ] <= 0 e75438: [ 0.088953 x5787^2 + x20336^2 - x25136^2 ] <= 0 e75439: [ 0.088953 x5788^2 + x20337^2 - x25137^2 ] <= 0 e75440: [ 0.088953 x5789^2 + x20338^2 - x25138^2 ] <= 0 e75441: [ 0.088953 x5790^2 + x20339^2 - x25139^2 ] <= 0 e75442: [ 0.088953 x5791^2 + x20340^2 - x25140^2 ] <= 0 e75443: [ 0.088953 x5792^2 + x20341^2 - x25141^2 ] <= 0 e75444: [ 0.088953 x5793^2 + x20342^2 - x25142^2 ] <= 0 e75445: [ 0.088953 x5794^2 + x20343^2 - x25143^2 ] <= 0 e75446: [ 0.088953 x5795^2 + x20344^2 - x25144^2 ] <= 0 e75447: [ 0.088953 x5796^2 + x20345^2 - x25145^2 ] <= 0 e75448: [ 0.088953 x5797^2 + x20346^2 - x25146^2 ] <= 0 e75449: [ 0.088953 x5798^2 + x20347^2 - x25147^2 ] <= 0 e75450: [ 0.088953 x5799^2 + x20348^2 - x25148^2 ] <= 0 e75451: [ 0.088953 x5800^2 + x20349^2 - x25149^2 ] <= 0 e75452: [ 0.088953 x5801^2 + x20350^2 - x25150^2 ] <= 0 e75453: [ 0.088953 x5802^2 + x20351^2 - x25151^2 ] <= 0 e75454: [ 0.088953 x5803^2 + x20352^2 - x25152^2 ] <= 0 e75455: [ 0.088953 x5804^2 + x20353^2 - x25153^2 ] <= 0 e75456: [ 0.088953 x5805^2 + x20354^2 - x25154^2 ] <= 0 e75457: [ 0.088953 x5806^2 + x20355^2 - x25155^2 ] <= 0 e75458: [ 0.088953 x5807^2 + x20356^2 - x25156^2 ] <= 0 e75459: [ 0.088953 x5808^2 + x20357^2 - x25157^2 ] <= 0 e75460: [ 0.088953 x5809^2 + x20358^2 - x25158^2 ] <= 0 e75461: [ 0.074383 x5810^2 + x20359^2 - x25159^2 ] <= 0 e75462: [ 0.074383 x5811^2 + x20360^2 - x25160^2 ] <= 0 e75463: [ 0.074383 x5812^2 + x20361^2 - x25161^2 ] <= 0 e75464: [ 0.074383 x5813^2 + x20362^2 - x25162^2 ] <= 0 e75465: [ 0.074383 x5814^2 + x20363^2 - x25163^2 ] <= 0 e75466: [ 0.074383 x5815^2 + x20364^2 - x25164^2 ] <= 0 e75467: [ 0.074383 x5816^2 + x20365^2 - x25165^2 ] <= 0 e75468: [ 0.074383 x5817^2 + x20366^2 - x25166^2 ] <= 0 e75469: [ 0.074383 x5818^2 + x20367^2 - x25167^2 ] <= 0 e75470: [ 0.074383 x5819^2 + x20368^2 - x25168^2 ] <= 0 e75471: [ 0.074383 x5820^2 + x20369^2 - x25169^2 ] <= 0 e75472: [ 0.074383 x5821^2 + x20370^2 - x25170^2 ] <= 0 e75473: [ 0.074383 x5822^2 + x20371^2 - x25171^2 ] <= 0 e75474: [ 0.074383 x5823^2 + x20372^2 - x25172^2 ] <= 0 e75475: [ 0.074383 x5824^2 + x20373^2 - x25173^2 ] <= 0 e75476: [ 0.074383 x5825^2 + x20374^2 - x25174^2 ] <= 0 e75477: [ 0.074383 x5826^2 + x20375^2 - x25175^2 ] <= 0 e75478: [ 0.074383 x5827^2 + x20376^2 - x25176^2 ] <= 0 e75479: [ 0.074383 x5828^2 + x20377^2 - x25177^2 ] <= 0 e75480: [ 0.074383 x5829^2 + x20378^2 - x25178^2 ] <= 0 e75481: [ 0.074383 x5830^2 + x20379^2 - x25179^2 ] <= 0 e75482: [ 0.074383 x5831^2 + x20380^2 - x25180^2 ] <= 0 e75483: [ 0.074383 x5832^2 + x20381^2 - x25181^2 ] <= 0 e75484: [ 0.074383 x5833^2 + x20382^2 - x25182^2 ] <= 0 e75485: [ 0.062713 x5834^2 + x20383^2 - x25183^2 ] <= 0 e75486: [ 0.062713 x5835^2 + x20384^2 - x25184^2 ] <= 0 e75487: [ 0.062713 x5836^2 + x20385^2 - x25185^2 ] <= 0 e75488: [ 0.062713 x5837^2 + x20386^2 - x25186^2 ] <= 0 e75489: [ 0.062713 x5838^2 + x20387^2 - x25187^2 ] <= 0 e75490: [ 0.062713 x5839^2 + x20388^2 - x25188^2 ] <= 0 e75491: [ 0.062713 x5840^2 + x20389^2 - x25189^2 ] <= 0 e75492: [ 0.062713 x5841^2 + x20390^2 - x25190^2 ] <= 0 e75493: [ 0.062713 x5842^2 + x20391^2 - x25191^2 ] <= 0 e75494: [ 0.062713 x5843^2 + x20392^2 - x25192^2 ] <= 0 e75495: [ 0.062713 x5844^2 + x20393^2 - x25193^2 ] <= 0 e75496: [ 0.062713 x5845^2 + x20394^2 - x25194^2 ] <= 0 e75497: [ 0.062713 x5846^2 + x20395^2 - x25195^2 ] <= 0 e75498: [ 0.062713 x5847^2 + x20396^2 - x25196^2 ] <= 0 e75499: [ 0.062713 x5848^2 + x20397^2 - x25197^2 ] <= 0 e75500: [ 0.062713 x5849^2 + x20398^2 - x25198^2 ] <= 0 e75501: [ 0.062713 x5850^2 + x20399^2 - x25199^2 ] <= 0 e75502: [ 0.062713 x5851^2 + x20400^2 - x25200^2 ] <= 0 e75503: [ 0.062713 x5852^2 + x20401^2 - x25201^2 ] <= 0 e75504: [ 0.062713 x5853^2 + x20402^2 - x25202^2 ] <= 0 e75505: [ 0.062713 x5854^2 + x20403^2 - x25203^2 ] <= 0 e75506: [ 0.062713 x5855^2 + x20404^2 - x25204^2 ] <= 0 e75507: [ 0.062713 x5856^2 + x20405^2 - x25205^2 ] <= 0 e75508: [ 0.062713 x5857^2 + x20406^2 - x25206^2 ] <= 0 e75509: [ 0.06071 x5858^2 + x20407^2 - x25207^2 ] <= 0 e75510: [ 0.06071 x5859^2 + x20408^2 - x25208^2 ] <= 0 e75511: [ 0.06071 x5860^2 + x20409^2 - x25209^2 ] <= 0 e75512: [ 0.06071 x5861^2 + x20410^2 - x25210^2 ] <= 0 e75513: [ 0.06071 x5862^2 + x20411^2 - x25211^2 ] <= 0 e75514: [ 0.06071 x5863^2 + x20412^2 - x25212^2 ] <= 0 e75515: [ 0.06071 x5864^2 + x20413^2 - x25213^2 ] <= 0 e75516: [ 0.06071 x5865^2 + x20414^2 - x25214^2 ] <= 0 e75517: [ 0.06071 x5866^2 + x20415^2 - x25215^2 ] <= 0 e75518: [ 0.06071 x5867^2 + x20416^2 - x25216^2 ] <= 0 e75519: [ 0.06071 x5868^2 + x20417^2 - x25217^2 ] <= 0 e75520: [ 0.06071 x5869^2 + x20418^2 - x25218^2 ] <= 0 e75521: [ 0.06071 x5870^2 + x20419^2 - x25219^2 ] <= 0 e75522: [ 0.06071 x5871^2 + x20420^2 - x25220^2 ] <= 0 e75523: [ 0.06071 x5872^2 + x20421^2 - x25221^2 ] <= 0 e75524: [ 0.06071 x5873^2 + x20422^2 - x25222^2 ] <= 0 e75525: [ 0.06071 x5874^2 + x20423^2 - x25223^2 ] <= 0 e75526: [ 0.06071 x5875^2 + x20424^2 - x25224^2 ] <= 0 e75527: [ 0.06071 x5876^2 + x20425^2 - x25225^2 ] <= 0 e75528: [ 0.06071 x5877^2 + x20426^2 - x25226^2 ] <= 0 e75529: [ 0.06071 x5878^2 + x20427^2 - x25227^2 ] <= 0 e75530: [ 0.06071 x5879^2 + x20428^2 - x25228^2 ] <= 0 e75531: [ 0.06071 x5880^2 + x20429^2 - x25229^2 ] <= 0 e75532: [ 0.06071 x5881^2 + x20430^2 - x25230^2 ] <= 0 e75533: [ 0.048855 x5882^2 + x20431^2 - x25231^2 ] <= 0 e75534: [ 0.048855 x5883^2 + x20432^2 - x25232^2 ] <= 0 e75535: [ 0.048855 x5884^2 + x20433^2 - x25233^2 ] <= 0 e75536: [ 0.048855 x5885^2 + x20434^2 - x25234^2 ] <= 0 e75537: [ 0.048855 x5886^2 + x20435^2 - x25235^2 ] <= 0 e75538: [ 0.048855 x5887^2 + x20436^2 - x25236^2 ] <= 0 e75539: [ 0.048855 x5888^2 + x20437^2 - x25237^2 ] <= 0 e75540: [ 0.048855 x5889^2 + x20438^2 - x25238^2 ] <= 0 e75541: [ 0.048855 x5890^2 + x20439^2 - x25239^2 ] <= 0 e75542: [ 0.048855 x5891^2 + x20440^2 - x25240^2 ] <= 0 e75543: [ 0.048855 x5892^2 + x20441^2 - x25241^2 ] <= 0 e75544: [ 0.048855 x5893^2 + x20442^2 - x25242^2 ] <= 0 e75545: [ 0.048855 x5894^2 + x20443^2 - x25243^2 ] <= 0 e75546: [ 0.048855 x5895^2 + x20444^2 - x25244^2 ] <= 0 e75547: [ 0.048855 x5896^2 + x20445^2 - x25245^2 ] <= 0 e75548: [ 0.048855 x5897^2 + x20446^2 - x25246^2 ] <= 0 e75549: [ 0.048855 x5898^2 + x20447^2 - x25247^2 ] <= 0 e75550: [ 0.048855 x5899^2 + x20448^2 - x25248^2 ] <= 0 e75551: [ 0.048855 x5900^2 + x20449^2 - x25249^2 ] <= 0 e75552: [ 0.048855 x5901^2 + x20450^2 - x25250^2 ] <= 0 e75553: [ 0.048855 x5902^2 + x20451^2 - x25251^2 ] <= 0 e75554: [ 0.048855 x5903^2 + x20452^2 - x25252^2 ] <= 0 e75555: [ 0.048855 x5904^2 + x20453^2 - x25253^2 ] <= 0 e75556: [ 0.048855 x5905^2 + x20454^2 - x25254^2 ] <= 0 e75557: [ 0.054289 x5906^2 + x20455^2 - x25255^2 ] <= 0 e75558: [ 0.054289 x5907^2 + x20456^2 - x25256^2 ] <= 0 e75559: [ 0.054289 x5908^2 + x20457^2 - x25257^2 ] <= 0 e75560: [ 0.054289 x5909^2 + x20458^2 - x25258^2 ] <= 0 e75561: [ 0.054289 x5910^2 + x20459^2 - x25259^2 ] <= 0 e75562: [ 0.054289 x5911^2 + x20460^2 - x25260^2 ] <= 0 e75563: [ 0.054289 x5912^2 + x20461^2 - x25261^2 ] <= 0 e75564: [ 0.054289 x5913^2 + x20462^2 - x25262^2 ] <= 0 e75565: [ 0.054289 x5914^2 + x20463^2 - x25263^2 ] <= 0 e75566: [ 0.054289 x5915^2 + x20464^2 - x25264^2 ] <= 0 e75567: [ 0.054289 x5916^2 + x20465^2 - x25265^2 ] <= 0 e75568: [ 0.054289 x5917^2 + x20466^2 - x25266^2 ] <= 0 e75569: [ 0.054289 x5918^2 + x20467^2 - x25267^2 ] <= 0 e75570: [ 0.054289 x5919^2 + x20468^2 - x25268^2 ] <= 0 e75571: [ 0.054289 x5920^2 + x20469^2 - x25269^2 ] <= 0 e75572: [ 0.054289 x5921^2 + x20470^2 - x25270^2 ] <= 0 e75573: [ 0.054289 x5922^2 + x20471^2 - x25271^2 ] <= 0 e75574: [ 0.054289 x5923^2 + x20472^2 - x25272^2 ] <= 0 e75575: [ 0.054289 x5924^2 + x20473^2 - x25273^2 ] <= 0 e75576: [ 0.054289 x5925^2 + x20474^2 - x25274^2 ] <= 0 e75577: [ 0.054289 x5926^2 + x20475^2 - x25275^2 ] <= 0 e75578: [ 0.054289 x5927^2 + x20476^2 - x25276^2 ] <= 0 e75579: [ 0.054289 x5928^2 + x20477^2 - x25277^2 ] <= 0 e75580: [ 0.054289 x5929^2 + x20478^2 - x25278^2 ] <= 0 e75581: [ 0.062818 x5930^2 + x20479^2 - x25279^2 ] <= 0 e75582: [ 0.062818 x5931^2 + x20480^2 - x25280^2 ] <= 0 e75583: [ 0.062818 x5932^2 + x20481^2 - x25281^2 ] <= 0 e75584: [ 0.062818 x5933^2 + x20482^2 - x25282^2 ] <= 0 e75585: [ 0.062818 x5934^2 + x20483^2 - x25283^2 ] <= 0 e75586: [ 0.062818 x5935^2 + x20484^2 - x25284^2 ] <= 0 e75587: [ 0.062818 x5936^2 + x20485^2 - x25285^2 ] <= 0 e75588: [ 0.062818 x5937^2 + x20486^2 - x25286^2 ] <= 0 e75589: [ 0.062818 x5938^2 + x20487^2 - x25287^2 ] <= 0 e75590: [ 0.062818 x5939^2 + x20488^2 - x25288^2 ] <= 0 e75591: [ 0.062818 x5940^2 + x20489^2 - x25289^2 ] <= 0 e75592: [ 0.062818 x5941^2 + x20490^2 - x25290^2 ] <= 0 e75593: [ 0.062818 x5942^2 + x20491^2 - x25291^2 ] <= 0 e75594: [ 0.062818 x5943^2 + x20492^2 - x25292^2 ] <= 0 e75595: [ 0.062818 x5944^2 + x20493^2 - x25293^2 ] <= 0 e75596: [ 0.062818 x5945^2 + x20494^2 - x25294^2 ] <= 0 e75597: [ 0.062818 x5946^2 + x20495^2 - x25295^2 ] <= 0 e75598: [ 0.062818 x5947^2 + x20496^2 - x25296^2 ] <= 0 e75599: [ 0.062818 x5948^2 + x20497^2 - x25297^2 ] <= 0 e75600: [ 0.062818 x5949^2 + x20498^2 - x25298^2 ] <= 0 e75601: [ 0.062818 x5950^2 + x20499^2 - x25299^2 ] <= 0 e75602: [ 0.062818 x5951^2 + x20500^2 - x25300^2 ] <= 0 e75603: [ 0.062818 x5952^2 + x20501^2 - x25301^2 ] <= 0 e75604: [ 0.062818 x5953^2 + x20502^2 - x25302^2 ] <= 0 e75605: [ 0.053822 x5954^2 + x20503^2 - x25303^2 ] <= 0 e75606: [ 0.053822 x5955^2 + x20504^2 - x25304^2 ] <= 0 e75607: [ 0.053822 x5956^2 + x20505^2 - x25305^2 ] <= 0 e75608: [ 0.053822 x5957^2 + x20506^2 - x25306^2 ] <= 0 e75609: [ 0.053822 x5958^2 + x20507^2 - x25307^2 ] <= 0 e75610: [ 0.053822 x5959^2 + x20508^2 - x25308^2 ] <= 0 e75611: [ 0.053822 x5960^2 + x20509^2 - x25309^2 ] <= 0 e75612: [ 0.053822 x5961^2 + x20510^2 - x25310^2 ] <= 0 e75613: [ 0.053822 x5962^2 + x20511^2 - x25311^2 ] <= 0 e75614: [ 0.053822 x5963^2 + x20512^2 - x25312^2 ] <= 0 e75615: [ 0.053822 x5964^2 + x20513^2 - x25313^2 ] <= 0 e75616: [ 0.053822 x5965^2 + x20514^2 - x25314^2 ] <= 0 e75617: [ 0.053822 x5966^2 + x20515^2 - x25315^2 ] <= 0 e75618: [ 0.053822 x5967^2 + x20516^2 - x25316^2 ] <= 0 e75619: [ 0.053822 x5968^2 + x20517^2 - x25317^2 ] <= 0 e75620: [ 0.053822 x5969^2 + x20518^2 - x25318^2 ] <= 0 e75621: [ 0.053822 x5970^2 + x20519^2 - x25319^2 ] <= 0 e75622: [ 0.053822 x5971^2 + x20520^2 - x25320^2 ] <= 0 e75623: [ 0.053822 x5972^2 + x20521^2 - x25321^2 ] <= 0 e75624: [ 0.053822 x5973^2 + x20522^2 - x25322^2 ] <= 0 e75625: [ 0.053822 x5974^2 + x20523^2 - x25323^2 ] <= 0 e75626: [ 0.053822 x5975^2 + x20524^2 - x25324^2 ] <= 0 e75627: [ 0.053822 x5976^2 + x20525^2 - x25325^2 ] <= 0 e75628: [ 0.053822 x5977^2 + x20526^2 - x25326^2 ] <= 0 e75629: [ 0.039821 x5978^2 + x20527^2 - x25327^2 ] <= 0 e75630: [ 0.039821 x5979^2 + x20528^2 - x25328^2 ] <= 0 e75631: [ 0.039821 x5980^2 + x20529^2 - x25329^2 ] <= 0 e75632: [ 0.039821 x5981^2 + x20530^2 - x25330^2 ] <= 0 e75633: [ 0.039821 x5982^2 + x20531^2 - x25331^2 ] <= 0 e75634: [ 0.039821 x5983^2 + x20532^2 - x25332^2 ] <= 0 e75635: [ 0.039821 x5984^2 + x20533^2 - x25333^2 ] <= 0 e75636: [ 0.039821 x5985^2 + x20534^2 - x25334^2 ] <= 0 e75637: [ 0.039821 x5986^2 + x20535^2 - x25335^2 ] <= 0 e75638: [ 0.039821 x5987^2 + x20536^2 - x25336^2 ] <= 0 e75639: [ 0.039821 x5988^2 + x20537^2 - x25337^2 ] <= 0 e75640: [ 0.039821 x5989^2 + x20538^2 - x25338^2 ] <= 0 e75641: [ 0.039821 x5990^2 + x20539^2 - x25339^2 ] <= 0 e75642: [ 0.039821 x5991^2 + x20540^2 - x25340^2 ] <= 0 e75643: [ 0.039821 x5992^2 + x20541^2 - x25341^2 ] <= 0 e75644: [ 0.039821 x5993^2 + x20542^2 - x25342^2 ] <= 0 e75645: [ 0.039821 x5994^2 + x20543^2 - x25343^2 ] <= 0 e75646: [ 0.039821 x5995^2 + x20544^2 - x25344^2 ] <= 0 e75647: [ 0.039821 x5996^2 + x20545^2 - x25345^2 ] <= 0 e75648: [ 0.039821 x5997^2 + x20546^2 - x25346^2 ] <= 0 e75649: [ 0.039821 x5998^2 + x20547^2 - x25347^2 ] <= 0 e75650: [ 0.039821 x5999^2 + x20548^2 - x25348^2 ] <= 0 e75651: [ 0.039821 x6000^2 + x20549^2 - x25349^2 ] <= 0 e75652: [ 0.039821 x6001^2 + x20550^2 - x25350^2 ] <= 0 e75653: [ 0.016971 x6002^2 + x20551^2 - x25351^2 ] <= 0 e75654: [ 0.016971 x6003^2 + x20552^2 - x25352^2 ] <= 0 e75655: [ 0.016971 x6004^2 + x20553^2 - x25353^2 ] <= 0 e75656: [ 0.016971 x6005^2 + x20554^2 - x25354^2 ] <= 0 e75657: [ 0.016971 x6006^2 + x20555^2 - x25355^2 ] <= 0 e75658: [ 0.016971 x6007^2 + x20556^2 - x25356^2 ] <= 0 e75659: [ 0.016971 x6008^2 + x20557^2 - x25357^2 ] <= 0 e75660: [ 0.016971 x6009^2 + x20558^2 - x25358^2 ] <= 0 e75661: [ 0.016971 x6010^2 + x20559^2 - x25359^2 ] <= 0 e75662: [ 0.016971 x6011^2 + x20560^2 - x25360^2 ] <= 0 e75663: [ 0.016971 x6012^2 + x20561^2 - x25361^2 ] <= 0 e75664: [ 0.016971 x6013^2 + x20562^2 - x25362^2 ] <= 0 e75665: [ 0.016971 x6014^2 + x20563^2 - x25363^2 ] <= 0 e75666: [ 0.016971 x6015^2 + x20564^2 - x25364^2 ] <= 0 e75667: [ 0.016971 x6016^2 + x20565^2 - x25365^2 ] <= 0 e75668: [ 0.016971 x6017^2 + x20566^2 - x25366^2 ] <= 0 e75669: [ 0.016971 x6018^2 + x20567^2 - x25367^2 ] <= 0 e75670: [ 0.016971 x6019^2 + x20568^2 - x25368^2 ] <= 0 e75671: [ 0.016971 x6020^2 + x20569^2 - x25369^2 ] <= 0 e75672: [ 0.016971 x6021^2 + x20570^2 - x25370^2 ] <= 0 e75673: [ 0.016971 x6022^2 + x20571^2 - x25371^2 ] <= 0 e75674: [ 0.016971 x6023^2 + x20572^2 - x25372^2 ] <= 0 e75675: [ 0.016971 x6024^2 + x20573^2 - x25373^2 ] <= 0 e75676: [ 0.016971 x6025^2 + x20574^2 - x25374^2 ] <= 0 e75677: [ 0.053256 x6026^2 + x20575^2 - x25375^2 ] <= 0 e75678: [ 0.053256 x6027^2 + x20576^2 - x25376^2 ] <= 0 e75679: [ 0.053256 x6028^2 + x20577^2 - x25377^2 ] <= 0 e75680: [ 0.053256 x6029^2 + x20578^2 - x25378^2 ] <= 0 e75681: [ 0.053256 x6030^2 + x20579^2 - x25379^2 ] <= 0 e75682: [ 0.053256 x6031^2 + x20580^2 - x25380^2 ] <= 0 e75683: [ 0.053256 x6032^2 + x20581^2 - x25381^2 ] <= 0 e75684: [ 0.053256 x6033^2 + x20582^2 - x25382^2 ] <= 0 e75685: [ 0.053256 x6034^2 + x20583^2 - x25383^2 ] <= 0 e75686: [ 0.053256 x6035^2 + x20584^2 - x25384^2 ] <= 0 e75687: [ 0.053256 x6036^2 + x20585^2 - x25385^2 ] <= 0 e75688: [ 0.053256 x6037^2 + x20586^2 - x25386^2 ] <= 0 e75689: [ 0.053256 x6038^2 + x20587^2 - x25387^2 ] <= 0 e75690: [ 0.053256 x6039^2 + x20588^2 - x25388^2 ] <= 0 e75691: [ 0.053256 x6040^2 + x20589^2 - x25389^2 ] <= 0 e75692: [ 0.053256 x6041^2 + x20590^2 - x25390^2 ] <= 0 e75693: [ 0.053256 x6042^2 + x20591^2 - x25391^2 ] <= 0 e75694: [ 0.053256 x6043^2 + x20592^2 - x25392^2 ] <= 0 e75695: [ 0.053256 x6044^2 + x20593^2 - x25393^2 ] <= 0 e75696: [ 0.053256 x6045^2 + x20594^2 - x25394^2 ] <= 0 e75697: [ 0.053256 x6046^2 + x20595^2 - x25395^2 ] <= 0 e75698: [ 0.053256 x6047^2 + x20596^2 - x25396^2 ] <= 0 e75699: [ 0.053256 x6048^2 + x20597^2 - x25397^2 ] <= 0 e75700: [ 0.053256 x6049^2 + x20598^2 - x25398^2 ] <= 0 e75701: [ 0.06515 x6050^2 + x20599^2 - x25399^2 ] <= 0 e75702: [ 0.06515 x6051^2 + x20600^2 - x25400^2 ] <= 0 e75703: [ 0.06515 x6052^2 + x20601^2 - x25401^2 ] <= 0 e75704: [ 0.06515 x6053^2 + x20602^2 - x25402^2 ] <= 0 e75705: [ 0.06515 x6054^2 + x20603^2 - x25403^2 ] <= 0 e75706: [ 0.06515 x6055^2 + x20604^2 - x25404^2 ] <= 0 e75707: [ 0.06515 x6056^2 + x20605^2 - x25405^2 ] <= 0 e75708: [ 0.06515 x6057^2 + x20606^2 - x25406^2 ] <= 0 e75709: [ 0.06515 x6058^2 + x20607^2 - x25407^2 ] <= 0 e75710: [ 0.06515 x6059^2 + x20608^2 - x25408^2 ] <= 0 e75711: [ 0.06515 x6060^2 + x20609^2 - x25409^2 ] <= 0 e75712: [ 0.06515 x6061^2 + x20610^2 - x25410^2 ] <= 0 e75713: [ 0.06515 x6062^2 + x20611^2 - x25411^2 ] <= 0 e75714: [ 0.06515 x6063^2 + x20612^2 - x25412^2 ] <= 0 e75715: [ 0.06515 x6064^2 + x20613^2 - x25413^2 ] <= 0 e75716: [ 0.06515 x6065^2 + x20614^2 - x25414^2 ] <= 0 e75717: [ 0.06515 x6066^2 + x20615^2 - x25415^2 ] <= 0 e75718: [ 0.06515 x6067^2 + x20616^2 - x25416^2 ] <= 0 e75719: [ 0.06515 x6068^2 + x20617^2 - x25417^2 ] <= 0 e75720: [ 0.06515 x6069^2 + x20618^2 - x25418^2 ] <= 0 e75721: [ 0.06515 x6070^2 + x20619^2 - x25419^2 ] <= 0 e75722: [ 0.06515 x6071^2 + x20620^2 - x25420^2 ] <= 0 e75723: [ 0.06515 x6072^2 + x20621^2 - x25421^2 ] <= 0 e75724: [ 0.06515 x6073^2 + x20622^2 - x25422^2 ] <= 0 e75725: [ 0.092853 x6074^2 + x20623^2 - x25423^2 ] <= 0 e75726: [ 0.092853 x6075^2 + x20624^2 - x25424^2 ] <= 0 e75727: [ 0.092853 x6076^2 + x20625^2 - x25425^2 ] <= 0 e75728: [ 0.092853 x6077^2 + x20626^2 - x25426^2 ] <= 0 e75729: [ 0.092853 x6078^2 + x20627^2 - x25427^2 ] <= 0 e75730: [ 0.092853 x6079^2 + x20628^2 - x25428^2 ] <= 0 e75731: [ 0.092853 x6080^2 + x20629^2 - x25429^2 ] <= 0 e75732: [ 0.092853 x6081^2 + x20630^2 - x25430^2 ] <= 0 e75733: [ 0.092853 x6082^2 + x20631^2 - x25431^2 ] <= 0 e75734: [ 0.092853 x6083^2 + x20632^2 - x25432^2 ] <= 0 e75735: [ 0.092853 x6084^2 + x20633^2 - x25433^2 ] <= 0 e75736: [ 0.092853 x6085^2 + x20634^2 - x25434^2 ] <= 0 e75737: [ 0.092853 x6086^2 + x20635^2 - x25435^2 ] <= 0 e75738: [ 0.092853 x6087^2 + x20636^2 - x25436^2 ] <= 0 e75739: [ 0.092853 x6088^2 + x20637^2 - x25437^2 ] <= 0 e75740: [ 0.092853 x6089^2 + x20638^2 - x25438^2 ] <= 0 e75741: [ 0.092853 x6090^2 + x20639^2 - x25439^2 ] <= 0 e75742: [ 0.092853 x6091^2 + x20640^2 - x25440^2 ] <= 0 e75743: [ 0.092853 x6092^2 + x20641^2 - x25441^2 ] <= 0 e75744: [ 0.092853 x6093^2 + x20642^2 - x25442^2 ] <= 0 e75745: [ 0.092853 x6094^2 + x20643^2 - x25443^2 ] <= 0 e75746: [ 0.092853 x6095^2 + x20644^2 - x25444^2 ] <= 0 e75747: [ 0.092853 x6096^2 + x20645^2 - x25445^2 ] <= 0 e75748: [ 0.092853 x6097^2 + x20646^2 - x25446^2 ] <= 0 e75749: [ 0.06786 x6098^2 + x20647^2 - x25447^2 ] <= 0 e75750: [ 0.06786 x6099^2 + x20648^2 - x25448^2 ] <= 0 e75751: [ 0.06786 x6100^2 + x20649^2 - x25449^2 ] <= 0 e75752: [ 0.06786 x6101^2 + x20650^2 - x25450^2 ] <= 0 e75753: [ 0.06786 x6102^2 + x20651^2 - x25451^2 ] <= 0 e75754: [ 0.06786 x6103^2 + x20652^2 - x25452^2 ] <= 0 e75755: [ 0.06786 x6104^2 + x20653^2 - x25453^2 ] <= 0 e75756: [ 0.06786 x6105^2 + x20654^2 - x25454^2 ] <= 0 e75757: [ 0.06786 x6106^2 + x20655^2 - x25455^2 ] <= 0 e75758: [ 0.06786 x6107^2 + x20656^2 - x25456^2 ] <= 0 e75759: [ 0.06786 x6108^2 + x20657^2 - x25457^2 ] <= 0 e75760: [ 0.06786 x6109^2 + x20658^2 - x25458^2 ] <= 0 e75761: [ 0.06786 x6110^2 + x20659^2 - x25459^2 ] <= 0 e75762: [ 0.06786 x6111^2 + x20660^2 - x25460^2 ] <= 0 e75763: [ 0.06786 x6112^2 + x20661^2 - x25461^2 ] <= 0 e75764: [ 0.06786 x6113^2 + x20662^2 - x25462^2 ] <= 0 e75765: [ 0.06786 x6114^2 + x20663^2 - x25463^2 ] <= 0 e75766: [ 0.06786 x6115^2 + x20664^2 - x25464^2 ] <= 0 e75767: [ 0.06786 x6116^2 + x20665^2 - x25465^2 ] <= 0 e75768: [ 0.06786 x6117^2 + x20666^2 - x25466^2 ] <= 0 e75769: [ 0.06786 x6118^2 + x20667^2 - x25467^2 ] <= 0 e75770: [ 0.06786 x6119^2 + x20668^2 - x25468^2 ] <= 0 e75771: [ 0.06786 x6120^2 + x20669^2 - x25469^2 ] <= 0 e75772: [ 0.06786 x6121^2 + x20670^2 - x25470^2 ] <= 0 e75773: [ 0.080027 x6122^2 + x20671^2 - x25471^2 ] <= 0 e75774: [ 0.080027 x6123^2 + x20672^2 - x25472^2 ] <= 0 e75775: [ 0.080027 x6124^2 + x20673^2 - x25473^2 ] <= 0 e75776: [ 0.080027 x6125^2 + x20674^2 - x25474^2 ] <= 0 e75777: [ 0.080027 x6126^2 + x20675^2 - x25475^2 ] <= 0 e75778: [ 0.080027 x6127^2 + x20676^2 - x25476^2 ] <= 0 e75779: [ 0.080027 x6128^2 + x20677^2 - x25477^2 ] <= 0 e75780: [ 0.080027 x6129^2 + x20678^2 - x25478^2 ] <= 0 e75781: [ 0.080027 x6130^2 + x20679^2 - x25479^2 ] <= 0 e75782: [ 0.080027 x6131^2 + x20680^2 - x25480^2 ] <= 0 e75783: [ 0.080027 x6132^2 + x20681^2 - x25481^2 ] <= 0 e75784: [ 0.080027 x6133^2 + x20682^2 - x25482^2 ] <= 0 e75785: [ 0.080027 x6134^2 + x20683^2 - x25483^2 ] <= 0 e75786: [ 0.080027 x6135^2 + x20684^2 - x25484^2 ] <= 0 e75787: [ 0.080027 x6136^2 + x20685^2 - x25485^2 ] <= 0 e75788: [ 0.080027 x6137^2 + x20686^2 - x25486^2 ] <= 0 e75789: [ 0.080027 x6138^2 + x20687^2 - x25487^2 ] <= 0 e75790: [ 0.080027 x6139^2 + x20688^2 - x25488^2 ] <= 0 e75791: [ 0.080027 x6140^2 + x20689^2 - x25489^2 ] <= 0 e75792: [ 0.080027 x6141^2 + x20690^2 - x25490^2 ] <= 0 e75793: [ 0.080027 x6142^2 + x20691^2 - x25491^2 ] <= 0 e75794: [ 0.080027 x6143^2 + x20692^2 - x25492^2 ] <= 0 e75795: [ 0.080027 x6144^2 + x20693^2 - x25493^2 ] <= 0 e75796: [ 0.080027 x6145^2 + x20694^2 - x25494^2 ] <= 0 e75797: [ 0.080783 x6146^2 + x20695^2 - x25495^2 ] <= 0 e75798: [ 0.080783 x6147^2 + x20696^2 - x25496^2 ] <= 0 e75799: [ 0.080783 x6148^2 + x20697^2 - x25497^2 ] <= 0 e75800: [ 0.080783 x6149^2 + x20698^2 - x25498^2 ] <= 0 e75801: [ 0.080783 x6150^2 + x20699^2 - x25499^2 ] <= 0 e75802: [ 0.080783 x6151^2 + x20700^2 - x25500^2 ] <= 0 e75803: [ 0.080783 x6152^2 + x20701^2 - x25501^2 ] <= 0 e75804: [ 0.080783 x6153^2 + x20702^2 - x25502^2 ] <= 0 e75805: [ 0.080783 x6154^2 + x20703^2 - x25503^2 ] <= 0 e75806: [ 0.080783 x6155^2 + x20704^2 - x25504^2 ] <= 0 e75807: [ 0.080783 x6156^2 + x20705^2 - x25505^2 ] <= 0 e75808: [ 0.080783 x6157^2 + x20706^2 - x25506^2 ] <= 0 e75809: [ 0.080783 x6158^2 + x20707^2 - x25507^2 ] <= 0 e75810: [ 0.080783 x6159^2 + x20708^2 - x25508^2 ] <= 0 e75811: [ 0.080783 x6160^2 + x20709^2 - x25509^2 ] <= 0 e75812: [ 0.080783 x6161^2 + x20710^2 - x25510^2 ] <= 0 e75813: [ 0.080783 x6162^2 + x20711^2 - x25511^2 ] <= 0 e75814: [ 0.080783 x6163^2 + x20712^2 - x25512^2 ] <= 0 e75815: [ 0.080783 x6164^2 + x20713^2 - x25513^2 ] <= 0 e75816: [ 0.080783 x6165^2 + x20714^2 - x25514^2 ] <= 0 e75817: [ 0.080783 x6166^2 + x20715^2 - x25515^2 ] <= 0 e75818: [ 0.080783 x6167^2 + x20716^2 - x25516^2 ] <= 0 e75819: [ 0.080783 x6168^2 + x20717^2 - x25517^2 ] <= 0 e75820: [ 0.080783 x6169^2 + x20718^2 - x25518^2 ] <= 0 e75821: [ 0.080079 x6170^2 + x20719^2 - x25519^2 ] <= 0 e75822: [ 0.080079 x6171^2 + x20720^2 - x25520^2 ] <= 0 e75823: [ 0.080079 x6172^2 + x20721^2 - x25521^2 ] <= 0 e75824: [ 0.080079 x6173^2 + x20722^2 - x25522^2 ] <= 0 e75825: [ 0.080079 x6174^2 + x20723^2 - x25523^2 ] <= 0 e75826: [ 0.080079 x6175^2 + x20724^2 - x25524^2 ] <= 0 e75827: [ 0.080079 x6176^2 + x20725^2 - x25525^2 ] <= 0 e75828: [ 0.080079 x6177^2 + x20726^2 - x25526^2 ] <= 0 e75829: [ 0.080079 x6178^2 + x20727^2 - x25527^2 ] <= 0 e75830: [ 0.080079 x6179^2 + x20728^2 - x25528^2 ] <= 0 e75831: [ 0.080079 x6180^2 + x20729^2 - x25529^2 ] <= 0 e75832: [ 0.080079 x6181^2 + x20730^2 - x25530^2 ] <= 0 e75833: [ 0.080079 x6182^2 + x20731^2 - x25531^2 ] <= 0 e75834: [ 0.080079 x6183^2 + x20732^2 - x25532^2 ] <= 0 e75835: [ 0.080079 x6184^2 + x20733^2 - x25533^2 ] <= 0 e75836: [ 0.080079 x6185^2 + x20734^2 - x25534^2 ] <= 0 e75837: [ 0.080079 x6186^2 + x20735^2 - x25535^2 ] <= 0 e75838: [ 0.080079 x6187^2 + x20736^2 - x25536^2 ] <= 0 e75839: [ 0.080079 x6188^2 + x20737^2 - x25537^2 ] <= 0 e75840: [ 0.080079 x6189^2 + x20738^2 - x25538^2 ] <= 0 e75841: [ 0.080079 x6190^2 + x20739^2 - x25539^2 ] <= 0 e75842: [ 0.080079 x6191^2 + x20740^2 - x25540^2 ] <= 0 e75843: [ 0.080079 x6192^2 + x20741^2 - x25541^2 ] <= 0 e75844: [ 0.080079 x6193^2 + x20742^2 - x25542^2 ] <= 0 e75845: [ 0.043043 x6194^2 + x20743^2 - x25543^2 ] <= 0 e75846: [ 0.043043 x6195^2 + x20744^2 - x25544^2 ] <= 0 e75847: [ 0.043043 x6196^2 + x20745^2 - x25545^2 ] <= 0 e75848: [ 0.043043 x6197^2 + x20746^2 - x25546^2 ] <= 0 e75849: [ 0.043043 x6198^2 + x20747^2 - x25547^2 ] <= 0 e75850: [ 0.043043 x6199^2 + x20748^2 - x25548^2 ] <= 0 e75851: [ 0.043043 x6200^2 + x20749^2 - x25549^2 ] <= 0 e75852: [ 0.043043 x6201^2 + x20750^2 - x25550^2 ] <= 0 e75853: [ 0.043043 x6202^2 + x20751^2 - x25551^2 ] <= 0 e75854: [ 0.043043 x6203^2 + x20752^2 - x25552^2 ] <= 0 e75855: [ 0.043043 x6204^2 + x20753^2 - x25553^2 ] <= 0 e75856: [ 0.043043 x6205^2 + x20754^2 - x25554^2 ] <= 0 e75857: [ 0.043043 x6206^2 + x20755^2 - x25555^2 ] <= 0 e75858: [ 0.043043 x6207^2 + x20756^2 - x25556^2 ] <= 0 e75859: [ 0.043043 x6208^2 + x20757^2 - x25557^2 ] <= 0 e75860: [ 0.043043 x6209^2 + x20758^2 - x25558^2 ] <= 0 e75861: [ 0.043043 x6210^2 + x20759^2 - x25559^2 ] <= 0 e75862: [ 0.043043 x6211^2 + x20760^2 - x25560^2 ] <= 0 e75863: [ 0.043043 x6212^2 + x20761^2 - x25561^2 ] <= 0 e75864: [ 0.043043 x6213^2 + x20762^2 - x25562^2 ] <= 0 e75865: [ 0.043043 x6214^2 + x20763^2 - x25563^2 ] <= 0 e75866: [ 0.043043 x6215^2 + x20764^2 - x25564^2 ] <= 0 e75867: [ 0.043043 x6216^2 + x20765^2 - x25565^2 ] <= 0 e75868: [ 0.043043 x6217^2 + x20766^2 - x25566^2 ] <= 0 e75869: [ 0.057035 x6218^2 + x20767^2 - x25567^2 ] <= 0 e75870: [ 0.057035 x6219^2 + x20768^2 - x25568^2 ] <= 0 e75871: [ 0.057035 x6220^2 + x20769^2 - x25569^2 ] <= 0 e75872: [ 0.057035 x6221^2 + x20770^2 - x25570^2 ] <= 0 e75873: [ 0.057035 x6222^2 + x20771^2 - x25571^2 ] <= 0 e75874: [ 0.057035 x6223^2 + x20772^2 - x25572^2 ] <= 0 e75875: [ 0.057035 x6224^2 + x20773^2 - x25573^2 ] <= 0 e75876: [ 0.057035 x6225^2 + x20774^2 - x25574^2 ] <= 0 e75877: [ 0.057035 x6226^2 + x20775^2 - x25575^2 ] <= 0 e75878: [ 0.057035 x6227^2 + x20776^2 - x25576^2 ] <= 0 e75879: [ 0.057035 x6228^2 + x20777^2 - x25577^2 ] <= 0 e75880: [ 0.057035 x6229^2 + x20778^2 - x25578^2 ] <= 0 e75881: [ 0.057035 x6230^2 + x20779^2 - x25579^2 ] <= 0 e75882: [ 0.057035 x6231^2 + x20780^2 - x25580^2 ] <= 0 e75883: [ 0.057035 x6232^2 + x20781^2 - x25581^2 ] <= 0 e75884: [ 0.057035 x6233^2 + x20782^2 - x25582^2 ] <= 0 e75885: [ 0.057035 x6234^2 + x20783^2 - x25583^2 ] <= 0 e75886: [ 0.057035 x6235^2 + x20784^2 - x25584^2 ] <= 0 e75887: [ 0.057035 x6236^2 + x20785^2 - x25585^2 ] <= 0 e75888: [ 0.057035 x6237^2 + x20786^2 - x25586^2 ] <= 0 e75889: [ 0.057035 x6238^2 + x20787^2 - x25587^2 ] <= 0 e75890: [ 0.057035 x6239^2 + x20788^2 - x25588^2 ] <= 0 e75891: [ 0.057035 x6240^2 + x20789^2 - x25589^2 ] <= 0 e75892: [ 0.057035 x6241^2 + x20790^2 - x25590^2 ] <= 0 e75893: [ 0.080384 x6242^2 + x20791^2 - x25591^2 ] <= 0 e75894: [ 0.080384 x6243^2 + x20792^2 - x25592^2 ] <= 0 e75895: [ 0.080384 x6244^2 + x20793^2 - x25593^2 ] <= 0 e75896: [ 0.080384 x6245^2 + x20794^2 - x25594^2 ] <= 0 e75897: [ 0.080384 x6246^2 + x20795^2 - x25595^2 ] <= 0 e75898: [ 0.080384 x6247^2 + x20796^2 - x25596^2 ] <= 0 e75899: [ 0.080384 x6248^2 + x20797^2 - x25597^2 ] <= 0 e75900: [ 0.080384 x6249^2 + x20798^2 - x25598^2 ] <= 0 e75901: [ 0.080384 x6250^2 + x20799^2 - x25599^2 ] <= 0 e75902: [ 0.080384 x6251^2 + x20800^2 - x25600^2 ] <= 0 e75903: [ 0.080384 x6252^2 + x20801^2 - x25601^2 ] <= 0 e75904: [ 0.080384 x6253^2 + x20802^2 - x25602^2 ] <= 0 e75905: [ 0.080384 x6254^2 + x20803^2 - x25603^2 ] <= 0 e75906: [ 0.080384 x6255^2 + x20804^2 - x25604^2 ] <= 0 e75907: [ 0.080384 x6256^2 + x20805^2 - x25605^2 ] <= 0 e75908: [ 0.080384 x6257^2 + x20806^2 - x25606^2 ] <= 0 e75909: [ 0.080384 x6258^2 + x20807^2 - x25607^2 ] <= 0 e75910: [ 0.080384 x6259^2 + x20808^2 - x25608^2 ] <= 0 e75911: [ 0.080384 x6260^2 + x20809^2 - x25609^2 ] <= 0 e75912: [ 0.080384 x6261^2 + x20810^2 - x25610^2 ] <= 0 e75913: [ 0.080384 x6262^2 + x20811^2 - x25611^2 ] <= 0 e75914: [ 0.080384 x6263^2 + x20812^2 - x25612^2 ] <= 0 e75915: [ 0.080384 x6264^2 + x20813^2 - x25613^2 ] <= 0 e75916: [ 0.080384 x6265^2 + x20814^2 - x25614^2 ] <= 0 e75917: [ 0.014254 x6266^2 + x20815^2 - x25615^2 ] <= 0 e75918: [ 0.014254 x6267^2 + x20816^2 - x25616^2 ] <= 0 e75919: [ 0.014254 x6268^2 + x20817^2 - x25617^2 ] <= 0 e75920: [ 0.014254 x6269^2 + x20818^2 - x25618^2 ] <= 0 e75921: [ 0.014254 x6270^2 + x20819^2 - x25619^2 ] <= 0 e75922: [ 0.014254 x6271^2 + x20820^2 - x25620^2 ] <= 0 e75923: [ 0.014254 x6272^2 + x20821^2 - x25621^2 ] <= 0 e75924: [ 0.014254 x6273^2 + x20822^2 - x25622^2 ] <= 0 e75925: [ 0.014254 x6274^2 + x20823^2 - x25623^2 ] <= 0 e75926: [ 0.014254 x6275^2 + x20824^2 - x25624^2 ] <= 0 e75927: [ 0.014254 x6276^2 + x20825^2 - x25625^2 ] <= 0 e75928: [ 0.014254 x6277^2 + x20826^2 - x25626^2 ] <= 0 e75929: [ 0.014254 x6278^2 + x20827^2 - x25627^2 ] <= 0 e75930: [ 0.014254 x6279^2 + x20828^2 - x25628^2 ] <= 0 e75931: [ 0.014254 x6280^2 + x20829^2 - x25629^2 ] <= 0 e75932: [ 0.014254 x6281^2 + x20830^2 - x25630^2 ] <= 0 e75933: [ 0.014254 x6282^2 + x20831^2 - x25631^2 ] <= 0 e75934: [ 0.014254 x6283^2 + x20832^2 - x25632^2 ] <= 0 e75935: [ 0.014254 x6284^2 + x20833^2 - x25633^2 ] <= 0 e75936: [ 0.014254 x6285^2 + x20834^2 - x25634^2 ] <= 0 e75937: [ 0.014254 x6286^2 + x20835^2 - x25635^2 ] <= 0 e75938: [ 0.014254 x6287^2 + x20836^2 - x25636^2 ] <= 0 e75939: [ 0.014254 x6288^2 + x20837^2 - x25637^2 ] <= 0 e75940: [ 0.014254 x6289^2 + x20838^2 - x25638^2 ] <= 0 e75941: [ 0.019502 x6290^2 + x20839^2 - x25639^2 ] <= 0 e75942: [ 0.019502 x6291^2 + x20840^2 - x25640^2 ] <= 0 e75943: [ 0.019502 x6292^2 + x20841^2 - x25641^2 ] <= 0 e75944: [ 0.019502 x6293^2 + x20842^2 - x25642^2 ] <= 0 e75945: [ 0.019502 x6294^2 + x20843^2 - x25643^2 ] <= 0 e75946: [ 0.019502 x6295^2 + x20844^2 - x25644^2 ] <= 0 e75947: [ 0.019502 x6296^2 + x20845^2 - x25645^2 ] <= 0 e75948: [ 0.019502 x6297^2 + x20846^2 - x25646^2 ] <= 0 e75949: [ 0.019502 x6298^2 + x20847^2 - x25647^2 ] <= 0 e75950: [ 0.019502 x6299^2 + x20848^2 - x25648^2 ] <= 0 e75951: [ 0.019502 x6300^2 + x20849^2 - x25649^2 ] <= 0 e75952: [ 0.019502 x6301^2 + x20850^2 - x25650^2 ] <= 0 e75953: [ 0.019502 x6302^2 + x20851^2 - x25651^2 ] <= 0 e75954: [ 0.019502 x6303^2 + x20852^2 - x25652^2 ] <= 0 e75955: [ 0.019502 x6304^2 + x20853^2 - x25653^2 ] <= 0 e75956: [ 0.019502 x6305^2 + x20854^2 - x25654^2 ] <= 0 e75957: [ 0.019502 x6306^2 + x20855^2 - x25655^2 ] <= 0 e75958: [ 0.019502 x6307^2 + x20856^2 - x25656^2 ] <= 0 e75959: [ 0.019502 x6308^2 + x20857^2 - x25657^2 ] <= 0 e75960: [ 0.019502 x6309^2 + x20858^2 - x25658^2 ] <= 0 e75961: [ 0.019502 x6310^2 + x20859^2 - x25659^2 ] <= 0 e75962: [ 0.019502 x6311^2 + x20860^2 - x25660^2 ] <= 0 e75963: [ 0.019502 x6312^2 + x20861^2 - x25661^2 ] <= 0 e75964: [ 0.019502 x6313^2 + x20862^2 - x25662^2 ] <= 0 e75965: [ 0.092407 x6314^2 + x20863^2 - x25663^2 ] <= 0 e75966: [ 0.092407 x6315^2 + x20864^2 - x25664^2 ] <= 0 e75967: [ 0.092407 x6316^2 + x20865^2 - x25665^2 ] <= 0 e75968: [ 0.092407 x6317^2 + x20866^2 - x25666^2 ] <= 0 e75969: [ 0.092407 x6318^2 + x20867^2 - x25667^2 ] <= 0 e75970: [ 0.092407 x6319^2 + x20868^2 - x25668^2 ] <= 0 e75971: [ 0.092407 x6320^2 + x20869^2 - x25669^2 ] <= 0 e75972: [ 0.092407 x6321^2 + x20870^2 - x25670^2 ] <= 0 e75973: [ 0.092407 x6322^2 + x20871^2 - x25671^2 ] <= 0 e75974: [ 0.092407 x6323^2 + x20872^2 - x25672^2 ] <= 0 e75975: [ 0.092407 x6324^2 + x20873^2 - x25673^2 ] <= 0 e75976: [ 0.092407 x6325^2 + x20874^2 - x25674^2 ] <= 0 e75977: [ 0.092407 x6326^2 + x20875^2 - x25675^2 ] <= 0 e75978: [ 0.092407 x6327^2 + x20876^2 - x25676^2 ] <= 0 e75979: [ 0.092407 x6328^2 + x20877^2 - x25677^2 ] <= 0 e75980: [ 0.092407 x6329^2 + x20878^2 - x25678^2 ] <= 0 e75981: [ 0.092407 x6330^2 + x20879^2 - x25679^2 ] <= 0 e75982: [ 0.092407 x6331^2 + x20880^2 - x25680^2 ] <= 0 e75983: [ 0.092407 x6332^2 + x20881^2 - x25681^2 ] <= 0 e75984: [ 0.092407 x6333^2 + x20882^2 - x25682^2 ] <= 0 e75985: [ 0.092407 x6334^2 + x20883^2 - x25683^2 ] <= 0 e75986: [ 0.092407 x6335^2 + x20884^2 - x25684^2 ] <= 0 e75987: [ 0.092407 x6336^2 + x20885^2 - x25685^2 ] <= 0 e75988: [ 0.092407 x6337^2 + x20886^2 - x25686^2 ] <= 0 e75989: [ 0.028749 x6338^2 + x20887^2 - x25687^2 ] <= 0 e75990: [ 0.028749 x6339^2 + x20888^2 - x25688^2 ] <= 0 e75991: [ 0.028749 x6340^2 + x20889^2 - x25689^2 ] <= 0 e75992: [ 0.028749 x6341^2 + x20890^2 - x25690^2 ] <= 0 e75993: [ 0.028749 x6342^2 + x20891^2 - x25691^2 ] <= 0 e75994: [ 0.028749 x6343^2 + x20892^2 - x25692^2 ] <= 0 e75995: [ 0.028749 x6344^2 + x20893^2 - x25693^2 ] <= 0 e75996: [ 0.028749 x6345^2 + x20894^2 - x25694^2 ] <= 0 e75997: [ 0.028749 x6346^2 + x20895^2 - x25695^2 ] <= 0 e75998: [ 0.028749 x6347^2 + x20896^2 - x25696^2 ] <= 0 e75999: [ 0.028749 x6348^2 + x20897^2 - x25697^2 ] <= 0 e76000: [ 0.028749 x6349^2 + x20898^2 - x25698^2 ] <= 0 e76001: [ 0.028749 x6350^2 + x20899^2 - x25699^2 ] <= 0 e76002: [ 0.028749 x6351^2 + x20900^2 - x25700^2 ] <= 0 e76003: [ 0.028749 x6352^2 + x20901^2 - x25701^2 ] <= 0 e76004: [ 0.028749 x6353^2 + x20902^2 - x25702^2 ] <= 0 e76005: [ 0.028749 x6354^2 + x20903^2 - x25703^2 ] <= 0 e76006: [ 0.028749 x6355^2 + x20904^2 - x25704^2 ] <= 0 e76007: [ 0.028749 x6356^2 + x20905^2 - x25705^2 ] <= 0 e76008: [ 0.028749 x6357^2 + x20906^2 - x25706^2 ] <= 0 e76009: [ 0.028749 x6358^2 + x20907^2 - x25707^2 ] <= 0 e76010: [ 0.028749 x6359^2 + x20908^2 - x25708^2 ] <= 0 e76011: [ 0.028749 x6360^2 + x20909^2 - x25709^2 ] <= 0 e76012: [ 0.028749 x6361^2 + x20910^2 - x25710^2 ] <= 0 e76013: [ 0.009771 x6362^2 + x20911^2 - x25711^2 ] <= 0 e76014: [ 0.009771 x6363^2 + x20912^2 - x25712^2 ] <= 0 e76015: [ 0.009771 x6364^2 + x20913^2 - x25713^2 ] <= 0 e76016: [ 0.009771 x6365^2 + x20914^2 - x25714^2 ] <= 0 e76017: [ 0.009771 x6366^2 + x20915^2 - x25715^2 ] <= 0 e76018: [ 0.009771 x6367^2 + x20916^2 - x25716^2 ] <= 0 e76019: [ 0.009771 x6368^2 + x20917^2 - x25717^2 ] <= 0 e76020: [ 0.009771 x6369^2 + x20918^2 - x25718^2 ] <= 0 e76021: [ 0.009771 x6370^2 + x20919^2 - x25719^2 ] <= 0 e76022: [ 0.009771 x6371^2 + x20920^2 - x25720^2 ] <= 0 e76023: [ 0.009771 x6372^2 + x20921^2 - x25721^2 ] <= 0 e76024: [ 0.009771 x6373^2 + x20922^2 - x25722^2 ] <= 0 e76025: [ 0.009771 x6374^2 + x20923^2 - x25723^2 ] <= 0 e76026: [ 0.009771 x6375^2 + x20924^2 - x25724^2 ] <= 0 e76027: [ 0.009771 x6376^2 + x20925^2 - x25725^2 ] <= 0 e76028: [ 0.009771 x6377^2 + x20926^2 - x25726^2 ] <= 0 e76029: [ 0.009771 x6378^2 + x20927^2 - x25727^2 ] <= 0 e76030: [ 0.009771 x6379^2 + x20928^2 - x25728^2 ] <= 0 e76031: [ 0.009771 x6380^2 + x20929^2 - x25729^2 ] <= 0 e76032: [ 0.009771 x6381^2 + x20930^2 - x25730^2 ] <= 0 e76033: [ 0.009771 x6382^2 + x20931^2 - x25731^2 ] <= 0 e76034: [ 0.009771 x6383^2 + x20932^2 - x25732^2 ] <= 0 e76035: [ 0.009771 x6384^2 + x20933^2 - x25733^2 ] <= 0 e76036: [ 0.009771 x6385^2 + x20934^2 - x25734^2 ] <= 0 e76037: [ 0.042511 x6386^2 + x20935^2 - x25735^2 ] <= 0 e76038: [ 0.042511 x6387^2 + x20936^2 - x25736^2 ] <= 0 e76039: [ 0.042511 x6388^2 + x20937^2 - x25737^2 ] <= 0 e76040: [ 0.042511 x6389^2 + x20938^2 - x25738^2 ] <= 0 e76041: [ 0.042511 x6390^2 + x20939^2 - x25739^2 ] <= 0 e76042: [ 0.042511 x6391^2 + x20940^2 - x25740^2 ] <= 0 e76043: [ 0.042511 x6392^2 + x20941^2 - x25741^2 ] <= 0 e76044: [ 0.042511 x6393^2 + x20942^2 - x25742^2 ] <= 0 e76045: [ 0.042511 x6394^2 + x20943^2 - x25743^2 ] <= 0 e76046: [ 0.042511 x6395^2 + x20944^2 - x25744^2 ] <= 0 e76047: [ 0.042511 x6396^2 + x20945^2 - x25745^2 ] <= 0 e76048: [ 0.042511 x6397^2 + x20946^2 - x25746^2 ] <= 0 e76049: [ 0.042511 x6398^2 + x20947^2 - x25747^2 ] <= 0 e76050: [ 0.042511 x6399^2 + x20948^2 - x25748^2 ] <= 0 e76051: [ 0.042511 x6400^2 + x20949^2 - x25749^2 ] <= 0 e76052: [ 0.042511 x6401^2 + x20950^2 - x25750^2 ] <= 0 e76053: [ 0.042511 x6402^2 + x20951^2 - x25751^2 ] <= 0 e76054: [ 0.042511 x6403^2 + x20952^2 - x25752^2 ] <= 0 e76055: [ 0.042511 x6404^2 + x20953^2 - x25753^2 ] <= 0 e76056: [ 0.042511 x6405^2 + x20954^2 - x25754^2 ] <= 0 e76057: [ 0.042511 x6406^2 + x20955^2 - x25755^2 ] <= 0 e76058: [ 0.042511 x6407^2 + x20956^2 - x25756^2 ] <= 0 e76059: [ 0.042511 x6408^2 + x20957^2 - x25757^2 ] <= 0 e76060: [ 0.042511 x6409^2 + x20958^2 - x25758^2 ] <= 0 e76061: [ 0.045876 x6410^2 + x20959^2 - x25759^2 ] <= 0 e76062: [ 0.045876 x6411^2 + x20960^2 - x25760^2 ] <= 0 e76063: [ 0.045876 x6412^2 + x20961^2 - x25761^2 ] <= 0 e76064: [ 0.045876 x6413^2 + x20962^2 - x25762^2 ] <= 0 e76065: [ 0.045876 x6414^2 + x20963^2 - x25763^2 ] <= 0 e76066: [ 0.045876 x6415^2 + x20964^2 - x25764^2 ] <= 0 e76067: [ 0.045876 x6416^2 + x20965^2 - x25765^2 ] <= 0 e76068: [ 0.045876 x6417^2 + x20966^2 - x25766^2 ] <= 0 e76069: [ 0.045876 x6418^2 + x20967^2 - x25767^2 ] <= 0 e76070: [ 0.045876 x6419^2 + x20968^2 - x25768^2 ] <= 0 e76071: [ 0.045876 x6420^2 + x20969^2 - x25769^2 ] <= 0 e76072: [ 0.045876 x6421^2 + x20970^2 - x25770^2 ] <= 0 e76073: [ 0.045876 x6422^2 + x20971^2 - x25771^2 ] <= 0 e76074: [ 0.045876 x6423^2 + x20972^2 - x25772^2 ] <= 0 e76075: [ 0.045876 x6424^2 + x20973^2 - x25773^2 ] <= 0 e76076: [ 0.045876 x6425^2 + x20974^2 - x25774^2 ] <= 0 e76077: [ 0.045876 x6426^2 + x20975^2 - x25775^2 ] <= 0 e76078: [ 0.045876 x6427^2 + x20976^2 - x25776^2 ] <= 0 e76079: [ 0.045876 x6428^2 + x20977^2 - x25777^2 ] <= 0 e76080: [ 0.045876 x6429^2 + x20978^2 - x25778^2 ] <= 0 e76081: [ 0.045876 x6430^2 + x20979^2 - x25779^2 ] <= 0 e76082: [ 0.045876 x6431^2 + x20980^2 - x25780^2 ] <= 0 e76083: [ 0.045876 x6432^2 + x20981^2 - x25781^2 ] <= 0 e76084: [ 0.045876 x6433^2 + x20982^2 - x25782^2 ] <= 0 e76085: [ 0.081303 x6434^2 + x20983^2 - x25783^2 ] <= 0 e76086: [ 0.081303 x6435^2 + x20984^2 - x25784^2 ] <= 0 e76087: [ 0.081303 x6436^2 + x20985^2 - x25785^2 ] <= 0 e76088: [ 0.081303 x6437^2 + x20986^2 - x25786^2 ] <= 0 e76089: [ 0.081303 x6438^2 + x20987^2 - x25787^2 ] <= 0 e76090: [ 0.081303 x6439^2 + x20988^2 - x25788^2 ] <= 0 e76091: [ 0.081303 x6440^2 + x20989^2 - x25789^2 ] <= 0 e76092: [ 0.081303 x6441^2 + x20990^2 - x25790^2 ] <= 0 e76093: [ 0.081303 x6442^2 + x20991^2 - x25791^2 ] <= 0 e76094: [ 0.081303 x6443^2 + x20992^2 - x25792^2 ] <= 0 e76095: [ 0.081303 x6444^2 + x20993^2 - x25793^2 ] <= 0 e76096: [ 0.081303 x6445^2 + x20994^2 - x25794^2 ] <= 0 e76097: [ 0.081303 x6446^2 + x20995^2 - x25795^2 ] <= 0 e76098: [ 0.081303 x6447^2 + x20996^2 - x25796^2 ] <= 0 e76099: [ 0.081303 x6448^2 + x20997^2 - x25797^2 ] <= 0 e76100: [ 0.081303 x6449^2 + x20998^2 - x25798^2 ] <= 0 e76101: [ 0.081303 x6450^2 + x20999^2 - x25799^2 ] <= 0 e76102: [ 0.081303 x6451^2 + x21000^2 - x25800^2 ] <= 0 e76103: [ 0.081303 x6452^2 + x21001^2 - x25801^2 ] <= 0 e76104: [ 0.081303 x6453^2 + x21002^2 - x25802^2 ] <= 0 e76105: [ 0.081303 x6454^2 + x21003^2 - x25803^2 ] <= 0 e76106: [ 0.081303 x6455^2 + x21004^2 - x25804^2 ] <= 0 e76107: [ 0.081303 x6456^2 + x21005^2 - x25805^2 ] <= 0 e76108: [ 0.081303 x6457^2 + x21006^2 - x25806^2 ] <= 0 e76109: [ 0.017073 x6458^2 + x21007^2 - x25807^2 ] <= 0 e76110: [ 0.017073 x6459^2 + x21008^2 - x25808^2 ] <= 0 e76111: [ 0.017073 x6460^2 + x21009^2 - x25809^2 ] <= 0 e76112: [ 0.017073 x6461^2 + x21010^2 - x25810^2 ] <= 0 e76113: [ 0.017073 x6462^2 + x21011^2 - x25811^2 ] <= 0 e76114: [ 0.017073 x6463^2 + x21012^2 - x25812^2 ] <= 0 e76115: [ 0.017073 x6464^2 + x21013^2 - x25813^2 ] <= 0 e76116: [ 0.017073 x6465^2 + x21014^2 - x25814^2 ] <= 0 e76117: [ 0.017073 x6466^2 + x21015^2 - x25815^2 ] <= 0 e76118: [ 0.017073 x6467^2 + x21016^2 - x25816^2 ] <= 0 e76119: [ 0.017073 x6468^2 + x21017^2 - x25817^2 ] <= 0 e76120: [ 0.017073 x6469^2 + x21018^2 - x25818^2 ] <= 0 e76121: [ 0.017073 x6470^2 + x21019^2 - x25819^2 ] <= 0 e76122: [ 0.017073 x6471^2 + x21020^2 - x25820^2 ] <= 0 e76123: [ 0.017073 x6472^2 + x21021^2 - x25821^2 ] <= 0 e76124: [ 0.017073 x6473^2 + x21022^2 - x25822^2 ] <= 0 e76125: [ 0.017073 x6474^2 + x21023^2 - x25823^2 ] <= 0 e76126: [ 0.017073 x6475^2 + x21024^2 - x25824^2 ] <= 0 e76127: [ 0.017073 x6476^2 + x21025^2 - x25825^2 ] <= 0 e76128: [ 0.017073 x6477^2 + x21026^2 - x25826^2 ] <= 0 e76129: [ 0.017073 x6478^2 + x21027^2 - x25827^2 ] <= 0 e76130: [ 0.017073 x6479^2 + x21028^2 - x25828^2 ] <= 0 e76131: [ 0.017073 x6480^2 + x21029^2 - x25829^2 ] <= 0 e76132: [ 0.017073 x6481^2 + x21030^2 - x25830^2 ] <= 0 e76133: [ 0.096655 x6482^2 + x21031^2 - x25831^2 ] <= 0 e76134: [ 0.096655 x6483^2 + x21032^2 - x25832^2 ] <= 0 e76135: [ 0.096655 x6484^2 + x21033^2 - x25833^2 ] <= 0 e76136: [ 0.096655 x6485^2 + x21034^2 - x25834^2 ] <= 0 e76137: [ 0.096655 x6486^2 + x21035^2 - x25835^2 ] <= 0 e76138: [ 0.096655 x6487^2 + x21036^2 - x25836^2 ] <= 0 e76139: [ 0.096655 x6488^2 + x21037^2 - x25837^2 ] <= 0 e76140: [ 0.096655 x6489^2 + x21038^2 - x25838^2 ] <= 0 e76141: [ 0.096655 x6490^2 + x21039^2 - x25839^2 ] <= 0 e76142: [ 0.096655 x6491^2 + x21040^2 - x25840^2 ] <= 0 e76143: [ 0.096655 x6492^2 + x21041^2 - x25841^2 ] <= 0 e76144: [ 0.096655 x6493^2 + x21042^2 - x25842^2 ] <= 0 e76145: [ 0.096655 x6494^2 + x21043^2 - x25843^2 ] <= 0 e76146: [ 0.096655 x6495^2 + x21044^2 - x25844^2 ] <= 0 e76147: [ 0.096655 x6496^2 + x21045^2 - x25845^2 ] <= 0 e76148: [ 0.096655 x6497^2 + x21046^2 - x25846^2 ] <= 0 e76149: [ 0.096655 x6498^2 + x21047^2 - x25847^2 ] <= 0 e76150: [ 0.096655 x6499^2 + x21048^2 - x25848^2 ] <= 0 e76151: [ 0.096655 x6500^2 + x21049^2 - x25849^2 ] <= 0 e76152: [ 0.096655 x6501^2 + x21050^2 - x25850^2 ] <= 0 e76153: [ 0.096655 x6502^2 + x21051^2 - x25851^2 ] <= 0 e76154: [ 0.096655 x6503^2 + x21052^2 - x25852^2 ] <= 0 e76155: [ 0.096655 x6504^2 + x21053^2 - x25853^2 ] <= 0 e76156: [ 0.096655 x6505^2 + x21054^2 - x25854^2 ] <= 0 e76157: [ 0.05021 x6506^2 + x21055^2 - x25855^2 ] <= 0 e76158: [ 0.05021 x6507^2 + x21056^2 - x25856^2 ] <= 0 e76159: [ 0.05021 x6508^2 + x21057^2 - x25857^2 ] <= 0 e76160: [ 0.05021 x6509^2 + x21058^2 - x25858^2 ] <= 0 e76161: [ 0.05021 x6510^2 + x21059^2 - x25859^2 ] <= 0 e76162: [ 0.05021 x6511^2 + x21060^2 - x25860^2 ] <= 0 e76163: [ 0.05021 x6512^2 + x21061^2 - x25861^2 ] <= 0 e76164: [ 0.05021 x6513^2 + x21062^2 - x25862^2 ] <= 0 e76165: [ 0.05021 x6514^2 + x21063^2 - x25863^2 ] <= 0 e76166: [ 0.05021 x6515^2 + x21064^2 - x25864^2 ] <= 0 e76167: [ 0.05021 x6516^2 + x21065^2 - x25865^2 ] <= 0 e76168: [ 0.05021 x6517^2 + x21066^2 - x25866^2 ] <= 0 e76169: [ 0.05021 x6518^2 + x21067^2 - x25867^2 ] <= 0 e76170: [ 0.05021 x6519^2 + x21068^2 - x25868^2 ] <= 0 e76171: [ 0.05021 x6520^2 + x21069^2 - x25869^2 ] <= 0 e76172: [ 0.05021 x6521^2 + x21070^2 - x25870^2 ] <= 0 e76173: [ 0.05021 x6522^2 + x21071^2 - x25871^2 ] <= 0 e76174: [ 0.05021 x6523^2 + x21072^2 - x25872^2 ] <= 0 e76175: [ 0.05021 x6524^2 + x21073^2 - x25873^2 ] <= 0 e76176: [ 0.05021 x6525^2 + x21074^2 - x25874^2 ] <= 0 e76177: [ 0.05021 x6526^2 + x21075^2 - x25875^2 ] <= 0 e76178: [ 0.05021 x6527^2 + x21076^2 - x25876^2 ] <= 0 e76179: [ 0.05021 x6528^2 + x21077^2 - x25877^2 ] <= 0 e76180: [ 0.05021 x6529^2 + x21078^2 - x25878^2 ] <= 0 e76181: [ 0.046774 x6530^2 + x21079^2 - x25879^2 ] <= 0 e76182: [ 0.046774 x6531^2 + x21080^2 - x25880^2 ] <= 0 e76183: [ 0.046774 x6532^2 + x21081^2 - x25881^2 ] <= 0 e76184: [ 0.046774 x6533^2 + x21082^2 - x25882^2 ] <= 0 e76185: [ 0.046774 x6534^2 + x21083^2 - x25883^2 ] <= 0 e76186: [ 0.046774 x6535^2 + x21084^2 - x25884^2 ] <= 0 e76187: [ 0.046774 x6536^2 + x21085^2 - x25885^2 ] <= 0 e76188: [ 0.046774 x6537^2 + x21086^2 - x25886^2 ] <= 0 e76189: [ 0.046774 x6538^2 + x21087^2 - x25887^2 ] <= 0 e76190: [ 0.046774 x6539^2 + x21088^2 - x25888^2 ] <= 0 e76191: [ 0.046774 x6540^2 + x21089^2 - x25889^2 ] <= 0 e76192: [ 0.046774 x6541^2 + x21090^2 - x25890^2 ] <= 0 e76193: [ 0.046774 x6542^2 + x21091^2 - x25891^2 ] <= 0 e76194: [ 0.046774 x6543^2 + x21092^2 - x25892^2 ] <= 0 e76195: [ 0.046774 x6544^2 + x21093^2 - x25893^2 ] <= 0 e76196: [ 0.046774 x6545^2 + x21094^2 - x25894^2 ] <= 0 e76197: [ 0.046774 x6546^2 + x21095^2 - x25895^2 ] <= 0 e76198: [ 0.046774 x6547^2 + x21096^2 - x25896^2 ] <= 0 e76199: [ 0.046774 x6548^2 + x21097^2 - x25897^2 ] <= 0 e76200: [ 0.046774 x6549^2 + x21098^2 - x25898^2 ] <= 0 e76201: [ 0.046774 x6550^2 + x21099^2 - x25899^2 ] <= 0 e76202: [ 0.046774 x6551^2 + x21100^2 - x25900^2 ] <= 0 e76203: [ 0.046774 x6552^2 + x21101^2 - x25901^2 ] <= 0 e76204: [ 0.046774 x6553^2 + x21102^2 - x25902^2 ] <= 0 e76205: [ 0.028042 x6554^2 + x21103^2 - x25903^2 ] <= 0 e76206: [ 0.028042 x6555^2 + x21104^2 - x25904^2 ] <= 0 e76207: [ 0.028042 x6556^2 + x21105^2 - x25905^2 ] <= 0 e76208: [ 0.028042 x6557^2 + x21106^2 - x25906^2 ] <= 0 e76209: [ 0.028042 x6558^2 + x21107^2 - x25907^2 ] <= 0 e76210: [ 0.028042 x6559^2 + x21108^2 - x25908^2 ] <= 0 e76211: [ 0.028042 x6560^2 + x21109^2 - x25909^2 ] <= 0 e76212: [ 0.028042 x6561^2 + x21110^2 - x25910^2 ] <= 0 e76213: [ 0.028042 x6562^2 + x21111^2 - x25911^2 ] <= 0 e76214: [ 0.028042 x6563^2 + x21112^2 - x25912^2 ] <= 0 e76215: [ 0.028042 x6564^2 + x21113^2 - x25913^2 ] <= 0 e76216: [ 0.028042 x6565^2 + x21114^2 - x25914^2 ] <= 0 e76217: [ 0.028042 x6566^2 + x21115^2 - x25915^2 ] <= 0 e76218: [ 0.028042 x6567^2 + x21116^2 - x25916^2 ] <= 0 e76219: [ 0.028042 x6568^2 + x21117^2 - x25917^2 ] <= 0 e76220: [ 0.028042 x6569^2 + x21118^2 - x25918^2 ] <= 0 e76221: [ 0.028042 x6570^2 + x21119^2 - x25919^2 ] <= 0 e76222: [ 0.028042 x6571^2 + x21120^2 - x25920^2 ] <= 0 e76223: [ 0.028042 x6572^2 + x21121^2 - x25921^2 ] <= 0 e76224: [ 0.028042 x6573^2 + x21122^2 - x25922^2 ] <= 0 e76225: [ 0.028042 x6574^2 + x21123^2 - x25923^2 ] <= 0 e76226: [ 0.028042 x6575^2 + x21124^2 - x25924^2 ] <= 0 e76227: [ 0.028042 x6576^2 + x21125^2 - x25925^2 ] <= 0 e76228: [ 0.028042 x6577^2 + x21126^2 - x25926^2 ] <= 0 e76229: [ 0.034823 x6578^2 + x21127^2 - x25927^2 ] <= 0 e76230: [ 0.034823 x6579^2 + x21128^2 - x25928^2 ] <= 0 e76231: [ 0.034823 x6580^2 + x21129^2 - x25929^2 ] <= 0 e76232: [ 0.034823 x6581^2 + x21130^2 - x25930^2 ] <= 0 e76233: [ 0.034823 x6582^2 + x21131^2 - x25931^2 ] <= 0 e76234: [ 0.034823 x6583^2 + x21132^2 - x25932^2 ] <= 0 e76235: [ 0.034823 x6584^2 + x21133^2 - x25933^2 ] <= 0 e76236: [ 0.034823 x6585^2 + x21134^2 - x25934^2 ] <= 0 e76237: [ 0.034823 x6586^2 + x21135^2 - x25935^2 ] <= 0 e76238: [ 0.034823 x6587^2 + x21136^2 - x25936^2 ] <= 0 e76239: [ 0.034823 x6588^2 + x21137^2 - x25937^2 ] <= 0 e76240: [ 0.034823 x6589^2 + x21138^2 - x25938^2 ] <= 0 e76241: [ 0.034823 x6590^2 + x21139^2 - x25939^2 ] <= 0 e76242: [ 0.034823 x6591^2 + x21140^2 - x25940^2 ] <= 0 e76243: [ 0.034823 x6592^2 + x21141^2 - x25941^2 ] <= 0 e76244: [ 0.034823 x6593^2 + x21142^2 - x25942^2 ] <= 0 e76245: [ 0.034823 x6594^2 + x21143^2 - x25943^2 ] <= 0 e76246: [ 0.034823 x6595^2 + x21144^2 - x25944^2 ] <= 0 e76247: [ 0.034823 x6596^2 + x21145^2 - x25945^2 ] <= 0 e76248: [ 0.034823 x6597^2 + x21146^2 - x25946^2 ] <= 0 e76249: [ 0.034823 x6598^2 + x21147^2 - x25947^2 ] <= 0 e76250: [ 0.034823 x6599^2 + x21148^2 - x25948^2 ] <= 0 e76251: [ 0.034823 x6600^2 + x21149^2 - x25949^2 ] <= 0 e76252: [ 0.034823 x6601^2 + x21150^2 - x25950^2 ] <= 0 e76253: [ 0.079698 x6602^2 + x21151^2 - x25951^2 ] <= 0 e76254: [ 0.079698 x6603^2 + x21152^2 - x25952^2 ] <= 0 e76255: [ 0.079698 x6604^2 + x21153^2 - x25953^2 ] <= 0 e76256: [ 0.079698 x6605^2 + x21154^2 - x25954^2 ] <= 0 e76257: [ 0.079698 x6606^2 + x21155^2 - x25955^2 ] <= 0 e76258: [ 0.079698 x6607^2 + x21156^2 - x25956^2 ] <= 0 e76259: [ 0.079698 x6608^2 + x21157^2 - x25957^2 ] <= 0 e76260: [ 0.079698 x6609^2 + x21158^2 - x25958^2 ] <= 0 e76261: [ 0.079698 x6610^2 + x21159^2 - x25959^2 ] <= 0 e76262: [ 0.079698 x6611^2 + x21160^2 - x25960^2 ] <= 0 e76263: [ 0.079698 x6612^2 + x21161^2 - x25961^2 ] <= 0 e76264: [ 0.079698 x6613^2 + x21162^2 - x25962^2 ] <= 0 e76265: [ 0.079698 x6614^2 + x21163^2 - x25963^2 ] <= 0 e76266: [ 0.079698 x6615^2 + x21164^2 - x25964^2 ] <= 0 e76267: [ 0.079698 x6616^2 + x21165^2 - x25965^2 ] <= 0 e76268: [ 0.079698 x6617^2 + x21166^2 - x25966^2 ] <= 0 e76269: [ 0.079698 x6618^2 + x21167^2 - x25967^2 ] <= 0 e76270: [ 0.079698 x6619^2 + x21168^2 - x25968^2 ] <= 0 e76271: [ 0.079698 x6620^2 + x21169^2 - x25969^2 ] <= 0 e76272: [ 0.079698 x6621^2 + x21170^2 - x25970^2 ] <= 0 e76273: [ 0.079698 x6622^2 + x21171^2 - x25971^2 ] <= 0 e76274: [ 0.079698 x6623^2 + x21172^2 - x25972^2 ] <= 0 e76275: [ 0.079698 x6624^2 + x21173^2 - x25973^2 ] <= 0 e76276: [ 0.079698 x6625^2 + x21174^2 - x25974^2 ] <= 0 e76277: [ 0.01027 x6626^2 + x21175^2 - x25975^2 ] <= 0 e76278: [ 0.01027 x6627^2 + x21176^2 - x25976^2 ] <= 0 e76279: [ 0.01027 x6628^2 + x21177^2 - x25977^2 ] <= 0 e76280: [ 0.01027 x6629^2 + x21178^2 - x25978^2 ] <= 0 e76281: [ 0.01027 x6630^2 + x21179^2 - x25979^2 ] <= 0 e76282: [ 0.01027 x6631^2 + x21180^2 - x25980^2 ] <= 0 e76283: [ 0.01027 x6632^2 + x21181^2 - x25981^2 ] <= 0 e76284: [ 0.01027 x6633^2 + x21182^2 - x25982^2 ] <= 0 e76285: [ 0.01027 x6634^2 + x21183^2 - x25983^2 ] <= 0 e76286: [ 0.01027 x6635^2 + x21184^2 - x25984^2 ] <= 0 e76287: [ 0.01027 x6636^2 + x21185^2 - x25985^2 ] <= 0 e76288: [ 0.01027 x6637^2 + x21186^2 - x25986^2 ] <= 0 e76289: [ 0.01027 x6638^2 + x21187^2 - x25987^2 ] <= 0 e76290: [ 0.01027 x6639^2 + x21188^2 - x25988^2 ] <= 0 e76291: [ 0.01027 x6640^2 + x21189^2 - x25989^2 ] <= 0 e76292: [ 0.01027 x6641^2 + x21190^2 - x25990^2 ] <= 0 e76293: [ 0.01027 x6642^2 + x21191^2 - x25991^2 ] <= 0 e76294: [ 0.01027 x6643^2 + x21192^2 - x25992^2 ] <= 0 e76295: [ 0.01027 x6644^2 + x21193^2 - x25993^2 ] <= 0 e76296: [ 0.01027 x6645^2 + x21194^2 - x25994^2 ] <= 0 e76297: [ 0.01027 x6646^2 + x21195^2 - x25995^2 ] <= 0 e76298: [ 0.01027 x6647^2 + x21196^2 - x25996^2 ] <= 0 e76299: [ 0.01027 x6648^2 + x21197^2 - x25997^2 ] <= 0 e76300: [ 0.01027 x6649^2 + x21198^2 - x25998^2 ] <= 0 e76301: [ 0.01947 x6650^2 + x21199^2 - x25999^2 ] <= 0 e76302: [ 0.01947 x6651^2 + x21200^2 - x26000^2 ] <= 0 e76303: [ 0.01947 x6652^2 + x21201^2 - x26001^2 ] <= 0 e76304: [ 0.01947 x6653^2 + x21202^2 - x26002^2 ] <= 0 e76305: [ 0.01947 x6654^2 + x21203^2 - x26003^2 ] <= 0 e76306: [ 0.01947 x6655^2 + x21204^2 - x26004^2 ] <= 0 e76307: [ 0.01947 x6656^2 + x21205^2 - x26005^2 ] <= 0 e76308: [ 0.01947 x6657^2 + x21206^2 - x26006^2 ] <= 0 e76309: [ 0.01947 x6658^2 + x21207^2 - x26007^2 ] <= 0 e76310: [ 0.01947 x6659^2 + x21208^2 - x26008^2 ] <= 0 e76311: [ 0.01947 x6660^2 + x21209^2 - x26009^2 ] <= 0 e76312: [ 0.01947 x6661^2 + x21210^2 - x26010^2 ] <= 0 e76313: [ 0.01947 x6662^2 + x21211^2 - x26011^2 ] <= 0 e76314: [ 0.01947 x6663^2 + x21212^2 - x26012^2 ] <= 0 e76315: [ 0.01947 x6664^2 + x21213^2 - x26013^2 ] <= 0 e76316: [ 0.01947 x6665^2 + x21214^2 - x26014^2 ] <= 0 e76317: [ 0.01947 x6666^2 + x21215^2 - x26015^2 ] <= 0 e76318: [ 0.01947 x6667^2 + x21216^2 - x26016^2 ] <= 0 e76319: [ 0.01947 x6668^2 + x21217^2 - x26017^2 ] <= 0 e76320: [ 0.01947 x6669^2 + x21218^2 - x26018^2 ] <= 0 e76321: [ 0.01947 x6670^2 + x21219^2 - x26019^2 ] <= 0 e76322: [ 0.01947 x6671^2 + x21220^2 - x26020^2 ] <= 0 e76323: [ 0.01947 x6672^2 + x21221^2 - x26021^2 ] <= 0 e76324: [ 0.01947 x6673^2 + x21222^2 - x26022^2 ] <= 0 e76325: [ 0.078193 x6674^2 + x21223^2 - x26023^2 ] <= 0 e76326: [ 0.078193 x6675^2 + x21224^2 - x26024^2 ] <= 0 e76327: [ 0.078193 x6676^2 + x21225^2 - x26025^2 ] <= 0 e76328: [ 0.078193 x6677^2 + x21226^2 - x26026^2 ] <= 0 e76329: [ 0.078193 x6678^2 + x21227^2 - x26027^2 ] <= 0 e76330: [ 0.078193 x6679^2 + x21228^2 - x26028^2 ] <= 0 e76331: [ 0.078193 x6680^2 + x21229^2 - x26029^2 ] <= 0 e76332: [ 0.078193 x6681^2 + x21230^2 - x26030^2 ] <= 0 e76333: [ 0.078193 x6682^2 + x21231^2 - x26031^2 ] <= 0 e76334: [ 0.078193 x6683^2 + x21232^2 - x26032^2 ] <= 0 e76335: [ 0.078193 x6684^2 + x21233^2 - x26033^2 ] <= 0 e76336: [ 0.078193 x6685^2 + x21234^2 - x26034^2 ] <= 0 e76337: [ 0.078193 x6686^2 + x21235^2 - x26035^2 ] <= 0 e76338: [ 0.078193 x6687^2 + x21236^2 - x26036^2 ] <= 0 e76339: [ 0.078193 x6688^2 + x21237^2 - x26037^2 ] <= 0 e76340: [ 0.078193 x6689^2 + x21238^2 - x26038^2 ] <= 0 e76341: [ 0.078193 x6690^2 + x21239^2 - x26039^2 ] <= 0 e76342: [ 0.078193 x6691^2 + x21240^2 - x26040^2 ] <= 0 e76343: [ 0.078193 x6692^2 + x21241^2 - x26041^2 ] <= 0 e76344: [ 0.078193 x6693^2 + x21242^2 - x26042^2 ] <= 0 e76345: [ 0.078193 x6694^2 + x21243^2 - x26043^2 ] <= 0 e76346: [ 0.078193 x6695^2 + x21244^2 - x26044^2 ] <= 0 e76347: [ 0.078193 x6696^2 + x21245^2 - x26045^2 ] <= 0 e76348: [ 0.078193 x6697^2 + x21246^2 - x26046^2 ] <= 0 e76349: [ 0.019743 x6698^2 + x21247^2 - x26047^2 ] <= 0 e76350: [ 0.019743 x6699^2 + x21248^2 - x26048^2 ] <= 0 e76351: [ 0.019743 x6700^2 + x21249^2 - x26049^2 ] <= 0 e76352: [ 0.019743 x6701^2 + x21250^2 - x26050^2 ] <= 0 e76353: [ 0.019743 x6702^2 + x21251^2 - x26051^2 ] <= 0 e76354: [ 0.019743 x6703^2 + x21252^2 - x26052^2 ] <= 0 e76355: [ 0.019743 x6704^2 + x21253^2 - x26053^2 ] <= 0 e76356: [ 0.019743 x6705^2 + x21254^2 - x26054^2 ] <= 0 e76357: [ 0.019743 x6706^2 + x21255^2 - x26055^2 ] <= 0 e76358: [ 0.019743 x6707^2 + x21256^2 - x26056^2 ] <= 0 e76359: [ 0.019743 x6708^2 + x21257^2 - x26057^2 ] <= 0 e76360: [ 0.019743 x6709^2 + x21258^2 - x26058^2 ] <= 0 e76361: [ 0.019743 x6710^2 + x21259^2 - x26059^2 ] <= 0 e76362: [ 0.019743 x6711^2 + x21260^2 - x26060^2 ] <= 0 e76363: [ 0.019743 x6712^2 + x21261^2 - x26061^2 ] <= 0 e76364: [ 0.019743 x6713^2 + x21262^2 - x26062^2 ] <= 0 e76365: [ 0.019743 x6714^2 + x21263^2 - x26063^2 ] <= 0 e76366: [ 0.019743 x6715^2 + x21264^2 - x26064^2 ] <= 0 e76367: [ 0.019743 x6716^2 + x21265^2 - x26065^2 ] <= 0 e76368: [ 0.019743 x6717^2 + x21266^2 - x26066^2 ] <= 0 e76369: [ 0.019743 x6718^2 + x21267^2 - x26067^2 ] <= 0 e76370: [ 0.019743 x6719^2 + x21268^2 - x26068^2 ] <= 0 e76371: [ 0.019743 x6720^2 + x21269^2 - x26069^2 ] <= 0 e76372: [ 0.019743 x6721^2 + x21270^2 - x26070^2 ] <= 0 e76373: [ 0.025731 x6722^2 + x21271^2 - x26071^2 ] <= 0 e76374: [ 0.025731 x6723^2 + x21272^2 - x26072^2 ] <= 0 e76375: [ 0.025731 x6724^2 + x21273^2 - x26073^2 ] <= 0 e76376: [ 0.025731 x6725^2 + x21274^2 - x26074^2 ] <= 0 e76377: [ 0.025731 x6726^2 + x21275^2 - x26075^2 ] <= 0 e76378: [ 0.025731 x6727^2 + x21276^2 - x26076^2 ] <= 0 e76379: [ 0.025731 x6728^2 + x21277^2 - x26077^2 ] <= 0 e76380: [ 0.025731 x6729^2 + x21278^2 - x26078^2 ] <= 0 e76381: [ 0.025731 x6730^2 + x21279^2 - x26079^2 ] <= 0 e76382: [ 0.025731 x6731^2 + x21280^2 - x26080^2 ] <= 0 e76383: [ 0.025731 x6732^2 + x21281^2 - x26081^2 ] <= 0 e76384: [ 0.025731 x6733^2 + x21282^2 - x26082^2 ] <= 0 e76385: [ 0.025731 x6734^2 + x21283^2 - x26083^2 ] <= 0 e76386: [ 0.025731 x6735^2 + x21284^2 - x26084^2 ] <= 0 e76387: [ 0.025731 x6736^2 + x21285^2 - x26085^2 ] <= 0 e76388: [ 0.025731 x6737^2 + x21286^2 - x26086^2 ] <= 0 e76389: [ 0.025731 x6738^2 + x21287^2 - x26087^2 ] <= 0 e76390: [ 0.025731 x6739^2 + x21288^2 - x26088^2 ] <= 0 e76391: [ 0.025731 x6740^2 + x21289^2 - x26089^2 ] <= 0 e76392: [ 0.025731 x6741^2 + x21290^2 - x26090^2 ] <= 0 e76393: [ 0.025731 x6742^2 + x21291^2 - x26091^2 ] <= 0 e76394: [ 0.025731 x6743^2 + x21292^2 - x26092^2 ] <= 0 e76395: [ 0.025731 x6744^2 + x21293^2 - x26093^2 ] <= 0 e76396: [ 0.025731 x6745^2 + x21294^2 - x26094^2 ] <= 0 e76397: [ 0.093279 x6746^2 + x21295^2 - x26095^2 ] <= 0 e76398: [ 0.093279 x6747^2 + x21296^2 - x26096^2 ] <= 0 e76399: [ 0.093279 x6748^2 + x21297^2 - x26097^2 ] <= 0 e76400: [ 0.093279 x6749^2 + x21298^2 - x26098^2 ] <= 0 e76401: [ 0.093279 x6750^2 + x21299^2 - x26099^2 ] <= 0 e76402: [ 0.093279 x6751^2 + x21300^2 - x26100^2 ] <= 0 e76403: [ 0.093279 x6752^2 + x21301^2 - x26101^2 ] <= 0 e76404: [ 0.093279 x6753^2 + x21302^2 - x26102^2 ] <= 0 e76405: [ 0.093279 x6754^2 + x21303^2 - x26103^2 ] <= 0 e76406: [ 0.093279 x6755^2 + x21304^2 - x26104^2 ] <= 0 e76407: [ 0.093279 x6756^2 + x21305^2 - x26105^2 ] <= 0 e76408: [ 0.093279 x6757^2 + x21306^2 - x26106^2 ] <= 0 e76409: [ 0.093279 x6758^2 + x21307^2 - x26107^2 ] <= 0 e76410: [ 0.093279 x6759^2 + x21308^2 - x26108^2 ] <= 0 e76411: [ 0.093279 x6760^2 + x21309^2 - x26109^2 ] <= 0 e76412: [ 0.093279 x6761^2 + x21310^2 - x26110^2 ] <= 0 e76413: [ 0.093279 x6762^2 + x21311^2 - x26111^2 ] <= 0 e76414: [ 0.093279 x6763^2 + x21312^2 - x26112^2 ] <= 0 e76415: [ 0.093279 x6764^2 + x21313^2 - x26113^2 ] <= 0 e76416: [ 0.093279 x6765^2 + x21314^2 - x26114^2 ] <= 0 e76417: [ 0.093279 x6766^2 + x21315^2 - x26115^2 ] <= 0 e76418: [ 0.093279 x6767^2 + x21316^2 - x26116^2 ] <= 0 e76419: [ 0.093279 x6768^2 + x21317^2 - x26117^2 ] <= 0 e76420: [ 0.093279 x6769^2 + x21318^2 - x26118^2 ] <= 0 e76421: [ 0.081564 x6770^2 + x21319^2 - x26119^2 ] <= 0 e76422: [ 0.081564 x6771^2 + x21320^2 - x26120^2 ] <= 0 e76423: [ 0.081564 x6772^2 + x21321^2 - x26121^2 ] <= 0 e76424: [ 0.081564 x6773^2 + x21322^2 - x26122^2 ] <= 0 e76425: [ 0.081564 x6774^2 + x21323^2 - x26123^2 ] <= 0 e76426: [ 0.081564 x6775^2 + x21324^2 - x26124^2 ] <= 0 e76427: [ 0.081564 x6776^2 + x21325^2 - x26125^2 ] <= 0 e76428: [ 0.081564 x6777^2 + x21326^2 - x26126^2 ] <= 0 e76429: [ 0.081564 x6778^2 + x21327^2 - x26127^2 ] <= 0 e76430: [ 0.081564 x6779^2 + x21328^2 - x26128^2 ] <= 0 e76431: [ 0.081564 x6780^2 + x21329^2 - x26129^2 ] <= 0 e76432: [ 0.081564 x6781^2 + x21330^2 - x26130^2 ] <= 0 e76433: [ 0.081564 x6782^2 + x21331^2 - x26131^2 ] <= 0 e76434: [ 0.081564 x6783^2 + x21332^2 - x26132^2 ] <= 0 e76435: [ 0.081564 x6784^2 + x21333^2 - x26133^2 ] <= 0 e76436: [ 0.081564 x6785^2 + x21334^2 - x26134^2 ] <= 0 e76437: [ 0.081564 x6786^2 + x21335^2 - x26135^2 ] <= 0 e76438: [ 0.081564 x6787^2 + x21336^2 - x26136^2 ] <= 0 e76439: [ 0.081564 x6788^2 + x21337^2 - x26137^2 ] <= 0 e76440: [ 0.081564 x6789^2 + x21338^2 - x26138^2 ] <= 0 e76441: [ 0.081564 x6790^2 + x21339^2 - x26139^2 ] <= 0 e76442: [ 0.081564 x6791^2 + x21340^2 - x26140^2 ] <= 0 e76443: [ 0.081564 x6792^2 + x21341^2 - x26141^2 ] <= 0 e76444: [ 0.081564 x6793^2 + x21342^2 - x26142^2 ] <= 0 e76445: [ 0.022217 x6794^2 + x21343^2 - x26143^2 ] <= 0 e76446: [ 0.022217 x6795^2 + x21344^2 - x26144^2 ] <= 0 e76447: [ 0.022217 x6796^2 + x21345^2 - x26145^2 ] <= 0 e76448: [ 0.022217 x6797^2 + x21346^2 - x26146^2 ] <= 0 e76449: [ 0.022217 x6798^2 + x21347^2 - x26147^2 ] <= 0 e76450: [ 0.022217 x6799^2 + x21348^2 - x26148^2 ] <= 0 e76451: [ 0.022217 x6800^2 + x21349^2 - x26149^2 ] <= 0 e76452: [ 0.022217 x6801^2 + x21350^2 - x26150^2 ] <= 0 e76453: [ 0.022217 x6802^2 + x21351^2 - x26151^2 ] <= 0 e76454: [ 0.022217 x6803^2 + x21352^2 - x26152^2 ] <= 0 e76455: [ 0.022217 x6804^2 + x21353^2 - x26153^2 ] <= 0 e76456: [ 0.022217 x6805^2 + x21354^2 - x26154^2 ] <= 0 e76457: [ 0.022217 x6806^2 + x21355^2 - x26155^2 ] <= 0 e76458: [ 0.022217 x6807^2 + x21356^2 - x26156^2 ] <= 0 e76459: [ 0.022217 x6808^2 + x21357^2 - x26157^2 ] <= 0 e76460: [ 0.022217 x6809^2 + x21358^2 - x26158^2 ] <= 0 e76461: [ 0.022217 x6810^2 + x21359^2 - x26159^2 ] <= 0 e76462: [ 0.022217 x6811^2 + x21360^2 - x26160^2 ] <= 0 e76463: [ 0.022217 x6812^2 + x21361^2 - x26161^2 ] <= 0 e76464: [ 0.022217 x6813^2 + x21362^2 - x26162^2 ] <= 0 e76465: [ 0.022217 x6814^2 + x21363^2 - x26163^2 ] <= 0 e76466: [ 0.022217 x6815^2 + x21364^2 - x26164^2 ] <= 0 e76467: [ 0.022217 x6816^2 + x21365^2 - x26165^2 ] <= 0 e76468: [ 0.022217 x6817^2 + x21366^2 - x26166^2 ] <= 0 e76469: [ 0.034195 x6818^2 + x21367^2 - x26167^2 ] <= 0 e76470: [ 0.034195 x6819^2 + x21368^2 - x26168^2 ] <= 0 e76471: [ 0.034195 x6820^2 + x21369^2 - x26169^2 ] <= 0 e76472: [ 0.034195 x6821^2 + x21370^2 - x26170^2 ] <= 0 e76473: [ 0.034195 x6822^2 + x21371^2 - x26171^2 ] <= 0 e76474: [ 0.034195 x6823^2 + x21372^2 - x26172^2 ] <= 0 e76475: [ 0.034195 x6824^2 + x21373^2 - x26173^2 ] <= 0 e76476: [ 0.034195 x6825^2 + x21374^2 - x26174^2 ] <= 0 e76477: [ 0.034195 x6826^2 + x21375^2 - x26175^2 ] <= 0 e76478: [ 0.034195 x6827^2 + x21376^2 - x26176^2 ] <= 0 e76479: [ 0.034195 x6828^2 + x21377^2 - x26177^2 ] <= 0 e76480: [ 0.034195 x6829^2 + x21378^2 - x26178^2 ] <= 0 e76481: [ 0.034195 x6830^2 + x21379^2 - x26179^2 ] <= 0 e76482: [ 0.034195 x6831^2 + x21380^2 - x26180^2 ] <= 0 e76483: [ 0.034195 x6832^2 + x21381^2 - x26181^2 ] <= 0 e76484: [ 0.034195 x6833^2 + x21382^2 - x26182^2 ] <= 0 e76485: [ 0.034195 x6834^2 + x21383^2 - x26183^2 ] <= 0 e76486: [ 0.034195 x6835^2 + x21384^2 - x26184^2 ] <= 0 e76487: [ 0.034195 x6836^2 + x21385^2 - x26185^2 ] <= 0 e76488: [ 0.034195 x6837^2 + x21386^2 - x26186^2 ] <= 0 e76489: [ 0.034195 x6838^2 + x21387^2 - x26187^2 ] <= 0 e76490: [ 0.034195 x6839^2 + x21388^2 - x26188^2 ] <= 0 e76491: [ 0.034195 x6840^2 + x21389^2 - x26189^2 ] <= 0 e76492: [ 0.034195 x6841^2 + x21390^2 - x26190^2 ] <= 0 e76493: [ 0.047658 x6842^2 + x21391^2 - x26191^2 ] <= 0 e76494: [ 0.047658 x6843^2 + x21392^2 - x26192^2 ] <= 0 e76495: [ 0.047658 x6844^2 + x21393^2 - x26193^2 ] <= 0 e76496: [ 0.047658 x6845^2 + x21394^2 - x26194^2 ] <= 0 e76497: [ 0.047658 x6846^2 + x21395^2 - x26195^2 ] <= 0 e76498: [ 0.047658 x6847^2 + x21396^2 - x26196^2 ] <= 0 e76499: [ 0.047658 x6848^2 + x21397^2 - x26197^2 ] <= 0 e76500: [ 0.047658 x6849^2 + x21398^2 - x26198^2 ] <= 0 e76501: [ 0.047658 x6850^2 + x21399^2 - x26199^2 ] <= 0 e76502: [ 0.047658 x6851^2 + x21400^2 - x26200^2 ] <= 0 e76503: [ 0.047658 x6852^2 + x21401^2 - x26201^2 ] <= 0 e76504: [ 0.047658 x6853^2 + x21402^2 - x26202^2 ] <= 0 e76505: [ 0.047658 x6854^2 + x21403^2 - x26203^2 ] <= 0 e76506: [ 0.047658 x6855^2 + x21404^2 - x26204^2 ] <= 0 e76507: [ 0.047658 x6856^2 + x21405^2 - x26205^2 ] <= 0 e76508: [ 0.047658 x6857^2 + x21406^2 - x26206^2 ] <= 0 e76509: [ 0.047658 x6858^2 + x21407^2 - x26207^2 ] <= 0 e76510: [ 0.047658 x6859^2 + x21408^2 - x26208^2 ] <= 0 e76511: [ 0.047658 x6860^2 + x21409^2 - x26209^2 ] <= 0 e76512: [ 0.047658 x6861^2 + x21410^2 - x26210^2 ] <= 0 e76513: [ 0.047658 x6862^2 + x21411^2 - x26211^2 ] <= 0 e76514: [ 0.047658 x6863^2 + x21412^2 - x26212^2 ] <= 0 e76515: [ 0.047658 x6864^2 + x21413^2 - x26213^2 ] <= 0 e76516: [ 0.047658 x6865^2 + x21414^2 - x26214^2 ] <= 0 e76517: [ 0.085397 x6866^2 + x21415^2 - x26215^2 ] <= 0 e76518: [ 0.085397 x6867^2 + x21416^2 - x26216^2 ] <= 0 e76519: [ 0.085397 x6868^2 + x21417^2 - x26217^2 ] <= 0 e76520: [ 0.085397 x6869^2 + x21418^2 - x26218^2 ] <= 0 e76521: [ 0.085397 x6870^2 + x21419^2 - x26219^2 ] <= 0 e76522: [ 0.085397 x6871^2 + x21420^2 - x26220^2 ] <= 0 e76523: [ 0.085397 x6872^2 + x21421^2 - x26221^2 ] <= 0 e76524: [ 0.085397 x6873^2 + x21422^2 - x26222^2 ] <= 0 e76525: [ 0.085397 x6874^2 + x21423^2 - x26223^2 ] <= 0 e76526: [ 0.085397 x6875^2 + x21424^2 - x26224^2 ] <= 0 e76527: [ 0.085397 x6876^2 + x21425^2 - x26225^2 ] <= 0 e76528: [ 0.085397 x6877^2 + x21426^2 - x26226^2 ] <= 0 e76529: [ 0.085397 x6878^2 + x21427^2 - x26227^2 ] <= 0 e76530: [ 0.085397 x6879^2 + x21428^2 - x26228^2 ] <= 0 e76531: [ 0.085397 x6880^2 + x21429^2 - x26229^2 ] <= 0 e76532: [ 0.085397 x6881^2 + x21430^2 - x26230^2 ] <= 0 e76533: [ 0.085397 x6882^2 + x21431^2 - x26231^2 ] <= 0 e76534: [ 0.085397 x6883^2 + x21432^2 - x26232^2 ] <= 0 e76535: [ 0.085397 x6884^2 + x21433^2 - x26233^2 ] <= 0 e76536: [ 0.085397 x6885^2 + x21434^2 - x26234^2 ] <= 0 e76537: [ 0.085397 x6886^2 + x21435^2 - x26235^2 ] <= 0 e76538: [ 0.085397 x6887^2 + x21436^2 - x26236^2 ] <= 0 e76539: [ 0.085397 x6888^2 + x21437^2 - x26237^2 ] <= 0 e76540: [ 0.085397 x6889^2 + x21438^2 - x26238^2 ] <= 0 e76541: [ 0.065848 x6890^2 + x21439^2 - x26239^2 ] <= 0 e76542: [ 0.065848 x6891^2 + x21440^2 - x26240^2 ] <= 0 e76543: [ 0.065848 x6892^2 + x21441^2 - x26241^2 ] <= 0 e76544: [ 0.065848 x6893^2 + x21442^2 - x26242^2 ] <= 0 e76545: [ 0.065848 x6894^2 + x21443^2 - x26243^2 ] <= 0 e76546: [ 0.065848 x6895^2 + x21444^2 - x26244^2 ] <= 0 e76547: [ 0.065848 x6896^2 + x21445^2 - x26245^2 ] <= 0 e76548: [ 0.065848 x6897^2 + x21446^2 - x26246^2 ] <= 0 e76549: [ 0.065848 x6898^2 + x21447^2 - x26247^2 ] <= 0 e76550: [ 0.065848 x6899^2 + x21448^2 - x26248^2 ] <= 0 e76551: [ 0.065848 x6900^2 + x21449^2 - x26249^2 ] <= 0 e76552: [ 0.065848 x6901^2 + x21450^2 - x26250^2 ] <= 0 e76553: [ 0.065848 x6902^2 + x21451^2 - x26251^2 ] <= 0 e76554: [ 0.065848 x6903^2 + x21452^2 - x26252^2 ] <= 0 e76555: [ 0.065848 x6904^2 + x21453^2 - x26253^2 ] <= 0 e76556: [ 0.065848 x6905^2 + x21454^2 - x26254^2 ] <= 0 e76557: [ 0.065848 x6906^2 + x21455^2 - x26255^2 ] <= 0 e76558: [ 0.065848 x6907^2 + x21456^2 - x26256^2 ] <= 0 e76559: [ 0.065848 x6908^2 + x21457^2 - x26257^2 ] <= 0 e76560: [ 0.065848 x6909^2 + x21458^2 - x26258^2 ] <= 0 e76561: [ 0.065848 x6910^2 + x21459^2 - x26259^2 ] <= 0 e76562: [ 0.065848 x6911^2 + x21460^2 - x26260^2 ] <= 0 e76563: [ 0.065848 x6912^2 + x21461^2 - x26261^2 ] <= 0 e76564: [ 0.065848 x6913^2 + x21462^2 - x26262^2 ] <= 0 e76565: [ 0.064768 x6914^2 + x21463^2 - x26263^2 ] <= 0 e76566: [ 0.064768 x6915^2 + x21464^2 - x26264^2 ] <= 0 e76567: [ 0.064768 x6916^2 + x21465^2 - x26265^2 ] <= 0 e76568: [ 0.064768 x6917^2 + x21466^2 - x26266^2 ] <= 0 e76569: [ 0.064768 x6918^2 + x21467^2 - x26267^2 ] <= 0 e76570: [ 0.064768 x6919^2 + x21468^2 - x26268^2 ] <= 0 e76571: [ 0.064768 x6920^2 + x21469^2 - x26269^2 ] <= 0 e76572: [ 0.064768 x6921^2 + x21470^2 - x26270^2 ] <= 0 e76573: [ 0.064768 x6922^2 + x21471^2 - x26271^2 ] <= 0 e76574: [ 0.064768 x6923^2 + x21472^2 - x26272^2 ] <= 0 e76575: [ 0.064768 x6924^2 + x21473^2 - x26273^2 ] <= 0 e76576: [ 0.064768 x6925^2 + x21474^2 - x26274^2 ] <= 0 e76577: [ 0.064768 x6926^2 + x21475^2 - x26275^2 ] <= 0 e76578: [ 0.064768 x6927^2 + x21476^2 - x26276^2 ] <= 0 e76579: [ 0.064768 x6928^2 + x21477^2 - x26277^2 ] <= 0 e76580: [ 0.064768 x6929^2 + x21478^2 - x26278^2 ] <= 0 e76581: [ 0.064768 x6930^2 + x21479^2 - x26279^2 ] <= 0 e76582: [ 0.064768 x6931^2 + x21480^2 - x26280^2 ] <= 0 e76583: [ 0.064768 x6932^2 + x21481^2 - x26281^2 ] <= 0 e76584: [ 0.064768 x6933^2 + x21482^2 - x26282^2 ] <= 0 e76585: [ 0.064768 x6934^2 + x21483^2 - x26283^2 ] <= 0 e76586: [ 0.064768 x6935^2 + x21484^2 - x26284^2 ] <= 0 e76587: [ 0.064768 x6936^2 + x21485^2 - x26285^2 ] <= 0 e76588: [ 0.064768 x6937^2 + x21486^2 - x26286^2 ] <= 0 e76589: [ 0.042778 x6938^2 + x21487^2 - x26287^2 ] <= 0 e76590: [ 0.042778 x6939^2 + x21488^2 - x26288^2 ] <= 0 e76591: [ 0.042778 x6940^2 + x21489^2 - x26289^2 ] <= 0 e76592: [ 0.042778 x6941^2 + x21490^2 - x26290^2 ] <= 0 e76593: [ 0.042778 x6942^2 + x21491^2 - x26291^2 ] <= 0 e76594: [ 0.042778 x6943^2 + x21492^2 - x26292^2 ] <= 0 e76595: [ 0.042778 x6944^2 + x21493^2 - x26293^2 ] <= 0 e76596: [ 0.042778 x6945^2 + x21494^2 - x26294^2 ] <= 0 e76597: [ 0.042778 x6946^2 + x21495^2 - x26295^2 ] <= 0 e76598: [ 0.042778 x6947^2 + x21496^2 - x26296^2 ] <= 0 e76599: [ 0.042778 x6948^2 + x21497^2 - x26297^2 ] <= 0 e76600: [ 0.042778 x6949^2 + x21498^2 - x26298^2 ] <= 0 e76601: [ 0.042778 x6950^2 + x21499^2 - x26299^2 ] <= 0 e76602: [ 0.042778 x6951^2 + x21500^2 - x26300^2 ] <= 0 e76603: [ 0.042778 x6952^2 + x21501^2 - x26301^2 ] <= 0 e76604: [ 0.042778 x6953^2 + x21502^2 - x26302^2 ] <= 0 e76605: [ 0.042778 x6954^2 + x21503^2 - x26303^2 ] <= 0 e76606: [ 0.042778 x6955^2 + x21504^2 - x26304^2 ] <= 0 e76607: [ 0.042778 x6956^2 + x21505^2 - x26305^2 ] <= 0 e76608: [ 0.042778 x6957^2 + x21506^2 - x26306^2 ] <= 0 e76609: [ 0.042778 x6958^2 + x21507^2 - x26307^2 ] <= 0 e76610: [ 0.042778 x6959^2 + x21508^2 - x26308^2 ] <= 0 e76611: [ 0.042778 x6960^2 + x21509^2 - x26309^2 ] <= 0 e76612: [ 0.042778 x6961^2 + x21510^2 - x26310^2 ] <= 0 e76613: [ 0.013055 x6962^2 + x21511^2 - x26311^2 ] <= 0 e76614: [ 0.013055 x6963^2 + x21512^2 - x26312^2 ] <= 0 e76615: [ 0.013055 x6964^2 + x21513^2 - x26313^2 ] <= 0 e76616: [ 0.013055 x6965^2 + x21514^2 - x26314^2 ] <= 0 e76617: [ 0.013055 x6966^2 + x21515^2 - x26315^2 ] <= 0 e76618: [ 0.013055 x6967^2 + x21516^2 - x26316^2 ] <= 0 e76619: [ 0.013055 x6968^2 + x21517^2 - x26317^2 ] <= 0 e76620: [ 0.013055 x6969^2 + x21518^2 - x26318^2 ] <= 0 e76621: [ 0.013055 x6970^2 + x21519^2 - x26319^2 ] <= 0 e76622: [ 0.013055 x6971^2 + x21520^2 - x26320^2 ] <= 0 e76623: [ 0.013055 x6972^2 + x21521^2 - x26321^2 ] <= 0 e76624: [ 0.013055 x6973^2 + x21522^2 - x26322^2 ] <= 0 e76625: [ 0.013055 x6974^2 + x21523^2 - x26323^2 ] <= 0 e76626: [ 0.013055 x6975^2 + x21524^2 - x26324^2 ] <= 0 e76627: [ 0.013055 x6976^2 + x21525^2 - x26325^2 ] <= 0 e76628: [ 0.013055 x6977^2 + x21526^2 - x26326^2 ] <= 0 e76629: [ 0.013055 x6978^2 + x21527^2 - x26327^2 ] <= 0 e76630: [ 0.013055 x6979^2 + x21528^2 - x26328^2 ] <= 0 e76631: [ 0.013055 x6980^2 + x21529^2 - x26329^2 ] <= 0 e76632: [ 0.013055 x6981^2 + x21530^2 - x26330^2 ] <= 0 e76633: [ 0.013055 x6982^2 + x21531^2 - x26331^2 ] <= 0 e76634: [ 0.013055 x6983^2 + x21532^2 - x26332^2 ] <= 0 e76635: [ 0.013055 x6984^2 + x21533^2 - x26333^2 ] <= 0 e76636: [ 0.013055 x6985^2 + x21534^2 - x26334^2 ] <= 0 e76637: [ 0.025462 x6986^2 + x21535^2 - x26335^2 ] <= 0 e76638: [ 0.025462 x6987^2 + x21536^2 - x26336^2 ] <= 0 e76639: [ 0.025462 x6988^2 + x21537^2 - x26337^2 ] <= 0 e76640: [ 0.025462 x6989^2 + x21538^2 - x26338^2 ] <= 0 e76641: [ 0.025462 x6990^2 + x21539^2 - x26339^2 ] <= 0 e76642: [ 0.025462 x6991^2 + x21540^2 - x26340^2 ] <= 0 e76643: [ 0.025462 x6992^2 + x21541^2 - x26341^2 ] <= 0 e76644: [ 0.025462 x6993^2 + x21542^2 - x26342^2 ] <= 0 e76645: [ 0.025462 x6994^2 + x21543^2 - x26343^2 ] <= 0 e76646: [ 0.025462 x6995^2 + x21544^2 - x26344^2 ] <= 0 e76647: [ 0.025462 x6996^2 + x21545^2 - x26345^2 ] <= 0 e76648: [ 0.025462 x6997^2 + x21546^2 - x26346^2 ] <= 0 e76649: [ 0.025462 x6998^2 + x21547^2 - x26347^2 ] <= 0 e76650: [ 0.025462 x6999^2 + x21548^2 - x26348^2 ] <= 0 e76651: [ 0.025462 x7000^2 + x21549^2 - x26349^2 ] <= 0 e76652: [ 0.025462 x7001^2 + x21550^2 - x26350^2 ] <= 0 e76653: [ 0.025462 x7002^2 + x21551^2 - x26351^2 ] <= 0 e76654: [ 0.025462 x7003^2 + x21552^2 - x26352^2 ] <= 0 e76655: [ 0.025462 x7004^2 + x21553^2 - x26353^2 ] <= 0 e76656: [ 0.025462 x7005^2 + x21554^2 - x26354^2 ] <= 0 e76657: [ 0.025462 x7006^2 + x21555^2 - x26355^2 ] <= 0 e76658: [ 0.025462 x7007^2 + x21556^2 - x26356^2 ] <= 0 e76659: [ 0.025462 x7008^2 + x21557^2 - x26357^2 ] <= 0 e76660: [ 0.025462 x7009^2 + x21558^2 - x26358^2 ] <= 0 e76661: [ 0.058773 x7010^2 + x21559^2 - x26359^2 ] <= 0 e76662: [ 0.058773 x7011^2 + x21560^2 - x26360^2 ] <= 0 e76663: [ 0.058773 x7012^2 + x21561^2 - x26361^2 ] <= 0 e76664: [ 0.058773 x7013^2 + x21562^2 - x26362^2 ] <= 0 e76665: [ 0.058773 x7014^2 + x21563^2 - x26363^2 ] <= 0 e76666: [ 0.058773 x7015^2 + x21564^2 - x26364^2 ] <= 0 e76667: [ 0.058773 x7016^2 + x21565^2 - x26365^2 ] <= 0 e76668: [ 0.058773 x7017^2 + x21566^2 - x26366^2 ] <= 0 e76669: [ 0.058773 x7018^2 + x21567^2 - x26367^2 ] <= 0 e76670: [ 0.058773 x7019^2 + x21568^2 - x26368^2 ] <= 0 e76671: [ 0.058773 x7020^2 + x21569^2 - x26369^2 ] <= 0 e76672: [ 0.058773 x7021^2 + x21570^2 - x26370^2 ] <= 0 e76673: [ 0.058773 x7022^2 + x21571^2 - x26371^2 ] <= 0 e76674: [ 0.058773 x7023^2 + x21572^2 - x26372^2 ] <= 0 e76675: [ 0.058773 x7024^2 + x21573^2 - x26373^2 ] <= 0 e76676: [ 0.058773 x7025^2 + x21574^2 - x26374^2 ] <= 0 e76677: [ 0.058773 x7026^2 + x21575^2 - x26375^2 ] <= 0 e76678: [ 0.058773 x7027^2 + x21576^2 - x26376^2 ] <= 0 e76679: [ 0.058773 x7028^2 + x21577^2 - x26377^2 ] <= 0 e76680: [ 0.058773 x7029^2 + x21578^2 - x26378^2 ] <= 0 e76681: [ 0.058773 x7030^2 + x21579^2 - x26379^2 ] <= 0 e76682: [ 0.058773 x7031^2 + x21580^2 - x26380^2 ] <= 0 e76683: [ 0.058773 x7032^2 + x21581^2 - x26381^2 ] <= 0 e76684: [ 0.058773 x7033^2 + x21582^2 - x26382^2 ] <= 0 e76685: [ 0.064923 x7034^2 + x21583^2 - x26383^2 ] <= 0 e76686: [ 0.064923 x7035^2 + x21584^2 - x26384^2 ] <= 0 e76687: [ 0.064923 x7036^2 + x21585^2 - x26385^2 ] <= 0 e76688: [ 0.064923 x7037^2 + x21586^2 - x26386^2 ] <= 0 e76689: [ 0.064923 x7038^2 + x21587^2 - x26387^2 ] <= 0 e76690: [ 0.064923 x7039^2 + x21588^2 - x26388^2 ] <= 0 e76691: [ 0.064923 x7040^2 + x21589^2 - x26389^2 ] <= 0 e76692: [ 0.064923 x7041^2 + x21590^2 - x26390^2 ] <= 0 e76693: [ 0.064923 x7042^2 + x21591^2 - x26391^2 ] <= 0 e76694: [ 0.064923 x7043^2 + x21592^2 - x26392^2 ] <= 0 e76695: [ 0.064923 x7044^2 + x21593^2 - x26393^2 ] <= 0 e76696: [ 0.064923 x7045^2 + x21594^2 - x26394^2 ] <= 0 e76697: [ 0.064923 x7046^2 + x21595^2 - x26395^2 ] <= 0 e76698: [ 0.064923 x7047^2 + x21596^2 - x26396^2 ] <= 0 e76699: [ 0.064923 x7048^2 + x21597^2 - x26397^2 ] <= 0 e76700: [ 0.064923 x7049^2 + x21598^2 - x26398^2 ] <= 0 e76701: [ 0.064923 x7050^2 + x21599^2 - x26399^2 ] <= 0 e76702: [ 0.064923 x7051^2 + x21600^2 - x26400^2 ] <= 0 e76703: [ 0.064923 x7052^2 + x21601^2 - x26401^2 ] <= 0 e76704: [ 0.064923 x7053^2 + x21602^2 - x26402^2 ] <= 0 e76705: [ 0.064923 x7054^2 + x21603^2 - x26403^2 ] <= 0 e76706: [ 0.064923 x7055^2 + x21604^2 - x26404^2 ] <= 0 e76707: [ 0.064923 x7056^2 + x21605^2 - x26405^2 ] <= 0 e76708: [ 0.064923 x7057^2 + x21606^2 - x26406^2 ] <= 0 e76709: [ 0.085042 x7058^2 + x21607^2 - x26407^2 ] <= 0 e76710: [ 0.085042 x7059^2 + x21608^2 - x26408^2 ] <= 0 e76711: [ 0.085042 x7060^2 + x21609^2 - x26409^2 ] <= 0 e76712: [ 0.085042 x7061^2 + x21610^2 - x26410^2 ] <= 0 e76713: [ 0.085042 x7062^2 + x21611^2 - x26411^2 ] <= 0 e76714: [ 0.085042 x7063^2 + x21612^2 - x26412^2 ] <= 0 e76715: [ 0.085042 x7064^2 + x21613^2 - x26413^2 ] <= 0 e76716: [ 0.085042 x7065^2 + x21614^2 - x26414^2 ] <= 0 e76717: [ 0.085042 x7066^2 + x21615^2 - x26415^2 ] <= 0 e76718: [ 0.085042 x7067^2 + x21616^2 - x26416^2 ] <= 0 e76719: [ 0.085042 x7068^2 + x21617^2 - x26417^2 ] <= 0 e76720: [ 0.085042 x7069^2 + x21618^2 - x26418^2 ] <= 0 e76721: [ 0.085042 x7070^2 + x21619^2 - x26419^2 ] <= 0 e76722: [ 0.085042 x7071^2 + x21620^2 - x26420^2 ] <= 0 e76723: [ 0.085042 x7072^2 + x21621^2 - x26421^2 ] <= 0 e76724: [ 0.085042 x7073^2 + x21622^2 - x26422^2 ] <= 0 e76725: [ 0.085042 x7074^2 + x21623^2 - x26423^2 ] <= 0 e76726: [ 0.085042 x7075^2 + x21624^2 - x26424^2 ] <= 0 e76727: [ 0.085042 x7076^2 + x21625^2 - x26425^2 ] <= 0 e76728: [ 0.085042 x7077^2 + x21626^2 - x26426^2 ] <= 0 e76729: [ 0.085042 x7078^2 + x21627^2 - x26427^2 ] <= 0 e76730: [ 0.085042 x7079^2 + x21628^2 - x26428^2 ] <= 0 e76731: [ 0.085042 x7080^2 + x21629^2 - x26429^2 ] <= 0 e76732: [ 0.085042 x7081^2 + x21630^2 - x26430^2 ] <= 0 e76733: [ 0.053249 x7082^2 + x21631^2 - x26431^2 ] <= 0 e76734: [ 0.053249 x7083^2 + x21632^2 - x26432^2 ] <= 0 e76735: [ 0.053249 x7084^2 + x21633^2 - x26433^2 ] <= 0 e76736: [ 0.053249 x7085^2 + x21634^2 - x26434^2 ] <= 0 e76737: [ 0.053249 x7086^2 + x21635^2 - x26435^2 ] <= 0 e76738: [ 0.053249 x7087^2 + x21636^2 - x26436^2 ] <= 0 e76739: [ 0.053249 x7088^2 + x21637^2 - x26437^2 ] <= 0 e76740: [ 0.053249 x7089^2 + x21638^2 - x26438^2 ] <= 0 e76741: [ 0.053249 x7090^2 + x21639^2 - x26439^2 ] <= 0 e76742: [ 0.053249 x7091^2 + x21640^2 - x26440^2 ] <= 0 e76743: [ 0.053249 x7092^2 + x21641^2 - x26441^2 ] <= 0 e76744: [ 0.053249 x7093^2 + x21642^2 - x26442^2 ] <= 0 e76745: [ 0.053249 x7094^2 + x21643^2 - x26443^2 ] <= 0 e76746: [ 0.053249 x7095^2 + x21644^2 - x26444^2 ] <= 0 e76747: [ 0.053249 x7096^2 + x21645^2 - x26445^2 ] <= 0 e76748: [ 0.053249 x7097^2 + x21646^2 - x26446^2 ] <= 0 e76749: [ 0.053249 x7098^2 + x21647^2 - x26447^2 ] <= 0 e76750: [ 0.053249 x7099^2 + x21648^2 - x26448^2 ] <= 0 e76751: [ 0.053249 x7100^2 + x21649^2 - x26449^2 ] <= 0 e76752: [ 0.053249 x7101^2 + x21650^2 - x26450^2 ] <= 0 e76753: [ 0.053249 x7102^2 + x21651^2 - x26451^2 ] <= 0 e76754: [ 0.053249 x7103^2 + x21652^2 - x26452^2 ] <= 0 e76755: [ 0.053249 x7104^2 + x21653^2 - x26453^2 ] <= 0 e76756: [ 0.053249 x7105^2 + x21654^2 - x26454^2 ] <= 0 e76757: [ 0.011904 x7106^2 + x21655^2 - x26455^2 ] <= 0 e76758: [ 0.011904 x7107^2 + x21656^2 - x26456^2 ] <= 0 e76759: [ 0.011904 x7108^2 + x21657^2 - x26457^2 ] <= 0 e76760: [ 0.011904 x7109^2 + x21658^2 - x26458^2 ] <= 0 e76761: [ 0.011904 x7110^2 + x21659^2 - x26459^2 ] <= 0 e76762: [ 0.011904 x7111^2 + x21660^2 - x26460^2 ] <= 0 e76763: [ 0.011904 x7112^2 + x21661^2 - x26461^2 ] <= 0 e76764: [ 0.011904 x7113^2 + x21662^2 - x26462^2 ] <= 0 e76765: [ 0.011904 x7114^2 + x21663^2 - x26463^2 ] <= 0 e76766: [ 0.011904 x7115^2 + x21664^2 - x26464^2 ] <= 0 e76767: [ 0.011904 x7116^2 + x21665^2 - x26465^2 ] <= 0 e76768: [ 0.011904 x7117^2 + x21666^2 - x26466^2 ] <= 0 e76769: [ 0.011904 x7118^2 + x21667^2 - x26467^2 ] <= 0 e76770: [ 0.011904 x7119^2 + x21668^2 - x26468^2 ] <= 0 e76771: [ 0.011904 x7120^2 + x21669^2 - x26469^2 ] <= 0 e76772: [ 0.011904 x7121^2 + x21670^2 - x26470^2 ] <= 0 e76773: [ 0.011904 x7122^2 + x21671^2 - x26471^2 ] <= 0 e76774: [ 0.011904 x7123^2 + x21672^2 - x26472^2 ] <= 0 e76775: [ 0.011904 x7124^2 + x21673^2 - x26473^2 ] <= 0 e76776: [ 0.011904 x7125^2 + x21674^2 - x26474^2 ] <= 0 e76777: [ 0.011904 x7126^2 + x21675^2 - x26475^2 ] <= 0 e76778: [ 0.011904 x7127^2 + x21676^2 - x26476^2 ] <= 0 e76779: [ 0.011904 x7128^2 + x21677^2 - x26477^2 ] <= 0 e76780: [ 0.011904 x7129^2 + x21678^2 - x26478^2 ] <= 0 e76781: [ 0.015805 x7130^2 + x21679^2 - x26479^2 ] <= 0 e76782: [ 0.015805 x7131^2 + x21680^2 - x26480^2 ] <= 0 e76783: [ 0.015805 x7132^2 + x21681^2 - x26481^2 ] <= 0 e76784: [ 0.015805 x7133^2 + x21682^2 - x26482^2 ] <= 0 e76785: [ 0.015805 x7134^2 + x21683^2 - x26483^2 ] <= 0 e76786: [ 0.015805 x7135^2 + x21684^2 - x26484^2 ] <= 0 e76787: [ 0.015805 x7136^2 + x21685^2 - x26485^2 ] <= 0 e76788: [ 0.015805 x7137^2 + x21686^2 - x26486^2 ] <= 0 e76789: [ 0.015805 x7138^2 + x21687^2 - x26487^2 ] <= 0 e76790: [ 0.015805 x7139^2 + x21688^2 - x26488^2 ] <= 0 e76791: [ 0.015805 x7140^2 + x21689^2 - x26489^2 ] <= 0 e76792: [ 0.015805 x7141^2 + x21690^2 - x26490^2 ] <= 0 e76793: [ 0.015805 x7142^2 + x21691^2 - x26491^2 ] <= 0 e76794: [ 0.015805 x7143^2 + x21692^2 - x26492^2 ] <= 0 e76795: [ 0.015805 x7144^2 + x21693^2 - x26493^2 ] <= 0 e76796: [ 0.015805 x7145^2 + x21694^2 - x26494^2 ] <= 0 e76797: [ 0.015805 x7146^2 + x21695^2 - x26495^2 ] <= 0 e76798: [ 0.015805 x7147^2 + x21696^2 - x26496^2 ] <= 0 e76799: [ 0.015805 x7148^2 + x21697^2 - x26497^2 ] <= 0 e76800: [ 0.015805 x7149^2 + x21698^2 - x26498^2 ] <= 0 e76801: [ 0.015805 x7150^2 + x21699^2 - x26499^2 ] <= 0 e76802: [ 0.015805 x7151^2 + x21700^2 - x26500^2 ] <= 0 e76803: [ 0.015805 x7152^2 + x21701^2 - x26501^2 ] <= 0 e76804: [ 0.015805 x7153^2 + x21702^2 - x26502^2 ] <= 0 e76805: [ 0.072108 x7154^2 + x21703^2 - x26503^2 ] <= 0 e76806: [ 0.072108 x7155^2 + x21704^2 - x26504^2 ] <= 0 e76807: [ 0.072108 x7156^2 + x21705^2 - x26505^2 ] <= 0 e76808: [ 0.072108 x7157^2 + x21706^2 - x26506^2 ] <= 0 e76809: [ 0.072108 x7158^2 + x21707^2 - x26507^2 ] <= 0 e76810: [ 0.072108 x7159^2 + x21708^2 - x26508^2 ] <= 0 e76811: [ 0.072108 x7160^2 + x21709^2 - x26509^2 ] <= 0 e76812: [ 0.072108 x7161^2 + x21710^2 - x26510^2 ] <= 0 e76813: [ 0.072108 x7162^2 + x21711^2 - x26511^2 ] <= 0 e76814: [ 0.072108 x7163^2 + x21712^2 - x26512^2 ] <= 0 e76815: [ 0.072108 x7164^2 + x21713^2 - x26513^2 ] <= 0 e76816: [ 0.072108 x7165^2 + x21714^2 - x26514^2 ] <= 0 e76817: [ 0.072108 x7166^2 + x21715^2 - x26515^2 ] <= 0 e76818: [ 0.072108 x7167^2 + x21716^2 - x26516^2 ] <= 0 e76819: [ 0.072108 x7168^2 + x21717^2 - x26517^2 ] <= 0 e76820: [ 0.072108 x7169^2 + x21718^2 - x26518^2 ] <= 0 e76821: [ 0.072108 x7170^2 + x21719^2 - x26519^2 ] <= 0 e76822: [ 0.072108 x7171^2 + x21720^2 - x26520^2 ] <= 0 e76823: [ 0.072108 x7172^2 + x21721^2 - x26521^2 ] <= 0 e76824: [ 0.072108 x7173^2 + x21722^2 - x26522^2 ] <= 0 e76825: [ 0.072108 x7174^2 + x21723^2 - x26523^2 ] <= 0 e76826: [ 0.072108 x7175^2 + x21724^2 - x26524^2 ] <= 0 e76827: [ 0.072108 x7176^2 + x21725^2 - x26525^2 ] <= 0 e76828: [ 0.072108 x7177^2 + x21726^2 - x26526^2 ] <= 0 e76829: [ 0.021023 x7178^2 + x21727^2 - x26527^2 ] <= 0 e76830: [ 0.021023 x7179^2 + x21728^2 - x26528^2 ] <= 0 e76831: [ 0.021023 x7180^2 + x21729^2 - x26529^2 ] <= 0 e76832: [ 0.021023 x7181^2 + x21730^2 - x26530^2 ] <= 0 e76833: [ 0.021023 x7182^2 + x21731^2 - x26531^2 ] <= 0 e76834: [ 0.021023 x7183^2 + x21732^2 - x26532^2 ] <= 0 e76835: [ 0.021023 x7184^2 + x21733^2 - x26533^2 ] <= 0 e76836: [ 0.021023 x7185^2 + x21734^2 - x26534^2 ] <= 0 e76837: [ 0.021023 x7186^2 + x21735^2 - x26535^2 ] <= 0 e76838: [ 0.021023 x7187^2 + x21736^2 - x26536^2 ] <= 0 e76839: [ 0.021023 x7188^2 + x21737^2 - x26537^2 ] <= 0 e76840: [ 0.021023 x7189^2 + x21738^2 - x26538^2 ] <= 0 e76841: [ 0.021023 x7190^2 + x21739^2 - x26539^2 ] <= 0 e76842: [ 0.021023 x7191^2 + x21740^2 - x26540^2 ] <= 0 e76843: [ 0.021023 x7192^2 + x21741^2 - x26541^2 ] <= 0 e76844: [ 0.021023 x7193^2 + x21742^2 - x26542^2 ] <= 0 e76845: [ 0.021023 x7194^2 + x21743^2 - x26543^2 ] <= 0 e76846: [ 0.021023 x7195^2 + x21744^2 - x26544^2 ] <= 0 e76847: [ 0.021023 x7196^2 + x21745^2 - x26545^2 ] <= 0 e76848: [ 0.021023 x7197^2 + x21746^2 - x26546^2 ] <= 0 e76849: [ 0.021023 x7198^2 + x21747^2 - x26547^2 ] <= 0 e76850: [ 0.021023 x7199^2 + x21748^2 - x26548^2 ] <= 0 e76851: [ 0.021023 x7200^2 + x21749^2 - x26549^2 ] <= 0 e76852: [ 0.021023 x7201^2 + x21750^2 - x26550^2 ] <= 0 e76853: [ 0.007394 x7202^2 + x21751^2 - x26551^2 ] <= 0 e76854: [ 0.007394 x7203^2 + x21752^2 - x26552^2 ] <= 0 e76855: [ 0.007394 x7204^2 + x21753^2 - x26553^2 ] <= 0 e76856: [ 0.007394 x7205^2 + x21754^2 - x26554^2 ] <= 0 e76857: [ 0.007394 x7206^2 + x21755^2 - x26555^2 ] <= 0 e76858: [ 0.007394 x7207^2 + x21756^2 - x26556^2 ] <= 0 e76859: [ 0.007394 x7208^2 + x21757^2 - x26557^2 ] <= 0 e76860: [ 0.007394 x7209^2 + x21758^2 - x26558^2 ] <= 0 e76861: [ 0.007394 x7210^2 + x21759^2 - x26559^2 ] <= 0 e76862: [ 0.007394 x7211^2 + x21760^2 - x26560^2 ] <= 0 e76863: [ 0.007394 x7212^2 + x21761^2 - x26561^2 ] <= 0 e76864: [ 0.007394 x7213^2 + x21762^2 - x26562^2 ] <= 0 e76865: [ 0.007394 x7214^2 + x21763^2 - x26563^2 ] <= 0 e76866: [ 0.007394 x7215^2 + x21764^2 - x26564^2 ] <= 0 e76867: [ 0.007394 x7216^2 + x21765^2 - x26565^2 ] <= 0 e76868: [ 0.007394 x7217^2 + x21766^2 - x26566^2 ] <= 0 e76869: [ 0.007394 x7218^2 + x21767^2 - x26567^2 ] <= 0 e76870: [ 0.007394 x7219^2 + x21768^2 - x26568^2 ] <= 0 e76871: [ 0.007394 x7220^2 + x21769^2 - x26569^2 ] <= 0 e76872: [ 0.007394 x7221^2 + x21770^2 - x26570^2 ] <= 0 e76873: [ 0.007394 x7222^2 + x21771^2 - x26571^2 ] <= 0 e76874: [ 0.007394 x7223^2 + x21772^2 - x26572^2 ] <= 0 e76875: [ 0.007394 x7224^2 + x21773^2 - x26573^2 ] <= 0 e76876: [ 0.007394 x7225^2 + x21774^2 - x26574^2 ] <= 0 e76877: [ 0.008401 x7226^2 + x21775^2 - x26575^2 ] <= 0 e76878: [ 0.008401 x7227^2 + x21776^2 - x26576^2 ] <= 0 e76879: [ 0.008401 x7228^2 + x21777^2 - x26577^2 ] <= 0 e76880: [ 0.008401 x7229^2 + x21778^2 - x26578^2 ] <= 0 e76881: [ 0.008401 x7230^2 + x21779^2 - x26579^2 ] <= 0 e76882: [ 0.008401 x7231^2 + x21780^2 - x26580^2 ] <= 0 e76883: [ 0.008401 x7232^2 + x21781^2 - x26581^2 ] <= 0 e76884: [ 0.008401 x7233^2 + x21782^2 - x26582^2 ] <= 0 e76885: [ 0.008401 x7234^2 + x21783^2 - x26583^2 ] <= 0 e76886: [ 0.008401 x7235^2 + x21784^2 - x26584^2 ] <= 0 e76887: [ 0.008401 x7236^2 + x21785^2 - x26585^2 ] <= 0 e76888: [ 0.008401 x7237^2 + x21786^2 - x26586^2 ] <= 0 e76889: [ 0.008401 x7238^2 + x21787^2 - x26587^2 ] <= 0 e76890: [ 0.008401 x7239^2 + x21788^2 - x26588^2 ] <= 0 e76891: [ 0.008401 x7240^2 + x21789^2 - x26589^2 ] <= 0 e76892: [ 0.008401 x7241^2 + x21790^2 - x26590^2 ] <= 0 e76893: [ 0.008401 x7242^2 + x21791^2 - x26591^2 ] <= 0 e76894: [ 0.008401 x7243^2 + x21792^2 - x26592^2 ] <= 0 e76895: [ 0.008401 x7244^2 + x21793^2 - x26593^2 ] <= 0 e76896: [ 0.008401 x7245^2 + x21794^2 - x26594^2 ] <= 0 e76897: [ 0.008401 x7246^2 + x21795^2 - x26595^2 ] <= 0 e76898: [ 0.008401 x7247^2 + x21796^2 - x26596^2 ] <= 0 e76899: [ 0.008401 x7248^2 + x21797^2 - x26597^2 ] <= 0 e76900: [ 0.008401 x7249^2 + x21798^2 - x26598^2 ] <= 0 e76901: [ 0.008175 x7250^2 + x21799^2 - x26599^2 ] <= 0 e76902: [ 0.008175 x7251^2 + x21800^2 - x26600^2 ] <= 0 e76903: [ 0.008175 x7252^2 + x21801^2 - x26601^2 ] <= 0 e76904: [ 0.008175 x7253^2 + x21802^2 - x26602^2 ] <= 0 e76905: [ 0.008175 x7254^2 + x21803^2 - x26603^2 ] <= 0 e76906: [ 0.008175 x7255^2 + x21804^2 - x26604^2 ] <= 0 e76907: [ 0.008175 x7256^2 + x21805^2 - x26605^2 ] <= 0 e76908: [ 0.008175 x7257^2 + x21806^2 - x26606^2 ] <= 0 e76909: [ 0.008175 x7258^2 + x21807^2 - x26607^2 ] <= 0 e76910: [ 0.008175 x7259^2 + x21808^2 - x26608^2 ] <= 0 e76911: [ 0.008175 x7260^2 + x21809^2 - x26609^2 ] <= 0 e76912: [ 0.008175 x7261^2 + x21810^2 - x26610^2 ] <= 0 e76913: [ 0.008175 x7262^2 + x21811^2 - x26611^2 ] <= 0 e76914: [ 0.008175 x7263^2 + x21812^2 - x26612^2 ] <= 0 e76915: [ 0.008175 x7264^2 + x21813^2 - x26613^2 ] <= 0 e76916: [ 0.008175 x7265^2 + x21814^2 - x26614^2 ] <= 0 e76917: [ 0.008175 x7266^2 + x21815^2 - x26615^2 ] <= 0 e76918: [ 0.008175 x7267^2 + x21816^2 - x26616^2 ] <= 0 e76919: [ 0.008175 x7268^2 + x21817^2 - x26617^2 ] <= 0 e76920: [ 0.008175 x7269^2 + x21818^2 - x26618^2 ] <= 0 e76921: [ 0.008175 x7270^2 + x21819^2 - x26619^2 ] <= 0 e76922: [ 0.008175 x7271^2 + x21820^2 - x26620^2 ] <= 0 e76923: [ 0.008175 x7272^2 + x21821^2 - x26621^2 ] <= 0 e76924: [ 0.008175 x7273^2 + x21822^2 - x26622^2 ] <= 0 e76925: [ 0.008264 x7274^2 + x21823^2 - x26623^2 ] <= 0 e76926: [ 0.008264 x7275^2 + x21824^2 - x26624^2 ] <= 0 e76927: [ 0.008264 x7276^2 + x21825^2 - x26625^2 ] <= 0 e76928: [ 0.008264 x7277^2 + x21826^2 - x26626^2 ] <= 0 e76929: [ 0.008264 x7278^2 + x21827^2 - x26627^2 ] <= 0 e76930: [ 0.008264 x7279^2 + x21828^2 - x26628^2 ] <= 0 e76931: [ 0.008264 x7280^2 + x21829^2 - x26629^2 ] <= 0 e76932: [ 0.008264 x7281^2 + x21830^2 - x26630^2 ] <= 0 e76933: [ 0.008264 x7282^2 + x21831^2 - x26631^2 ] <= 0 e76934: [ 0.008264 x7283^2 + x21832^2 - x26632^2 ] <= 0 e76935: [ 0.008264 x7284^2 + x21833^2 - x26633^2 ] <= 0 e76936: [ 0.008264 x7285^2 + x21834^2 - x26634^2 ] <= 0 e76937: [ 0.008264 x7286^2 + x21835^2 - x26635^2 ] <= 0 e76938: [ 0.008264 x7287^2 + x21836^2 - x26636^2 ] <= 0 e76939: [ 0.008264 x7288^2 + x21837^2 - x26637^2 ] <= 0 e76940: [ 0.008264 x7289^2 + x21838^2 - x26638^2 ] <= 0 e76941: [ 0.008264 x7290^2 + x21839^2 - x26639^2 ] <= 0 e76942: [ 0.008264 x7291^2 + x21840^2 - x26640^2 ] <= 0 e76943: [ 0.008264 x7292^2 + x21841^2 - x26641^2 ] <= 0 e76944: [ 0.008264 x7293^2 + x21842^2 - x26642^2 ] <= 0 e76945: [ 0.008264 x7294^2 + x21843^2 - x26643^2 ] <= 0 e76946: [ 0.008264 x7295^2 + x21844^2 - x26644^2 ] <= 0 e76947: [ 0.008264 x7296^2 + x21845^2 - x26645^2 ] <= 0 e76948: [ 0.008264 x7297^2 + x21846^2 - x26646^2 ] <= 0 e76949: [ 0.008246 x7298^2 + x21847^2 - x26647^2 ] <= 0 e76950: [ 0.008246 x7299^2 + x21848^2 - x26648^2 ] <= 0 e76951: [ 0.008246 x7300^2 + x21849^2 - x26649^2 ] <= 0 e76952: [ 0.008246 x7301^2 + x21850^2 - x26650^2 ] <= 0 e76953: [ 0.008246 x7302^2 + x21851^2 - x26651^2 ] <= 0 e76954: [ 0.008246 x7303^2 + x21852^2 - x26652^2 ] <= 0 e76955: [ 0.008246 x7304^2 + x21853^2 - x26653^2 ] <= 0 e76956: [ 0.008246 x7305^2 + x21854^2 - x26654^2 ] <= 0 e76957: [ 0.008246 x7306^2 + x21855^2 - x26655^2 ] <= 0 e76958: [ 0.008246 x7307^2 + x21856^2 - x26656^2 ] <= 0 e76959: [ 0.008246 x7308^2 + x21857^2 - x26657^2 ] <= 0 e76960: [ 0.008246 x7309^2 + x21858^2 - x26658^2 ] <= 0 e76961: [ 0.008246 x7310^2 + x21859^2 - x26659^2 ] <= 0 e76962: [ 0.008246 x7311^2 + x21860^2 - x26660^2 ] <= 0 e76963: [ 0.008246 x7312^2 + x21861^2 - x26661^2 ] <= 0 e76964: [ 0.008246 x7313^2 + x21862^2 - x26662^2 ] <= 0 e76965: [ 0.008246 x7314^2 + x21863^2 - x26663^2 ] <= 0 e76966: [ 0.008246 x7315^2 + x21864^2 - x26664^2 ] <= 0 e76967: [ 0.008246 x7316^2 + x21865^2 - x26665^2 ] <= 0 e76968: [ 0.008246 x7317^2 + x21866^2 - x26666^2 ] <= 0 e76969: [ 0.008246 x7318^2 + x21867^2 - x26667^2 ] <= 0 e76970: [ 0.008246 x7319^2 + x21868^2 - x26668^2 ] <= 0 e76971: [ 0.008246 x7320^2 + x21869^2 - x26669^2 ] <= 0 e76972: [ 0.008246 x7321^2 + x21870^2 - x26670^2 ] <= 0 e76973: [ 0.008432 x7322^2 + x21871^2 - x26671^2 ] <= 0 e76974: [ 0.008432 x7323^2 + x21872^2 - x26672^2 ] <= 0 e76975: [ 0.008432 x7324^2 + x21873^2 - x26673^2 ] <= 0 e76976: [ 0.008432 x7325^2 + x21874^2 - x26674^2 ] <= 0 e76977: [ 0.008432 x7326^2 + x21875^2 - x26675^2 ] <= 0 e76978: [ 0.008432 x7327^2 + x21876^2 - x26676^2 ] <= 0 e76979: [ 0.008432 x7328^2 + x21877^2 - x26677^2 ] <= 0 e76980: [ 0.008432 x7329^2 + x21878^2 - x26678^2 ] <= 0 e76981: [ 0.008432 x7330^2 + x21879^2 - x26679^2 ] <= 0 e76982: [ 0.008432 x7331^2 + x21880^2 - x26680^2 ] <= 0 e76983: [ 0.008432 x7332^2 + x21881^2 - x26681^2 ] <= 0 e76984: [ 0.008432 x7333^2 + x21882^2 - x26682^2 ] <= 0 e76985: [ 0.008432 x7334^2 + x21883^2 - x26683^2 ] <= 0 e76986: [ 0.008432 x7335^2 + x21884^2 - x26684^2 ] <= 0 e76987: [ 0.008432 x7336^2 + x21885^2 - x26685^2 ] <= 0 e76988: [ 0.008432 x7337^2 + x21886^2 - x26686^2 ] <= 0 e76989: [ 0.008432 x7338^2 + x21887^2 - x26687^2 ] <= 0 e76990: [ 0.008432 x7339^2 + x21888^2 - x26688^2 ] <= 0 e76991: [ 0.008432 x7340^2 + x21889^2 - x26689^2 ] <= 0 e76992: [ 0.008432 x7341^2 + x21890^2 - x26690^2 ] <= 0 e76993: [ 0.008432 x7342^2 + x21891^2 - x26691^2 ] <= 0 e76994: [ 0.008432 x7343^2 + x21892^2 - x26692^2 ] <= 0 e76995: [ 0.008432 x7344^2 + x21893^2 - x26693^2 ] <= 0 e76996: [ 0.008432 x7345^2 + x21894^2 - x26694^2 ] <= 0 e76997: [ 0.008416 x7346^2 + x21895^2 - x26695^2 ] <= 0 e76998: [ 0.008416 x7347^2 + x21896^2 - x26696^2 ] <= 0 e76999: [ 0.008416 x7348^2 + x21897^2 - x26697^2 ] <= 0 e77000: [ 0.008416 x7349^2 + x21898^2 - x26698^2 ] <= 0 e77001: [ 0.008416 x7350^2 + x21899^2 - x26699^2 ] <= 0 e77002: [ 0.008416 x7351^2 + x21900^2 - x26700^2 ] <= 0 e77003: [ 0.008416 x7352^2 + x21901^2 - x26701^2 ] <= 0 e77004: [ 0.008416 x7353^2 + x21902^2 - x26702^2 ] <= 0 e77005: [ 0.008416 x7354^2 + x21903^2 - x26703^2 ] <= 0 e77006: [ 0.008416 x7355^2 + x21904^2 - x26704^2 ] <= 0 e77007: [ 0.008416 x7356^2 + x21905^2 - x26705^2 ] <= 0 e77008: [ 0.008416 x7357^2 + x21906^2 - x26706^2 ] <= 0 e77009: [ 0.008416 x7358^2 + x21907^2 - x26707^2 ] <= 0 e77010: [ 0.008416 x7359^2 + x21908^2 - x26708^2 ] <= 0 e77011: [ 0.008416 x7360^2 + x21909^2 - x26709^2 ] <= 0 e77012: [ 0.008416 x7361^2 + x21910^2 - x26710^2 ] <= 0 e77013: [ 0.008416 x7362^2 + x21911^2 - x26711^2 ] <= 0 e77014: [ 0.008416 x7363^2 + x21912^2 - x26712^2 ] <= 0 e77015: [ 0.008416 x7364^2 + x21913^2 - x26713^2 ] <= 0 e77016: [ 0.008416 x7365^2 + x21914^2 - x26714^2 ] <= 0 e77017: [ 0.008416 x7366^2 + x21915^2 - x26715^2 ] <= 0 e77018: [ 0.008416 x7367^2 + x21916^2 - x26716^2 ] <= 0 e77019: [ 0.008416 x7368^2 + x21917^2 - x26717^2 ] <= 0 e77020: [ 0.008416 x7369^2 + x21918^2 - x26718^2 ] <= 0 e77021: [ 0.008682 x7370^2 + x21919^2 - x26719^2 ] <= 0 e77022: [ 0.008682 x7371^2 + x21920^2 - x26720^2 ] <= 0 e77023: [ 0.008682 x7372^2 + x21921^2 - x26721^2 ] <= 0 e77024: [ 0.008682 x7373^2 + x21922^2 - x26722^2 ] <= 0 e77025: [ 0.008682 x7374^2 + x21923^2 - x26723^2 ] <= 0 e77026: [ 0.008682 x7375^2 + x21924^2 - x26724^2 ] <= 0 e77027: [ 0.008682 x7376^2 + x21925^2 - x26725^2 ] <= 0 e77028: [ 0.008682 x7377^2 + x21926^2 - x26726^2 ] <= 0 e77029: [ 0.008682 x7378^2 + x21927^2 - x26727^2 ] <= 0 e77030: [ 0.008682 x7379^2 + x21928^2 - x26728^2 ] <= 0 e77031: [ 0.008682 x7380^2 + x21929^2 - x26729^2 ] <= 0 e77032: [ 0.008682 x7381^2 + x21930^2 - x26730^2 ] <= 0 e77033: [ 0.008682 x7382^2 + x21931^2 - x26731^2 ] <= 0 e77034: [ 0.008682 x7383^2 + x21932^2 - x26732^2 ] <= 0 e77035: [ 0.008682 x7384^2 + x21933^2 - x26733^2 ] <= 0 e77036: [ 0.008682 x7385^2 + x21934^2 - x26734^2 ] <= 0 e77037: [ 0.008682 x7386^2 + x21935^2 - x26735^2 ] <= 0 e77038: [ 0.008682 x7387^2 + x21936^2 - x26736^2 ] <= 0 e77039: [ 0.008682 x7388^2 + x21937^2 - x26737^2 ] <= 0 e77040: [ 0.008682 x7389^2 + x21938^2 - x26738^2 ] <= 0 e77041: [ 0.008682 x7390^2 + x21939^2 - x26739^2 ] <= 0 e77042: [ 0.008682 x7391^2 + x21940^2 - x26740^2 ] <= 0 e77043: [ 0.008682 x7392^2 + x21941^2 - x26741^2 ] <= 0 e77044: [ 0.008682 x7393^2 + x21942^2 - x26742^2 ] <= 0 e77045: [ 0.007972 x7394^2 + x21943^2 - x26743^2 ] <= 0 e77046: [ 0.007972 x7395^2 + x21944^2 - x26744^2 ] <= 0 e77047: [ 0.007972 x7396^2 + x21945^2 - x26745^2 ] <= 0 e77048: [ 0.007972 x7397^2 + x21946^2 - x26746^2 ] <= 0 e77049: [ 0.007972 x7398^2 + x21947^2 - x26747^2 ] <= 0 e77050: [ 0.007972 x7399^2 + x21948^2 - x26748^2 ] <= 0 e77051: [ 0.007972 x7400^2 + x21949^2 - x26749^2 ] <= 0 e77052: [ 0.007972 x7401^2 + x21950^2 - x26750^2 ] <= 0 e77053: [ 0.007972 x7402^2 + x21951^2 - x26751^2 ] <= 0 e77054: [ 0.007972 x7403^2 + x21952^2 - x26752^2 ] <= 0 e77055: [ 0.007972 x7404^2 + x21953^2 - x26753^2 ] <= 0 e77056: [ 0.007972 x7405^2 + x21954^2 - x26754^2 ] <= 0 e77057: [ 0.007972 x7406^2 + x21955^2 - x26755^2 ] <= 0 e77058: [ 0.007972 x7407^2 + x21956^2 - x26756^2 ] <= 0 e77059: [ 0.007972 x7408^2 + x21957^2 - x26757^2 ] <= 0 e77060: [ 0.007972 x7409^2 + x21958^2 - x26758^2 ] <= 0 e77061: [ 0.007972 x7410^2 + x21959^2 - x26759^2 ] <= 0 e77062: [ 0.007972 x7411^2 + x21960^2 - x26760^2 ] <= 0 e77063: [ 0.007972 x7412^2 + x21961^2 - x26761^2 ] <= 0 e77064: [ 0.007972 x7413^2 + x21962^2 - x26762^2 ] <= 0 e77065: [ 0.007972 x7414^2 + x21963^2 - x26763^2 ] <= 0 e77066: [ 0.007972 x7415^2 + x21964^2 - x26764^2 ] <= 0 e77067: [ 0.007972 x7416^2 + x21965^2 - x26765^2 ] <= 0 e77068: [ 0.007972 x7417^2 + x21966^2 - x26766^2 ] <= 0 e77069: [ 0.008654 x7418^2 + x21967^2 - x26767^2 ] <= 0 e77070: [ 0.008654 x7419^2 + x21968^2 - x26768^2 ] <= 0 e77071: [ 0.008654 x7420^2 + x21969^2 - x26769^2 ] <= 0 e77072: [ 0.008654 x7421^2 + x21970^2 - x26770^2 ] <= 0 e77073: [ 0.008654 x7422^2 + x21971^2 - x26771^2 ] <= 0 e77074: [ 0.008654 x7423^2 + x21972^2 - x26772^2 ] <= 0 e77075: [ 0.008654 x7424^2 + x21973^2 - x26773^2 ] <= 0 e77076: [ 0.008654 x7425^2 + x21974^2 - x26774^2 ] <= 0 e77077: [ 0.008654 x7426^2 + x21975^2 - x26775^2 ] <= 0 e77078: [ 0.008654 x7427^2 + x21976^2 - x26776^2 ] <= 0 e77079: [ 0.008654 x7428^2 + x21977^2 - x26777^2 ] <= 0 e77080: [ 0.008654 x7429^2 + x21978^2 - x26778^2 ] <= 0 e77081: [ 0.008654 x7430^2 + x21979^2 - x26779^2 ] <= 0 e77082: [ 0.008654 x7431^2 + x21980^2 - x26780^2 ] <= 0 e77083: [ 0.008654 x7432^2 + x21981^2 - x26781^2 ] <= 0 e77084: [ 0.008654 x7433^2 + x21982^2 - x26782^2 ] <= 0 e77085: [ 0.008654 x7434^2 + x21983^2 - x26783^2 ] <= 0 e77086: [ 0.008654 x7435^2 + x21984^2 - x26784^2 ] <= 0 e77087: [ 0.008654 x7436^2 + x21985^2 - x26785^2 ] <= 0 e77088: [ 0.008654 x7437^2 + x21986^2 - x26786^2 ] <= 0 e77089: [ 0.008654 x7438^2 + x21987^2 - x26787^2 ] <= 0 e77090: [ 0.008654 x7439^2 + x21988^2 - x26788^2 ] <= 0 e77091: [ 0.008654 x7440^2 + x21989^2 - x26789^2 ] <= 0 e77092: [ 0.008654 x7441^2 + x21990^2 - x26790^2 ] <= 0 e77093: [ 0.008853 x7442^2 + x21991^2 - x26791^2 ] <= 0 e77094: [ 0.008853 x7443^2 + x21992^2 - x26792^2 ] <= 0 e77095: [ 0.008853 x7444^2 + x21993^2 - x26793^2 ] <= 0 e77096: [ 0.008853 x7445^2 + x21994^2 - x26794^2 ] <= 0 e77097: [ 0.008853 x7446^2 + x21995^2 - x26795^2 ] <= 0 e77098: [ 0.008853 x7447^2 + x21996^2 - x26796^2 ] <= 0 e77099: [ 0.008853 x7448^2 + x21997^2 - x26797^2 ] <= 0 e77100: [ 0.008853 x7449^2 + x21998^2 - x26798^2 ] <= 0 e77101: [ 0.008853 x7450^2 + x21999^2 - x26799^2 ] <= 0 e77102: [ 0.008853 x7451^2 + x22000^2 - x26800^2 ] <= 0 e77103: [ 0.008853 x7452^2 + x22001^2 - x26801^2 ] <= 0 e77104: [ 0.008853 x7453^2 + x22002^2 - x26802^2 ] <= 0 e77105: [ 0.008853 x7454^2 + x22003^2 - x26803^2 ] <= 0 e77106: [ 0.008853 x7455^2 + x22004^2 - x26804^2 ] <= 0 e77107: [ 0.008853 x7456^2 + x22005^2 - x26805^2 ] <= 0 e77108: [ 0.008853 x7457^2 + x22006^2 - x26806^2 ] <= 0 e77109: [ 0.008853 x7458^2 + x22007^2 - x26807^2 ] <= 0 e77110: [ 0.008853 x7459^2 + x22008^2 - x26808^2 ] <= 0 e77111: [ 0.008853 x7460^2 + x22009^2 - x26809^2 ] <= 0 e77112: [ 0.008853 x7461^2 + x22010^2 - x26810^2 ] <= 0 e77113: [ 0.008853 x7462^2 + x22011^2 - x26811^2 ] <= 0 e77114: [ 0.008853 x7463^2 + x22012^2 - x26812^2 ] <= 0 e77115: [ 0.008853 x7464^2 + x22013^2 - x26813^2 ] <= 0 e77116: [ 0.008853 x7465^2 + x22014^2 - x26814^2 ] <= 0 e77117: [ 0.0076 x7466^2 + x22015^2 - x26815^2 ] <= 0 e77118: [ 0.0076 x7467^2 + x22016^2 - x26816^2 ] <= 0 e77119: [ 0.0076 x7468^2 + x22017^2 - x26817^2 ] <= 0 e77120: [ 0.0076 x7469^2 + x22018^2 - x26818^2 ] <= 0 e77121: [ 0.0076 x7470^2 + x22019^2 - x26819^2 ] <= 0 e77122: [ 0.0076 x7471^2 + x22020^2 - x26820^2 ] <= 0 e77123: [ 0.0076 x7472^2 + x22021^2 - x26821^2 ] <= 0 e77124: [ 0.0076 x7473^2 + x22022^2 - x26822^2 ] <= 0 e77125: [ 0.0076 x7474^2 + x22023^2 - x26823^2 ] <= 0 e77126: [ 0.0076 x7475^2 + x22024^2 - x26824^2 ] <= 0 e77127: [ 0.0076 x7476^2 + x22025^2 - x26825^2 ] <= 0 e77128: [ 0.0076 x7477^2 + x22026^2 - x26826^2 ] <= 0 e77129: [ 0.0076 x7478^2 + x22027^2 - x26827^2 ] <= 0 e77130: [ 0.0076 x7479^2 + x22028^2 - x26828^2 ] <= 0 e77131: [ 0.0076 x7480^2 + x22029^2 - x26829^2 ] <= 0 e77132: [ 0.0076 x7481^2 + x22030^2 - x26830^2 ] <= 0 e77133: [ 0.0076 x7482^2 + x22031^2 - x26831^2 ] <= 0 e77134: [ 0.0076 x7483^2 + x22032^2 - x26832^2 ] <= 0 e77135: [ 0.0076 x7484^2 + x22033^2 - x26833^2 ] <= 0 e77136: [ 0.0076 x7485^2 + x22034^2 - x26834^2 ] <= 0 e77137: [ 0.0076 x7486^2 + x22035^2 - x26835^2 ] <= 0 e77138: [ 0.0076 x7487^2 + x22036^2 - x26836^2 ] <= 0 e77139: [ 0.0076 x7488^2 + x22037^2 - x26837^2 ] <= 0 e77140: [ 0.0076 x7489^2 + x22038^2 - x26838^2 ] <= 0 e77141: [ 0.008092 x7490^2 + x22039^2 - x26839^2 ] <= 0 e77142: [ 0.008092 x7491^2 + x22040^2 - x26840^2 ] <= 0 e77143: [ 0.008092 x7492^2 + x22041^2 - x26841^2 ] <= 0 e77144: [ 0.008092 x7493^2 + x22042^2 - x26842^2 ] <= 0 e77145: [ 0.008092 x7494^2 + x22043^2 - x26843^2 ] <= 0 e77146: [ 0.008092 x7495^2 + x22044^2 - x26844^2 ] <= 0 e77147: [ 0.008092 x7496^2 + x22045^2 - x26845^2 ] <= 0 e77148: [ 0.008092 x7497^2 + x22046^2 - x26846^2 ] <= 0 e77149: [ 0.008092 x7498^2 + x22047^2 - x26847^2 ] <= 0 e77150: [ 0.008092 x7499^2 + x22048^2 - x26848^2 ] <= 0 e77151: [ 0.008092 x7500^2 + x22049^2 - x26849^2 ] <= 0 e77152: [ 0.008092 x7501^2 + x22050^2 - x26850^2 ] <= 0 e77153: [ 0.008092 x7502^2 + x22051^2 - x26851^2 ] <= 0 e77154: [ 0.008092 x7503^2 + x22052^2 - x26852^2 ] <= 0 e77155: [ 0.008092 x7504^2 + x22053^2 - x26853^2 ] <= 0 e77156: [ 0.008092 x7505^2 + x22054^2 - x26854^2 ] <= 0 e77157: [ 0.008092 x7506^2 + x22055^2 - x26855^2 ] <= 0 e77158: [ 0.008092 x7507^2 + x22056^2 - x26856^2 ] <= 0 e77159: [ 0.008092 x7508^2 + x22057^2 - x26857^2 ] <= 0 e77160: [ 0.008092 x7509^2 + x22058^2 - x26858^2 ] <= 0 e77161: [ 0.008092 x7510^2 + x22059^2 - x26859^2 ] <= 0 e77162: [ 0.008092 x7511^2 + x22060^2 - x26860^2 ] <= 0 e77163: [ 0.008092 x7512^2 + x22061^2 - x26861^2 ] <= 0 e77164: [ 0.008092 x7513^2 + x22062^2 - x26862^2 ] <= 0 e77165: [ 0.007897 x7514^2 + x22063^2 - x26863^2 ] <= 0 e77166: [ 0.007897 x7515^2 + x22064^2 - x26864^2 ] <= 0 e77167: [ 0.007897 x7516^2 + x22065^2 - x26865^2 ] <= 0 e77168: [ 0.007897 x7517^2 + x22066^2 - x26866^2 ] <= 0 e77169: [ 0.007897 x7518^2 + x22067^2 - x26867^2 ] <= 0 e77170: [ 0.007897 x7519^2 + x22068^2 - x26868^2 ] <= 0 e77171: [ 0.007897 x7520^2 + x22069^2 - x26869^2 ] <= 0 e77172: [ 0.007897 x7521^2 + x22070^2 - x26870^2 ] <= 0 e77173: [ 0.007897 x7522^2 + x22071^2 - x26871^2 ] <= 0 e77174: [ 0.007897 x7523^2 + x22072^2 - x26872^2 ] <= 0 e77175: [ 0.007897 x7524^2 + x22073^2 - x26873^2 ] <= 0 e77176: [ 0.007897 x7525^2 + x22074^2 - x26874^2 ] <= 0 e77177: [ 0.007897 x7526^2 + x22075^2 - x26875^2 ] <= 0 e77178: [ 0.007897 x7527^2 + x22076^2 - x26876^2 ] <= 0 e77179: [ 0.007897 x7528^2 + x22077^2 - x26877^2 ] <= 0 e77180: [ 0.007897 x7529^2 + x22078^2 - x26878^2 ] <= 0 e77181: [ 0.007897 x7530^2 + x22079^2 - x26879^2 ] <= 0 e77182: [ 0.007897 x7531^2 + x22080^2 - x26880^2 ] <= 0 e77183: [ 0.007897 x7532^2 + x22081^2 - x26881^2 ] <= 0 e77184: [ 0.007897 x7533^2 + x22082^2 - x26882^2 ] <= 0 e77185: [ 0.007897 x7534^2 + x22083^2 - x26883^2 ] <= 0 e77186: [ 0.007897 x7535^2 + x22084^2 - x26884^2 ] <= 0 e77187: [ 0.007897 x7536^2 + x22085^2 - x26885^2 ] <= 0 e77188: [ 0.007897 x7537^2 + x22086^2 - x26886^2 ] <= 0 e77189: [ 0.008196 x7538^2 + x22087^2 - x26887^2 ] <= 0 e77190: [ 0.008196 x7539^2 + x22088^2 - x26888^2 ] <= 0 e77191: [ 0.008196 x7540^2 + x22089^2 - x26889^2 ] <= 0 e77192: [ 0.008196 x7541^2 + x22090^2 - x26890^2 ] <= 0 e77193: [ 0.008196 x7542^2 + x22091^2 - x26891^2 ] <= 0 e77194: [ 0.008196 x7543^2 + x22092^2 - x26892^2 ] <= 0 e77195: [ 0.008196 x7544^2 + x22093^2 - x26893^2 ] <= 0 e77196: [ 0.008196 x7545^2 + x22094^2 - x26894^2 ] <= 0 e77197: [ 0.008196 x7546^2 + x22095^2 - x26895^2 ] <= 0 e77198: [ 0.008196 x7547^2 + x22096^2 - x26896^2 ] <= 0 e77199: [ 0.008196 x7548^2 + x22097^2 - x26897^2 ] <= 0 e77200: [ 0.008196 x7549^2 + x22098^2 - x26898^2 ] <= 0 e77201: [ 0.008196 x7550^2 + x22099^2 - x26899^2 ] <= 0 e77202: [ 0.008196 x7551^2 + x22100^2 - x26900^2 ] <= 0 e77203: [ 0.008196 x7552^2 + x22101^2 - x26901^2 ] <= 0 e77204: [ 0.008196 x7553^2 + x22102^2 - x26902^2 ] <= 0 e77205: [ 0.008196 x7554^2 + x22103^2 - x26903^2 ] <= 0 e77206: [ 0.008196 x7555^2 + x22104^2 - x26904^2 ] <= 0 e77207: [ 0.008196 x7556^2 + x22105^2 - x26905^2 ] <= 0 e77208: [ 0.008196 x7557^2 + x22106^2 - x26906^2 ] <= 0 e77209: [ 0.008196 x7558^2 + x22107^2 - x26907^2 ] <= 0 e77210: [ 0.008196 x7559^2 + x22108^2 - x26908^2 ] <= 0 e77211: [ 0.008196 x7560^2 + x22109^2 - x26909^2 ] <= 0 e77212: [ 0.008196 x7561^2 + x22110^2 - x26910^2 ] <= 0 e77213: [ 0.007827 x7562^2 + x22111^2 - x26911^2 ] <= 0 e77214: [ 0.007827 x7563^2 + x22112^2 - x26912^2 ] <= 0 e77215: [ 0.007827 x7564^2 + x22113^2 - x26913^2 ] <= 0 e77216: [ 0.007827 x7565^2 + x22114^2 - x26914^2 ] <= 0 e77217: [ 0.007827 x7566^2 + x22115^2 - x26915^2 ] <= 0 e77218: [ 0.007827 x7567^2 + x22116^2 - x26916^2 ] <= 0 e77219: [ 0.007827 x7568^2 + x22117^2 - x26917^2 ] <= 0 e77220: [ 0.007827 x7569^2 + x22118^2 - x26918^2 ] <= 0 e77221: [ 0.007827 x7570^2 + x22119^2 - x26919^2 ] <= 0 e77222: [ 0.007827 x7571^2 + x22120^2 - x26920^2 ] <= 0 e77223: [ 0.007827 x7572^2 + x22121^2 - x26921^2 ] <= 0 e77224: [ 0.007827 x7573^2 + x22122^2 - x26922^2 ] <= 0 e77225: [ 0.007827 x7574^2 + x22123^2 - x26923^2 ] <= 0 e77226: [ 0.007827 x7575^2 + x22124^2 - x26924^2 ] <= 0 e77227: [ 0.007827 x7576^2 + x22125^2 - x26925^2 ] <= 0 e77228: [ 0.007827 x7577^2 + x22126^2 - x26926^2 ] <= 0 e77229: [ 0.007827 x7578^2 + x22127^2 - x26927^2 ] <= 0 e77230: [ 0.007827 x7579^2 + x22128^2 - x26928^2 ] <= 0 e77231: [ 0.007827 x7580^2 + x22129^2 - x26929^2 ] <= 0 e77232: [ 0.007827 x7581^2 + x22130^2 - x26930^2 ] <= 0 e77233: [ 0.007827 x7582^2 + x22131^2 - x26931^2 ] <= 0 e77234: [ 0.007827 x7583^2 + x22132^2 - x26932^2 ] <= 0 e77235: [ 0.007827 x7584^2 + x22133^2 - x26933^2 ] <= 0 e77236: [ 0.007827 x7585^2 + x22134^2 - x26934^2 ] <= 0 e77237: [ 0.008466 x7586^2 + x22135^2 - x26935^2 ] <= 0 e77238: [ 0.008466 x7587^2 + x22136^2 - x26936^2 ] <= 0 e77239: [ 0.008466 x7588^2 + x22137^2 - x26937^2 ] <= 0 e77240: [ 0.008466 x7589^2 + x22138^2 - x26938^2 ] <= 0 e77241: [ 0.008466 x7590^2 + x22139^2 - x26939^2 ] <= 0 e77242: [ 0.008466 x7591^2 + x22140^2 - x26940^2 ] <= 0 e77243: [ 0.008466 x7592^2 + x22141^2 - x26941^2 ] <= 0 e77244: [ 0.008466 x7593^2 + x22142^2 - x26942^2 ] <= 0 e77245: [ 0.008466 x7594^2 + x22143^2 - x26943^2 ] <= 0 e77246: [ 0.008466 x7595^2 + x22144^2 - x26944^2 ] <= 0 e77247: [ 0.008466 x7596^2 + x22145^2 - x26945^2 ] <= 0 e77248: [ 0.008466 x7597^2 + x22146^2 - x26946^2 ] <= 0 e77249: [ 0.008466 x7598^2 + x22147^2 - x26947^2 ] <= 0 e77250: [ 0.008466 x7599^2 + x22148^2 - x26948^2 ] <= 0 e77251: [ 0.008466 x7600^2 + x22149^2 - x26949^2 ] <= 0 e77252: [ 0.008466 x7601^2 + x22150^2 - x26950^2 ] <= 0 e77253: [ 0.008466 x7602^2 + x22151^2 - x26951^2 ] <= 0 e77254: [ 0.008466 x7603^2 + x22152^2 - x26952^2 ] <= 0 e77255: [ 0.008466 x7604^2 + x22153^2 - x26953^2 ] <= 0 e77256: [ 0.008466 x7605^2 + x22154^2 - x26954^2 ] <= 0 e77257: [ 0.008466 x7606^2 + x22155^2 - x26955^2 ] <= 0 e77258: [ 0.008466 x7607^2 + x22156^2 - x26956^2 ] <= 0 e77259: [ 0.008466 x7608^2 + x22157^2 - x26957^2 ] <= 0 e77260: [ 0.008466 x7609^2 + x22158^2 - x26958^2 ] <= 0 e77261: [ 0.008759 x7610^2 + x22159^2 - x26959^2 ] <= 0 e77262: [ 0.008759 x7611^2 + x22160^2 - x26960^2 ] <= 0 e77263: [ 0.008759 x7612^2 + x22161^2 - x26961^2 ] <= 0 e77264: [ 0.008759 x7613^2 + x22162^2 - x26962^2 ] <= 0 e77265: [ 0.008759 x7614^2 + x22163^2 - x26963^2 ] <= 0 e77266: [ 0.008759 x7615^2 + x22164^2 - x26964^2 ] <= 0 e77267: [ 0.008759 x7616^2 + x22165^2 - x26965^2 ] <= 0 e77268: [ 0.008759 x7617^2 + x22166^2 - x26966^2 ] <= 0 e77269: [ 0.008759 x7618^2 + x22167^2 - x26967^2 ] <= 0 e77270: [ 0.008759 x7619^2 + x22168^2 - x26968^2 ] <= 0 e77271: [ 0.008759 x7620^2 + x22169^2 - x26969^2 ] <= 0 e77272: [ 0.008759 x7621^2 + x22170^2 - x26970^2 ] <= 0 e77273: [ 0.008759 x7622^2 + x22171^2 - x26971^2 ] <= 0 e77274: [ 0.008759 x7623^2 + x22172^2 - x26972^2 ] <= 0 e77275: [ 0.008759 x7624^2 + x22173^2 - x26973^2 ] <= 0 e77276: [ 0.008759 x7625^2 + x22174^2 - x26974^2 ] <= 0 e77277: [ 0.008759 x7626^2 + x22175^2 - x26975^2 ] <= 0 e77278: [ 0.008759 x7627^2 + x22176^2 - x26976^2 ] <= 0 e77279: [ 0.008759 x7628^2 + x22177^2 - x26977^2 ] <= 0 e77280: [ 0.008759 x7629^2 + x22178^2 - x26978^2 ] <= 0 e77281: [ 0.008759 x7630^2 + x22179^2 - x26979^2 ] <= 0 e77282: [ 0.008759 x7631^2 + x22180^2 - x26980^2 ] <= 0 e77283: [ 0.008759 x7632^2 + x22181^2 - x26981^2 ] <= 0 e77284: [ 0.008759 x7633^2 + x22182^2 - x26982^2 ] <= 0 e77285: [ 0.00799 x7634^2 + x22183^2 - x26983^2 ] <= 0 e77286: [ 0.00799 x7635^2 + x22184^2 - x26984^2 ] <= 0 e77287: [ 0.00799 x7636^2 + x22185^2 - x26985^2 ] <= 0 e77288: [ 0.00799 x7637^2 + x22186^2 - x26986^2 ] <= 0 e77289: [ 0.00799 x7638^2 + x22187^2 - x26987^2 ] <= 0 e77290: [ 0.00799 x7639^2 + x22188^2 - x26988^2 ] <= 0 e77291: [ 0.00799 x7640^2 + x22189^2 - x26989^2 ] <= 0 e77292: [ 0.00799 x7641^2 + x22190^2 - x26990^2 ] <= 0 e77293: [ 0.00799 x7642^2 + x22191^2 - x26991^2 ] <= 0 e77294: [ 0.00799 x7643^2 + x22192^2 - x26992^2 ] <= 0 e77295: [ 0.00799 x7644^2 + x22193^2 - x26993^2 ] <= 0 e77296: [ 0.00799 x7645^2 + x22194^2 - x26994^2 ] <= 0 e77297: [ 0.00799 x7646^2 + x22195^2 - x26995^2 ] <= 0 e77298: [ 0.00799 x7647^2 + x22196^2 - x26996^2 ] <= 0 e77299: [ 0.00799 x7648^2 + x22197^2 - x26997^2 ] <= 0 e77300: [ 0.00799 x7649^2 + x22198^2 - x26998^2 ] <= 0 e77301: [ 0.00799 x7650^2 + x22199^2 - x26999^2 ] <= 0 e77302: [ 0.00799 x7651^2 + x22200^2 - x27000^2 ] <= 0 e77303: [ 0.00799 x7652^2 + x22201^2 - x27001^2 ] <= 0 e77304: [ 0.00799 x7653^2 + x22202^2 - x27002^2 ] <= 0 e77305: [ 0.00799 x7654^2 + x22203^2 - x27003^2 ] <= 0 e77306: [ 0.00799 x7655^2 + x22204^2 - x27004^2 ] <= 0 e77307: [ 0.00799 x7656^2 + x22205^2 - x27005^2 ] <= 0 e77308: [ 0.00799 x7657^2 + x22206^2 - x27006^2 ] <= 0 e77309: [ 0.008383 x7658^2 + x22207^2 - x27007^2 ] <= 0 e77310: [ 0.008383 x7659^2 + x22208^2 - x27008^2 ] <= 0 e77311: [ 0.008383 x7660^2 + x22209^2 - x27009^2 ] <= 0 e77312: [ 0.008383 x7661^2 + x22210^2 - x27010^2 ] <= 0 e77313: [ 0.008383 x7662^2 + x22211^2 - x27011^2 ] <= 0 e77314: [ 0.008383 x7663^2 + x22212^2 - x27012^2 ] <= 0 e77315: [ 0.008383 x7664^2 + x22213^2 - x27013^2 ] <= 0 e77316: [ 0.008383 x7665^2 + x22214^2 - x27014^2 ] <= 0 e77317: [ 0.008383 x7666^2 + x22215^2 - x27015^2 ] <= 0 e77318: [ 0.008383 x7667^2 + x22216^2 - x27016^2 ] <= 0 e77319: [ 0.008383 x7668^2 + x22217^2 - x27017^2 ] <= 0 e77320: [ 0.008383 x7669^2 + x22218^2 - x27018^2 ] <= 0 e77321: [ 0.008383 x7670^2 + x22219^2 - x27019^2 ] <= 0 e77322: [ 0.008383 x7671^2 + x22220^2 - x27020^2 ] <= 0 e77323: [ 0.008383 x7672^2 + x22221^2 - x27021^2 ] <= 0 e77324: [ 0.008383 x7673^2 + x22222^2 - x27022^2 ] <= 0 e77325: [ 0.008383 x7674^2 + x22223^2 - x27023^2 ] <= 0 e77326: [ 0.008383 x7675^2 + x22224^2 - x27024^2 ] <= 0 e77327: [ 0.008383 x7676^2 + x22225^2 - x27025^2 ] <= 0 e77328: [ 0.008383 x7677^2 + x22226^2 - x27026^2 ] <= 0 e77329: [ 0.008383 x7678^2 + x22227^2 - x27027^2 ] <= 0 e77330: [ 0.008383 x7679^2 + x22228^2 - x27028^2 ] <= 0 e77331: [ 0.008383 x7680^2 + x22229^2 - x27029^2 ] <= 0 e77332: [ 0.008383 x7681^2 + x22230^2 - x27030^2 ] <= 0 e77333: [ 0.008509 x7682^2 + x22231^2 - x27031^2 ] <= 0 e77334: [ 0.008509 x7683^2 + x22232^2 - x27032^2 ] <= 0 e77335: [ 0.008509 x7684^2 + x22233^2 - x27033^2 ] <= 0 e77336: [ 0.008509 x7685^2 + x22234^2 - x27034^2 ] <= 0 e77337: [ 0.008509 x7686^2 + x22235^2 - x27035^2 ] <= 0 e77338: [ 0.008509 x7687^2 + x22236^2 - x27036^2 ] <= 0 e77339: [ 0.008509 x7688^2 + x22237^2 - x27037^2 ] <= 0 e77340: [ 0.008509 x7689^2 + x22238^2 - x27038^2 ] <= 0 e77341: [ 0.008509 x7690^2 + x22239^2 - x27039^2 ] <= 0 e77342: [ 0.008509 x7691^2 + x22240^2 - x27040^2 ] <= 0 e77343: [ 0.008509 x7692^2 + x22241^2 - x27041^2 ] <= 0 e77344: [ 0.008509 x7693^2 + x22242^2 - x27042^2 ] <= 0 e77345: [ 0.008509 x7694^2 + x22243^2 - x27043^2 ] <= 0 e77346: [ 0.008509 x7695^2 + x22244^2 - x27044^2 ] <= 0 e77347: [ 0.008509 x7696^2 + x22245^2 - x27045^2 ] <= 0 e77348: [ 0.008509 x7697^2 + x22246^2 - x27046^2 ] <= 0 e77349: [ 0.008509 x7698^2 + x22247^2 - x27047^2 ] <= 0 e77350: [ 0.008509 x7699^2 + x22248^2 - x27048^2 ] <= 0 e77351: [ 0.008509 x7700^2 + x22249^2 - x27049^2 ] <= 0 e77352: [ 0.008509 x7701^2 + x22250^2 - x27050^2 ] <= 0 e77353: [ 0.008509 x7702^2 + x22251^2 - x27051^2 ] <= 0 e77354: [ 0.008509 x7703^2 + x22252^2 - x27052^2 ] <= 0 e77355: [ 0.008509 x7704^2 + x22253^2 - x27053^2 ] <= 0 e77356: [ 0.008509 x7705^2 + x22254^2 - x27054^2 ] <= 0 e77357: [ 0.007721 x7706^2 + x22255^2 - x27055^2 ] <= 0 e77358: [ 0.007721 x7707^2 + x22256^2 - x27056^2 ] <= 0 e77359: [ 0.007721 x7708^2 + x22257^2 - x27057^2 ] <= 0 e77360: [ 0.007721 x7709^2 + x22258^2 - x27058^2 ] <= 0 e77361: [ 0.007721 x7710^2 + x22259^2 - x27059^2 ] <= 0 e77362: [ 0.007721 x7711^2 + x22260^2 - x27060^2 ] <= 0 e77363: [ 0.007721 x7712^2 + x22261^2 - x27061^2 ] <= 0 e77364: [ 0.007721 x7713^2 + x22262^2 - x27062^2 ] <= 0 e77365: [ 0.007721 x7714^2 + x22263^2 - x27063^2 ] <= 0 e77366: [ 0.007721 x7715^2 + x22264^2 - x27064^2 ] <= 0 e77367: [ 0.007721 x7716^2 + x22265^2 - x27065^2 ] <= 0 e77368: [ 0.007721 x7717^2 + x22266^2 - x27066^2 ] <= 0 e77369: [ 0.007721 x7718^2 + x22267^2 - x27067^2 ] <= 0 e77370: [ 0.007721 x7719^2 + x22268^2 - x27068^2 ] <= 0 e77371: [ 0.007721 x7720^2 + x22269^2 - x27069^2 ] <= 0 e77372: [ 0.007721 x7721^2 + x22270^2 - x27070^2 ] <= 0 e77373: [ 0.007721 x7722^2 + x22271^2 - x27071^2 ] <= 0 e77374: [ 0.007721 x7723^2 + x22272^2 - x27072^2 ] <= 0 e77375: [ 0.007721 x7724^2 + x22273^2 - x27073^2 ] <= 0 e77376: [ 0.007721 x7725^2 + x22274^2 - x27074^2 ] <= 0 e77377: [ 0.007721 x7726^2 + x22275^2 - x27075^2 ] <= 0 e77378: [ 0.007721 x7727^2 + x22276^2 - x27076^2 ] <= 0 e77379: [ 0.007721 x7728^2 + x22277^2 - x27077^2 ] <= 0 e77380: [ 0.007721 x7729^2 + x22278^2 - x27078^2 ] <= 0 e77381: [ 0.008144 x7730^2 + x22279^2 - x27079^2 ] <= 0 e77382: [ 0.008144 x7731^2 + x22280^2 - x27080^2 ] <= 0 e77383: [ 0.008144 x7732^2 + x22281^2 - x27081^2 ] <= 0 e77384: [ 0.008144 x7733^2 + x22282^2 - x27082^2 ] <= 0 e77385: [ 0.008144 x7734^2 + x22283^2 - x27083^2 ] <= 0 e77386: [ 0.008144 x7735^2 + x22284^2 - x27084^2 ] <= 0 e77387: [ 0.008144 x7736^2 + x22285^2 - x27085^2 ] <= 0 e77388: [ 0.008144 x7737^2 + x22286^2 - x27086^2 ] <= 0 e77389: [ 0.008144 x7738^2 + x22287^2 - x27087^2 ] <= 0 e77390: [ 0.008144 x7739^2 + x22288^2 - x27088^2 ] <= 0 e77391: [ 0.008144 x7740^2 + x22289^2 - x27089^2 ] <= 0 e77392: [ 0.008144 x7741^2 + x22290^2 - x27090^2 ] <= 0 e77393: [ 0.008144 x7742^2 + x22291^2 - x27091^2 ] <= 0 e77394: [ 0.008144 x7743^2 + x22292^2 - x27092^2 ] <= 0 e77395: [ 0.008144 x7744^2 + x22293^2 - x27093^2 ] <= 0 e77396: [ 0.008144 x7745^2 + x22294^2 - x27094^2 ] <= 0 e77397: [ 0.008144 x7746^2 + x22295^2 - x27095^2 ] <= 0 e77398: [ 0.008144 x7747^2 + x22296^2 - x27096^2 ] <= 0 e77399: [ 0.008144 x7748^2 + x22297^2 - x27097^2 ] <= 0 e77400: [ 0.008144 x7749^2 + x22298^2 - x27098^2 ] <= 0 e77401: [ 0.008144 x7750^2 + x22299^2 - x27099^2 ] <= 0 e77402: [ 0.008144 x7751^2 + x22300^2 - x27100^2 ] <= 0 e77403: [ 0.008144 x7752^2 + x22301^2 - x27101^2 ] <= 0 e77404: [ 0.008144 x7753^2 + x22302^2 - x27102^2 ] <= 0 e77405: [ 0.008081 x7754^2 + x22303^2 - x27103^2 ] <= 0 e77406: [ 0.008081 x7755^2 + x22304^2 - x27104^2 ] <= 0 e77407: [ 0.008081 x7756^2 + x22305^2 - x27105^2 ] <= 0 e77408: [ 0.008081 x7757^2 + x22306^2 - x27106^2 ] <= 0 e77409: [ 0.008081 x7758^2 + x22307^2 - x27107^2 ] <= 0 e77410: [ 0.008081 x7759^2 + x22308^2 - x27108^2 ] <= 0 e77411: [ 0.008081 x7760^2 + x22309^2 - x27109^2 ] <= 0 e77412: [ 0.008081 x7761^2 + x22310^2 - x27110^2 ] <= 0 e77413: [ 0.008081 x7762^2 + x22311^2 - x27111^2 ] <= 0 e77414: [ 0.008081 x7763^2 + x22312^2 - x27112^2 ] <= 0 e77415: [ 0.008081 x7764^2 + x22313^2 - x27113^2 ] <= 0 e77416: [ 0.008081 x7765^2 + x22314^2 - x27114^2 ] <= 0 e77417: [ 0.008081 x7766^2 + x22315^2 - x27115^2 ] <= 0 e77418: [ 0.008081 x7767^2 + x22316^2 - x27116^2 ] <= 0 e77419: [ 0.008081 x7768^2 + x22317^2 - x27117^2 ] <= 0 e77420: [ 0.008081 x7769^2 + x22318^2 - x27118^2 ] <= 0 e77421: [ 0.008081 x7770^2 + x22319^2 - x27119^2 ] <= 0 e77422: [ 0.008081 x7771^2 + x22320^2 - x27120^2 ] <= 0 e77423: [ 0.008081 x7772^2 + x22321^2 - x27121^2 ] <= 0 e77424: [ 0.008081 x7773^2 + x22322^2 - x27122^2 ] <= 0 e77425: [ 0.008081 x7774^2 + x22323^2 - x27123^2 ] <= 0 e77426: [ 0.008081 x7775^2 + x22324^2 - x27124^2 ] <= 0 e77427: [ 0.008081 x7776^2 + x22325^2 - x27125^2 ] <= 0 e77428: [ 0.008081 x7777^2 + x22326^2 - x27126^2 ] <= 0 e77429: [ 0.008021 x7778^2 + x22327^2 - x27127^2 ] <= 0 e77430: [ 0.008021 x7779^2 + x22328^2 - x27128^2 ] <= 0 e77431: [ 0.008021 x7780^2 + x22329^2 - x27129^2 ] <= 0 e77432: [ 0.008021 x7781^2 + x22330^2 - x27130^2 ] <= 0 e77433: [ 0.008021 x7782^2 + x22331^2 - x27131^2 ] <= 0 e77434: [ 0.008021 x7783^2 + x22332^2 - x27132^2 ] <= 0 e77435: [ 0.008021 x7784^2 + x22333^2 - x27133^2 ] <= 0 e77436: [ 0.008021 x7785^2 + x22334^2 - x27134^2 ] <= 0 e77437: [ 0.008021 x7786^2 + x22335^2 - x27135^2 ] <= 0 e77438: [ 0.008021 x7787^2 + x22336^2 - x27136^2 ] <= 0 e77439: [ 0.008021 x7788^2 + x22337^2 - x27137^2 ] <= 0 e77440: [ 0.008021 x7789^2 + x22338^2 - x27138^2 ] <= 0 e77441: [ 0.008021 x7790^2 + x22339^2 - x27139^2 ] <= 0 e77442: [ 0.008021 x7791^2 + x22340^2 - x27140^2 ] <= 0 e77443: [ 0.008021 x7792^2 + x22341^2 - x27141^2 ] <= 0 e77444: [ 0.008021 x7793^2 + x22342^2 - x27142^2 ] <= 0 e77445: [ 0.008021 x7794^2 + x22343^2 - x27143^2 ] <= 0 e77446: [ 0.008021 x7795^2 + x22344^2 - x27144^2 ] <= 0 e77447: [ 0.008021 x7796^2 + x22345^2 - x27145^2 ] <= 0 e77448: [ 0.008021 x7797^2 + x22346^2 - x27146^2 ] <= 0 e77449: [ 0.008021 x7798^2 + x22347^2 - x27147^2 ] <= 0 e77450: [ 0.008021 x7799^2 + x22348^2 - x27148^2 ] <= 0 e77451: [ 0.008021 x7800^2 + x22349^2 - x27149^2 ] <= 0 e77452: [ 0.008021 x7801^2 + x22350^2 - x27150^2 ] <= 0 e77453: [ 0.007779 x7802^2 + x22351^2 - x27151^2 ] <= 0 e77454: [ 0.007779 x7803^2 + x22352^2 - x27152^2 ] <= 0 e77455: [ 0.007779 x7804^2 + x22353^2 - x27153^2 ] <= 0 e77456: [ 0.007779 x7805^2 + x22354^2 - x27154^2 ] <= 0 e77457: [ 0.007779 x7806^2 + x22355^2 - x27155^2 ] <= 0 e77458: [ 0.007779 x7807^2 + x22356^2 - x27156^2 ] <= 0 e77459: [ 0.007779 x7808^2 + x22357^2 - x27157^2 ] <= 0 e77460: [ 0.007779 x7809^2 + x22358^2 - x27158^2 ] <= 0 e77461: [ 0.007779 x7810^2 + x22359^2 - x27159^2 ] <= 0 e77462: [ 0.007779 x7811^2 + x22360^2 - x27160^2 ] <= 0 e77463: [ 0.007779 x7812^2 + x22361^2 - x27161^2 ] <= 0 e77464: [ 0.007779 x7813^2 + x22362^2 - x27162^2 ] <= 0 e77465: [ 0.007779 x7814^2 + x22363^2 - x27163^2 ] <= 0 e77466: [ 0.007779 x7815^2 + x22364^2 - x27164^2 ] <= 0 e77467: [ 0.007779 x7816^2 + x22365^2 - x27165^2 ] <= 0 e77468: [ 0.007779 x7817^2 + x22366^2 - x27166^2 ] <= 0 e77469: [ 0.007779 x7818^2 + x22367^2 - x27167^2 ] <= 0 e77470: [ 0.007779 x7819^2 + x22368^2 - x27168^2 ] <= 0 e77471: [ 0.007779 x7820^2 + x22369^2 - x27169^2 ] <= 0 e77472: [ 0.007779 x7821^2 + x22370^2 - x27170^2 ] <= 0 e77473: [ 0.007779 x7822^2 + x22371^2 - x27171^2 ] <= 0 e77474: [ 0.007779 x7823^2 + x22372^2 - x27172^2 ] <= 0 e77475: [ 0.007779 x7824^2 + x22373^2 - x27173^2 ] <= 0 e77476: [ 0.007779 x7825^2 + x22374^2 - x27174^2 ] <= 0 e77477: [ 0.008032 x7826^2 + x22375^2 - x27175^2 ] <= 0 e77478: [ 0.008032 x7827^2 + x22376^2 - x27176^2 ] <= 0 e77479: [ 0.008032 x7828^2 + x22377^2 - x27177^2 ] <= 0 e77480: [ 0.008032 x7829^2 + x22378^2 - x27178^2 ] <= 0 e77481: [ 0.008032 x7830^2 + x22379^2 - x27179^2 ] <= 0 e77482: [ 0.008032 x7831^2 + x22380^2 - x27180^2 ] <= 0 e77483: [ 0.008032 x7832^2 + x22381^2 - x27181^2 ] <= 0 e77484: [ 0.008032 x7833^2 + x22382^2 - x27182^2 ] <= 0 e77485: [ 0.008032 x7834^2 + x22383^2 - x27183^2 ] <= 0 e77486: [ 0.008032 x7835^2 + x22384^2 - x27184^2 ] <= 0 e77487: [ 0.008032 x7836^2 + x22385^2 - x27185^2 ] <= 0 e77488: [ 0.008032 x7837^2 + x22386^2 - x27186^2 ] <= 0 e77489: [ 0.008032 x7838^2 + x22387^2 - x27187^2 ] <= 0 e77490: [ 0.008032 x7839^2 + x22388^2 - x27188^2 ] <= 0 e77491: [ 0.008032 x7840^2 + x22389^2 - x27189^2 ] <= 0 e77492: [ 0.008032 x7841^2 + x22390^2 - x27190^2 ] <= 0 e77493: [ 0.008032 x7842^2 + x22391^2 - x27191^2 ] <= 0 e77494: [ 0.008032 x7843^2 + x22392^2 - x27192^2 ] <= 0 e77495: [ 0.008032 x7844^2 + x22393^2 - x27193^2 ] <= 0 e77496: [ 0.008032 x7845^2 + x22394^2 - x27194^2 ] <= 0 e77497: [ 0.008032 x7846^2 + x22395^2 - x27195^2 ] <= 0 e77498: [ 0.008032 x7847^2 + x22396^2 - x27196^2 ] <= 0 e77499: [ 0.008032 x7848^2 + x22397^2 - x27197^2 ] <= 0 e77500: [ 0.008032 x7849^2 + x22398^2 - x27198^2 ] <= 0 e77501: [ 0.008109 x7850^2 + x22399^2 - x27199^2 ] <= 0 e77502: [ 0.008109 x7851^2 + x22400^2 - x27200^2 ] <= 0 e77503: [ 0.008109 x7852^2 + x22401^2 - x27201^2 ] <= 0 e77504: [ 0.008109 x7853^2 + x22402^2 - x27202^2 ] <= 0 e77505: [ 0.008109 x7854^2 + x22403^2 - x27203^2 ] <= 0 e77506: [ 0.008109 x7855^2 + x22404^2 - x27204^2 ] <= 0 e77507: [ 0.008109 x7856^2 + x22405^2 - x27205^2 ] <= 0 e77508: [ 0.008109 x7857^2 + x22406^2 - x27206^2 ] <= 0 e77509: [ 0.008109 x7858^2 + x22407^2 - x27207^2 ] <= 0 e77510: [ 0.008109 x7859^2 + x22408^2 - x27208^2 ] <= 0 e77511: [ 0.008109 x7860^2 + x22409^2 - x27209^2 ] <= 0 e77512: [ 0.008109 x7861^2 + x22410^2 - x27210^2 ] <= 0 e77513: [ 0.008109 x7862^2 + x22411^2 - x27211^2 ] <= 0 e77514: [ 0.008109 x7863^2 + x22412^2 - x27212^2 ] <= 0 e77515: [ 0.008109 x7864^2 + x22413^2 - x27213^2 ] <= 0 e77516: [ 0.008109 x7865^2 + x22414^2 - x27214^2 ] <= 0 e77517: [ 0.008109 x7866^2 + x22415^2 - x27215^2 ] <= 0 e77518: [ 0.008109 x7867^2 + x22416^2 - x27216^2 ] <= 0 e77519: [ 0.008109 x7868^2 + x22417^2 - x27217^2 ] <= 0 e77520: [ 0.008109 x7869^2 + x22418^2 - x27218^2 ] <= 0 e77521: [ 0.008109 x7870^2 + x22419^2 - x27219^2 ] <= 0 e77522: [ 0.008109 x7871^2 + x22420^2 - x27220^2 ] <= 0 e77523: [ 0.008109 x7872^2 + x22421^2 - x27221^2 ] <= 0 e77524: [ 0.008109 x7873^2 + x22422^2 - x27222^2 ] <= 0 e77525: [ 0.008429 x7874^2 + x22423^2 - x27223^2 ] <= 0 e77526: [ 0.008429 x7875^2 + x22424^2 - x27224^2 ] <= 0 e77527: [ 0.008429 x7876^2 + x22425^2 - x27225^2 ] <= 0 e77528: [ 0.008429 x7877^2 + x22426^2 - x27226^2 ] <= 0 e77529: [ 0.008429 x7878^2 + x22427^2 - x27227^2 ] <= 0 e77530: [ 0.008429 x7879^2 + x22428^2 - x27228^2 ] <= 0 e77531: [ 0.008429 x7880^2 + x22429^2 - x27229^2 ] <= 0 e77532: [ 0.008429 x7881^2 + x22430^2 - x27230^2 ] <= 0 e77533: [ 0.008429 x7882^2 + x22431^2 - x27231^2 ] <= 0 e77534: [ 0.008429 x7883^2 + x22432^2 - x27232^2 ] <= 0 e77535: [ 0.008429 x7884^2 + x22433^2 - x27233^2 ] <= 0 e77536: [ 0.008429 x7885^2 + x22434^2 - x27234^2 ] <= 0 e77537: [ 0.008429 x7886^2 + x22435^2 - x27235^2 ] <= 0 e77538: [ 0.008429 x7887^2 + x22436^2 - x27236^2 ] <= 0 e77539: [ 0.008429 x7888^2 + x22437^2 - x27237^2 ] <= 0 e77540: [ 0.008429 x7889^2 + x22438^2 - x27238^2 ] <= 0 e77541: [ 0.008429 x7890^2 + x22439^2 - x27239^2 ] <= 0 e77542: [ 0.008429 x7891^2 + x22440^2 - x27240^2 ] <= 0 e77543: [ 0.008429 x7892^2 + x22441^2 - x27241^2 ] <= 0 e77544: [ 0.008429 x7893^2 + x22442^2 - x27242^2 ] <= 0 e77545: [ 0.008429 x7894^2 + x22443^2 - x27243^2 ] <= 0 e77546: [ 0.008429 x7895^2 + x22444^2 - x27244^2 ] <= 0 e77547: [ 0.008429 x7896^2 + x22445^2 - x27245^2 ] <= 0 e77548: [ 0.008429 x7897^2 + x22446^2 - x27246^2 ] <= 0 e77549: [ 0.007995 x7898^2 + x22447^2 - x27247^2 ] <= 0 e77550: [ 0.007995 x7899^2 + x22448^2 - x27248^2 ] <= 0 e77551: [ 0.007995 x7900^2 + x22449^2 - x27249^2 ] <= 0 e77552: [ 0.007995 x7901^2 + x22450^2 - x27250^2 ] <= 0 e77553: [ 0.007995 x7902^2 + x22451^2 - x27251^2 ] <= 0 e77554: [ 0.007995 x7903^2 + x22452^2 - x27252^2 ] <= 0 e77555: [ 0.007995 x7904^2 + x22453^2 - x27253^2 ] <= 0 e77556: [ 0.007995 x7905^2 + x22454^2 - x27254^2 ] <= 0 e77557: [ 0.007995 x7906^2 + x22455^2 - x27255^2 ] <= 0 e77558: [ 0.007995 x7907^2 + x22456^2 - x27256^2 ] <= 0 e77559: [ 0.007995 x7908^2 + x22457^2 - x27257^2 ] <= 0 e77560: [ 0.007995 x7909^2 + x22458^2 - x27258^2 ] <= 0 e77561: [ 0.007995 x7910^2 + x22459^2 - x27259^2 ] <= 0 e77562: [ 0.007995 x7911^2 + x22460^2 - x27260^2 ] <= 0 e77563: [ 0.007995 x7912^2 + x22461^2 - x27261^2 ] <= 0 e77564: [ 0.007995 x7913^2 + x22462^2 - x27262^2 ] <= 0 e77565: [ 0.007995 x7914^2 + x22463^2 - x27263^2 ] <= 0 e77566: [ 0.007995 x7915^2 + x22464^2 - x27264^2 ] <= 0 e77567: [ 0.007995 x7916^2 + x22465^2 - x27265^2 ] <= 0 e77568: [ 0.007995 x7917^2 + x22466^2 - x27266^2 ] <= 0 e77569: [ 0.007995 x7918^2 + x22467^2 - x27267^2 ] <= 0 e77570: [ 0.007995 x7919^2 + x22468^2 - x27268^2 ] <= 0 e77571: [ 0.007995 x7920^2 + x22469^2 - x27269^2 ] <= 0 e77572: [ 0.007995 x7921^2 + x22470^2 - x27270^2 ] <= 0 e77573: [ 0.008123 x7922^2 + x22471^2 - x27271^2 ] <= 0 e77574: [ 0.008123 x7923^2 + x22472^2 - x27272^2 ] <= 0 e77575: [ 0.008123 x7924^2 + x22473^2 - x27273^2 ] <= 0 e77576: [ 0.008123 x7925^2 + x22474^2 - x27274^2 ] <= 0 e77577: [ 0.008123 x7926^2 + x22475^2 - x27275^2 ] <= 0 e77578: [ 0.008123 x7927^2 + x22476^2 - x27276^2 ] <= 0 e77579: [ 0.008123 x7928^2 + x22477^2 - x27277^2 ] <= 0 e77580: [ 0.008123 x7929^2 + x22478^2 - x27278^2 ] <= 0 e77581: [ 0.008123 x7930^2 + x22479^2 - x27279^2 ] <= 0 e77582: [ 0.008123 x7931^2 + x22480^2 - x27280^2 ] <= 0 e77583: [ 0.008123 x7932^2 + x22481^2 - x27281^2 ] <= 0 e77584: [ 0.008123 x7933^2 + x22482^2 - x27282^2 ] <= 0 e77585: [ 0.008123 x7934^2 + x22483^2 - x27283^2 ] <= 0 e77586: [ 0.008123 x7935^2 + x22484^2 - x27284^2 ] <= 0 e77587: [ 0.008123 x7936^2 + x22485^2 - x27285^2 ] <= 0 e77588: [ 0.008123 x7937^2 + x22486^2 - x27286^2 ] <= 0 e77589: [ 0.008123 x7938^2 + x22487^2 - x27287^2 ] <= 0 e77590: [ 0.008123 x7939^2 + x22488^2 - x27288^2 ] <= 0 e77591: [ 0.008123 x7940^2 + x22489^2 - x27289^2 ] <= 0 e77592: [ 0.008123 x7941^2 + x22490^2 - x27290^2 ] <= 0 e77593: [ 0.008123 x7942^2 + x22491^2 - x27291^2 ] <= 0 e77594: [ 0.008123 x7943^2 + x22492^2 - x27292^2 ] <= 0 e77595: [ 0.008123 x7944^2 + x22493^2 - x27293^2 ] <= 0 e77596: [ 0.008123 x7945^2 + x22494^2 - x27294^2 ] <= 0 e77597: [ 0.007702 x7946^2 + x22495^2 - x27295^2 ] <= 0 e77598: [ 0.007702 x7947^2 + x22496^2 - x27296^2 ] <= 0 e77599: [ 0.007702 x7948^2 + x22497^2 - x27297^2 ] <= 0 e77600: [ 0.007702 x7949^2 + x22498^2 - x27298^2 ] <= 0 e77601: [ 0.007702 x7950^2 + x22499^2 - x27299^2 ] <= 0 e77602: [ 0.007702 x7951^2 + x22500^2 - x27300^2 ] <= 0 e77603: [ 0.007702 x7952^2 + x22501^2 - x27301^2 ] <= 0 e77604: [ 0.007702 x7953^2 + x22502^2 - x27302^2 ] <= 0 e77605: [ 0.007702 x7954^2 + x22503^2 - x27303^2 ] <= 0 e77606: [ 0.007702 x7955^2 + x22504^2 - x27304^2 ] <= 0 e77607: [ 0.007702 x7956^2 + x22505^2 - x27305^2 ] <= 0 e77608: [ 0.007702 x7957^2 + x22506^2 - x27306^2 ] <= 0 e77609: [ 0.007702 x7958^2 + x22507^2 - x27307^2 ] <= 0 e77610: [ 0.007702 x7959^2 + x22508^2 - x27308^2 ] <= 0 e77611: [ 0.007702 x7960^2 + x22509^2 - x27309^2 ] <= 0 e77612: [ 0.007702 x7961^2 + x22510^2 - x27310^2 ] <= 0 e77613: [ 0.007702 x7962^2 + x22511^2 - x27311^2 ] <= 0 e77614: [ 0.007702 x7963^2 + x22512^2 - x27312^2 ] <= 0 e77615: [ 0.007702 x7964^2 + x22513^2 - x27313^2 ] <= 0 e77616: [ 0.007702 x7965^2 + x22514^2 - x27314^2 ] <= 0 e77617: [ 0.007702 x7966^2 + x22515^2 - x27315^2 ] <= 0 e77618: [ 0.007702 x7967^2 + x22516^2 - x27316^2 ] <= 0 e77619: [ 0.007702 x7968^2 + x22517^2 - x27317^2 ] <= 0 e77620: [ 0.007702 x7969^2 + x22518^2 - x27318^2 ] <= 0 e77621: [ 0.008598 x7970^2 + x22519^2 - x27319^2 ] <= 0 e77622: [ 0.008598 x7971^2 + x22520^2 - x27320^2 ] <= 0 e77623: [ 0.008598 x7972^2 + x22521^2 - x27321^2 ] <= 0 e77624: [ 0.008598 x7973^2 + x22522^2 - x27322^2 ] <= 0 e77625: [ 0.008598 x7974^2 + x22523^2 - x27323^2 ] <= 0 e77626: [ 0.008598 x7975^2 + x22524^2 - x27324^2 ] <= 0 e77627: [ 0.008598 x7976^2 + x22525^2 - x27325^2 ] <= 0 e77628: [ 0.008598 x7977^2 + x22526^2 - x27326^2 ] <= 0 e77629: [ 0.008598 x7978^2 + x22527^2 - x27327^2 ] <= 0 e77630: [ 0.008598 x7979^2 + x22528^2 - x27328^2 ] <= 0 e77631: [ 0.008598 x7980^2 + x22529^2 - x27329^2 ] <= 0 e77632: [ 0.008598 x7981^2 + x22530^2 - x27330^2 ] <= 0 e77633: [ 0.008598 x7982^2 + x22531^2 - x27331^2 ] <= 0 e77634: [ 0.008598 x7983^2 + x22532^2 - x27332^2 ] <= 0 e77635: [ 0.008598 x7984^2 + x22533^2 - x27333^2 ] <= 0 e77636: [ 0.008598 x7985^2 + x22534^2 - x27334^2 ] <= 0 e77637: [ 0.008598 x7986^2 + x22535^2 - x27335^2 ] <= 0 e77638: [ 0.008598 x7987^2 + x22536^2 - x27336^2 ] <= 0 e77639: [ 0.008598 x7988^2 + x22537^2 - x27337^2 ] <= 0 e77640: [ 0.008598 x7989^2 + x22538^2 - x27338^2 ] <= 0 e77641: [ 0.008598 x7990^2 + x22539^2 - x27339^2 ] <= 0 e77642: [ 0.008598 x7991^2 + x22540^2 - x27340^2 ] <= 0 e77643: [ 0.008598 x7992^2 + x22541^2 - x27341^2 ] <= 0 e77644: [ 0.008598 x7993^2 + x22542^2 - x27342^2 ] <= 0 e77645: [ 0.008486 x7994^2 + x22543^2 - x27343^2 ] <= 0 e77646: [ 0.008486 x7995^2 + x22544^2 - x27344^2 ] <= 0 e77647: [ 0.008486 x7996^2 + x22545^2 - x27345^2 ] <= 0 e77648: [ 0.008486 x7997^2 + x22546^2 - x27346^2 ] <= 0 e77649: [ 0.008486 x7998^2 + x22547^2 - x27347^2 ] <= 0 e77650: [ 0.008486 x7999^2 + x22548^2 - x27348^2 ] <= 0 e77651: [ 0.008486 x8000^2 + x22549^2 - x27349^2 ] <= 0 e77652: [ 0.008486 x8001^2 + x22550^2 - x27350^2 ] <= 0 e77653: [ 0.008486 x8002^2 + x22551^2 - x27351^2 ] <= 0 e77654: [ 0.008486 x8003^2 + x22552^2 - x27352^2 ] <= 0 e77655: [ 0.008486 x8004^2 + x22553^2 - x27353^2 ] <= 0 e77656: [ 0.008486 x8005^2 + x22554^2 - x27354^2 ] <= 0 e77657: [ 0.008486 x8006^2 + x22555^2 - x27355^2 ] <= 0 e77658: [ 0.008486 x8007^2 + x22556^2 - x27356^2 ] <= 0 e77659: [ 0.008486 x8008^2 + x22557^2 - x27357^2 ] <= 0 e77660: [ 0.008486 x8009^2 + x22558^2 - x27358^2 ] <= 0 e77661: [ 0.008486 x8010^2 + x22559^2 - x27359^2 ] <= 0 e77662: [ 0.008486 x8011^2 + x22560^2 - x27360^2 ] <= 0 e77663: [ 0.008486 x8012^2 + x22561^2 - x27361^2 ] <= 0 e77664: [ 0.008486 x8013^2 + x22562^2 - x27362^2 ] <= 0 e77665: [ 0.008486 x8014^2 + x22563^2 - x27363^2 ] <= 0 e77666: [ 0.008486 x8015^2 + x22564^2 - x27364^2 ] <= 0 e77667: [ 0.008486 x8016^2 + x22565^2 - x27365^2 ] <= 0 e77668: [ 0.008486 x8017^2 + x22566^2 - x27366^2 ] <= 0 e77669: [ 0.00839 x8018^2 + x22567^2 - x27367^2 ] <= 0 e77670: [ 0.00839 x8019^2 + x22568^2 - x27368^2 ] <= 0 e77671: [ 0.00839 x8020^2 + x22569^2 - x27369^2 ] <= 0 e77672: [ 0.00839 x8021^2 + x22570^2 - x27370^2 ] <= 0 e77673: [ 0.00839 x8022^2 + x22571^2 - x27371^2 ] <= 0 e77674: [ 0.00839 x8023^2 + x22572^2 - x27372^2 ] <= 0 e77675: [ 0.00839 x8024^2 + x22573^2 - x27373^2 ] <= 0 e77676: [ 0.00839 x8025^2 + x22574^2 - x27374^2 ] <= 0 e77677: [ 0.00839 x8026^2 + x22575^2 - x27375^2 ] <= 0 e77678: [ 0.00839 x8027^2 + x22576^2 - x27376^2 ] <= 0 e77679: [ 0.00839 x8028^2 + x22577^2 - x27377^2 ] <= 0 e77680: [ 0.00839 x8029^2 + x22578^2 - x27378^2 ] <= 0 e77681: [ 0.00839 x8030^2 + x22579^2 - x27379^2 ] <= 0 e77682: [ 0.00839 x8031^2 + x22580^2 - x27380^2 ] <= 0 e77683: [ 0.00839 x8032^2 + x22581^2 - x27381^2 ] <= 0 e77684: [ 0.00839 x8033^2 + x22582^2 - x27382^2 ] <= 0 e77685: [ 0.00839 x8034^2 + x22583^2 - x27383^2 ] <= 0 e77686: [ 0.00839 x8035^2 + x22584^2 - x27384^2 ] <= 0 e77687: [ 0.00839 x8036^2 + x22585^2 - x27385^2 ] <= 0 e77688: [ 0.00839 x8037^2 + x22586^2 - x27386^2 ] <= 0 e77689: [ 0.00839 x8038^2 + x22587^2 - x27387^2 ] <= 0 e77690: [ 0.00839 x8039^2 + x22588^2 - x27388^2 ] <= 0 e77691: [ 0.00839 x8040^2 + x22589^2 - x27389^2 ] <= 0 e77692: [ 0.00839 x8041^2 + x22590^2 - x27390^2 ] <= 0 e77693: [ 0.008489 x8042^2 + x22591^2 - x27391^2 ] <= 0 e77694: [ 0.008489 x8043^2 + x22592^2 - x27392^2 ] <= 0 e77695: [ 0.008489 x8044^2 + x22593^2 - x27393^2 ] <= 0 e77696: [ 0.008489 x8045^2 + x22594^2 - x27394^2 ] <= 0 e77697: [ 0.008489 x8046^2 + x22595^2 - x27395^2 ] <= 0 e77698: [ 0.008489 x8047^2 + x22596^2 - x27396^2 ] <= 0 e77699: [ 0.008489 x8048^2 + x22597^2 - x27397^2 ] <= 0 e77700: [ 0.008489 x8049^2 + x22598^2 - x27398^2 ] <= 0 e77701: [ 0.008489 x8050^2 + x22599^2 - x27399^2 ] <= 0 e77702: [ 0.008489 x8051^2 + x22600^2 - x27400^2 ] <= 0 e77703: [ 0.008489 x8052^2 + x22601^2 - x27401^2 ] <= 0 e77704: [ 0.008489 x8053^2 + x22602^2 - x27402^2 ] <= 0 e77705: [ 0.008489 x8054^2 + x22603^2 - x27403^2 ] <= 0 e77706: [ 0.008489 x8055^2 + x22604^2 - x27404^2 ] <= 0 e77707: [ 0.008489 x8056^2 + x22605^2 - x27405^2 ] <= 0 e77708: [ 0.008489 x8057^2 + x22606^2 - x27406^2 ] <= 0 e77709: [ 0.008489 x8058^2 + x22607^2 - x27407^2 ] <= 0 e77710: [ 0.008489 x8059^2 + x22608^2 - x27408^2 ] <= 0 e77711: [ 0.008489 x8060^2 + x22609^2 - x27409^2 ] <= 0 e77712: [ 0.008489 x8061^2 + x22610^2 - x27410^2 ] <= 0 e77713: [ 0.008489 x8062^2 + x22611^2 - x27411^2 ] <= 0 e77714: [ 0.008489 x8063^2 + x22612^2 - x27412^2 ] <= 0 e77715: [ 0.008489 x8064^2 + x22613^2 - x27413^2 ] <= 0 e77716: [ 0.008489 x8065^2 + x22614^2 - x27414^2 ] <= 0 e77717: [ 0.008564 x8066^2 + x22615^2 - x27415^2 ] <= 0 e77718: [ 0.008564 x8067^2 + x22616^2 - x27416^2 ] <= 0 e77719: [ 0.008564 x8068^2 + x22617^2 - x27417^2 ] <= 0 e77720: [ 0.008564 x8069^2 + x22618^2 - x27418^2 ] <= 0 e77721: [ 0.008564 x8070^2 + x22619^2 - x27419^2 ] <= 0 e77722: [ 0.008564 x8071^2 + x22620^2 - x27420^2 ] <= 0 e77723: [ 0.008564 x8072^2 + x22621^2 - x27421^2 ] <= 0 e77724: [ 0.008564 x8073^2 + x22622^2 - x27422^2 ] <= 0 e77725: [ 0.008564 x8074^2 + x22623^2 - x27423^2 ] <= 0 e77726: [ 0.008564 x8075^2 + x22624^2 - x27424^2 ] <= 0 e77727: [ 0.008564 x8076^2 + x22625^2 - x27425^2 ] <= 0 e77728: [ 0.008564 x8077^2 + x22626^2 - x27426^2 ] <= 0 e77729: [ 0.008564 x8078^2 + x22627^2 - x27427^2 ] <= 0 e77730: [ 0.008564 x8079^2 + x22628^2 - x27428^2 ] <= 0 e77731: [ 0.008564 x8080^2 + x22629^2 - x27429^2 ] <= 0 e77732: [ 0.008564 x8081^2 + x22630^2 - x27430^2 ] <= 0 e77733: [ 0.008564 x8082^2 + x22631^2 - x27431^2 ] <= 0 e77734: [ 0.008564 x8083^2 + x22632^2 - x27432^2 ] <= 0 e77735: [ 0.008564 x8084^2 + x22633^2 - x27433^2 ] <= 0 e77736: [ 0.008564 x8085^2 + x22634^2 - x27434^2 ] <= 0 e77737: [ 0.008564 x8086^2 + x22635^2 - x27435^2 ] <= 0 e77738: [ 0.008564 x8087^2 + x22636^2 - x27436^2 ] <= 0 e77739: [ 0.008564 x8088^2 + x22637^2 - x27437^2 ] <= 0 e77740: [ 0.008564 x8089^2 + x22638^2 - x27438^2 ] <= 0 e77741: [ 0.007928 x8090^2 + x22639^2 - x27439^2 ] <= 0 e77742: [ 0.007928 x8091^2 + x22640^2 - x27440^2 ] <= 0 e77743: [ 0.007928 x8092^2 + x22641^2 - x27441^2 ] <= 0 e77744: [ 0.007928 x8093^2 + x22642^2 - x27442^2 ] <= 0 e77745: [ 0.007928 x8094^2 + x22643^2 - x27443^2 ] <= 0 e77746: [ 0.007928 x8095^2 + x22644^2 - x27444^2 ] <= 0 e77747: [ 0.007928 x8096^2 + x22645^2 - x27445^2 ] <= 0 e77748: [ 0.007928 x8097^2 + x22646^2 - x27446^2 ] <= 0 e77749: [ 0.007928 x8098^2 + x22647^2 - x27447^2 ] <= 0 e77750: [ 0.007928 x8099^2 + x22648^2 - x27448^2 ] <= 0 e77751: [ 0.007928 x8100^2 + x22649^2 - x27449^2 ] <= 0 e77752: [ 0.007928 x8101^2 + x22650^2 - x27450^2 ] <= 0 e77753: [ 0.007928 x8102^2 + x22651^2 - x27451^2 ] <= 0 e77754: [ 0.007928 x8103^2 + x22652^2 - x27452^2 ] <= 0 e77755: [ 0.007928 x8104^2 + x22653^2 - x27453^2 ] <= 0 e77756: [ 0.007928 x8105^2 + x22654^2 - x27454^2 ] <= 0 e77757: [ 0.007928 x8106^2 + x22655^2 - x27455^2 ] <= 0 e77758: [ 0.007928 x8107^2 + x22656^2 - x27456^2 ] <= 0 e77759: [ 0.007928 x8108^2 + x22657^2 - x27457^2 ] <= 0 e77760: [ 0.007928 x8109^2 + x22658^2 - x27458^2 ] <= 0 e77761: [ 0.007928 x8110^2 + x22659^2 - x27459^2 ] <= 0 e77762: [ 0.007928 x8111^2 + x22660^2 - x27460^2 ] <= 0 e77763: [ 0.007928 x8112^2 + x22661^2 - x27461^2 ] <= 0 e77764: [ 0.007928 x8113^2 + x22662^2 - x27462^2 ] <= 0 e77765: [ 0.007858 x8114^2 + x22663^2 - x27463^2 ] <= 0 e77766: [ 0.007858 x8115^2 + x22664^2 - x27464^2 ] <= 0 e77767: [ 0.007858 x8116^2 + x22665^2 - x27465^2 ] <= 0 e77768: [ 0.007858 x8117^2 + x22666^2 - x27466^2 ] <= 0 e77769: [ 0.007858 x8118^2 + x22667^2 - x27467^2 ] <= 0 e77770: [ 0.007858 x8119^2 + x22668^2 - x27468^2 ] <= 0 e77771: [ 0.007858 x8120^2 + x22669^2 - x27469^2 ] <= 0 e77772: [ 0.007858 x8121^2 + x22670^2 - x27470^2 ] <= 0 e77773: [ 0.007858 x8122^2 + x22671^2 - x27471^2 ] <= 0 e77774: [ 0.007858 x8123^2 + x22672^2 - x27472^2 ] <= 0 e77775: [ 0.007858 x8124^2 + x22673^2 - x27473^2 ] <= 0 e77776: [ 0.007858 x8125^2 + x22674^2 - x27474^2 ] <= 0 e77777: [ 0.007858 x8126^2 + x22675^2 - x27475^2 ] <= 0 e77778: [ 0.007858 x8127^2 + x22676^2 - x27476^2 ] <= 0 e77779: [ 0.007858 x8128^2 + x22677^2 - x27477^2 ] <= 0 e77780: [ 0.007858 x8129^2 + x22678^2 - x27478^2 ] <= 0 e77781: [ 0.007858 x8130^2 + x22679^2 - x27479^2 ] <= 0 e77782: [ 0.007858 x8131^2 + x22680^2 - x27480^2 ] <= 0 e77783: [ 0.007858 x8132^2 + x22681^2 - x27481^2 ] <= 0 e77784: [ 0.007858 x8133^2 + x22682^2 - x27482^2 ] <= 0 e77785: [ 0.007858 x8134^2 + x22683^2 - x27483^2 ] <= 0 e77786: [ 0.007858 x8135^2 + x22684^2 - x27484^2 ] <= 0 e77787: [ 0.007858 x8136^2 + x22685^2 - x27485^2 ] <= 0 e77788: [ 0.007858 x8137^2 + x22686^2 - x27486^2 ] <= 0 e77789: [ 0.008714 x8138^2 + x22687^2 - x27487^2 ] <= 0 e77790: [ 0.008714 x8139^2 + x22688^2 - x27488^2 ] <= 0 e77791: [ 0.008714 x8140^2 + x22689^2 - x27489^2 ] <= 0 e77792: [ 0.008714 x8141^2 + x22690^2 - x27490^2 ] <= 0 e77793: [ 0.008714 x8142^2 + x22691^2 - x27491^2 ] <= 0 e77794: [ 0.008714 x8143^2 + x22692^2 - x27492^2 ] <= 0 e77795: [ 0.008714 x8144^2 + x22693^2 - x27493^2 ] <= 0 e77796: [ 0.008714 x8145^2 + x22694^2 - x27494^2 ] <= 0 e77797: [ 0.008714 x8146^2 + x22695^2 - x27495^2 ] <= 0 e77798: [ 0.008714 x8147^2 + x22696^2 - x27496^2 ] <= 0 e77799: [ 0.008714 x8148^2 + x22697^2 - x27497^2 ] <= 0 e77800: [ 0.008714 x8149^2 + x22698^2 - x27498^2 ] <= 0 e77801: [ 0.008714 x8150^2 + x22699^2 - x27499^2 ] <= 0 e77802: [ 0.008714 x8151^2 + x22700^2 - x27500^2 ] <= 0 e77803: [ 0.008714 x8152^2 + x22701^2 - x27501^2 ] <= 0 e77804: [ 0.008714 x8153^2 + x22702^2 - x27502^2 ] <= 0 e77805: [ 0.008714 x8154^2 + x22703^2 - x27503^2 ] <= 0 e77806: [ 0.008714 x8155^2 + x22704^2 - x27504^2 ] <= 0 e77807: [ 0.008714 x8156^2 + x22705^2 - x27505^2 ] <= 0 e77808: [ 0.008714 x8157^2 + x22706^2 - x27506^2 ] <= 0 e77809: [ 0.008714 x8158^2 + x22707^2 - x27507^2 ] <= 0 e77810: [ 0.008714 x8159^2 + x22708^2 - x27508^2 ] <= 0 e77811: [ 0.008714 x8160^2 + x22709^2 - x27509^2 ] <= 0 e77812: [ 0.008714 x8161^2 + x22710^2 - x27510^2 ] <= 0 e77813: [ 0.007635 x8162^2 + x22711^2 - x27511^2 ] <= 0 e77814: [ 0.007635 x8163^2 + x22712^2 - x27512^2 ] <= 0 e77815: [ 0.007635 x8164^2 + x22713^2 - x27513^2 ] <= 0 e77816: [ 0.007635 x8165^2 + x22714^2 - x27514^2 ] <= 0 e77817: [ 0.007635 x8166^2 + x22715^2 - x27515^2 ] <= 0 e77818: [ 0.007635 x8167^2 + x22716^2 - x27516^2 ] <= 0 e77819: [ 0.007635 x8168^2 + x22717^2 - x27517^2 ] <= 0 e77820: [ 0.007635 x8169^2 + x22718^2 - x27518^2 ] <= 0 e77821: [ 0.007635 x8170^2 + x22719^2 - x27519^2 ] <= 0 e77822: [ 0.007635 x8171^2 + x22720^2 - x27520^2 ] <= 0 e77823: [ 0.007635 x8172^2 + x22721^2 - x27521^2 ] <= 0 e77824: [ 0.007635 x8173^2 + x22722^2 - x27522^2 ] <= 0 e77825: [ 0.007635 x8174^2 + x22723^2 - x27523^2 ] <= 0 e77826: [ 0.007635 x8175^2 + x22724^2 - x27524^2 ] <= 0 e77827: [ 0.007635 x8176^2 + x22725^2 - x27525^2 ] <= 0 e77828: [ 0.007635 x8177^2 + x22726^2 - x27526^2 ] <= 0 e77829: [ 0.007635 x8178^2 + x22727^2 - x27527^2 ] <= 0 e77830: [ 0.007635 x8179^2 + x22728^2 - x27528^2 ] <= 0 e77831: [ 0.007635 x8180^2 + x22729^2 - x27529^2 ] <= 0 e77832: [ 0.007635 x8181^2 + x22730^2 - x27530^2 ] <= 0 e77833: [ 0.007635 x8182^2 + x22731^2 - x27531^2 ] <= 0 e77834: [ 0.007635 x8183^2 + x22732^2 - x27532^2 ] <= 0 e77835: [ 0.007635 x8184^2 + x22733^2 - x27533^2 ] <= 0 e77836: [ 0.007635 x8185^2 + x22734^2 - x27534^2 ] <= 0 e77837: [ 0.008709 x8186^2 + x22735^2 - x27535^2 ] <= 0 e77838: [ 0.008709 x8187^2 + x22736^2 - x27536^2 ] <= 0 e77839: [ 0.008709 x8188^2 + x22737^2 - x27537^2 ] <= 0 e77840: [ 0.008709 x8189^2 + x22738^2 - x27538^2 ] <= 0 e77841: [ 0.008709 x8190^2 + x22739^2 - x27539^2 ] <= 0 e77842: [ 0.008709 x8191^2 + x22740^2 - x27540^2 ] <= 0 e77843: [ 0.008709 x8192^2 + x22741^2 - x27541^2 ] <= 0 e77844: [ 0.008709 x8193^2 + x22742^2 - x27542^2 ] <= 0 e77845: [ 0.008709 x8194^2 + x22743^2 - x27543^2 ] <= 0 e77846: [ 0.008709 x8195^2 + x22744^2 - x27544^2 ] <= 0 e77847: [ 0.008709 x8196^2 + x22745^2 - x27545^2 ] <= 0 e77848: [ 0.008709 x8197^2 + x22746^2 - x27546^2 ] <= 0 e77849: [ 0.008709 x8198^2 + x22747^2 - x27547^2 ] <= 0 e77850: [ 0.008709 x8199^2 + x22748^2 - x27548^2 ] <= 0 e77851: [ 0.008709 x8200^2 + x22749^2 - x27549^2 ] <= 0 e77852: [ 0.008709 x8201^2 + x22750^2 - x27550^2 ] <= 0 e77853: [ 0.008709 x8202^2 + x22751^2 - x27551^2 ] <= 0 e77854: [ 0.008709 x8203^2 + x22752^2 - x27552^2 ] <= 0 e77855: [ 0.008709 x8204^2 + x22753^2 - x27553^2 ] <= 0 e77856: [ 0.008709 x8205^2 + x22754^2 - x27554^2 ] <= 0 e77857: [ 0.008709 x8206^2 + x22755^2 - x27555^2 ] <= 0 e77858: [ 0.008709 x8207^2 + x22756^2 - x27556^2 ] <= 0 e77859: [ 0.008709 x8208^2 + x22757^2 - x27557^2 ] <= 0 e77860: [ 0.008709 x8209^2 + x22758^2 - x27558^2 ] <= 0 e77861: [ 0.007936 x8210^2 + x22759^2 - x27559^2 ] <= 0 e77862: [ 0.007936 x8211^2 + x22760^2 - x27560^2 ] <= 0 e77863: [ 0.007936 x8212^2 + x22761^2 - x27561^2 ] <= 0 e77864: [ 0.007936 x8213^2 + x22762^2 - x27562^2 ] <= 0 e77865: [ 0.007936 x8214^2 + x22763^2 - x27563^2 ] <= 0 e77866: [ 0.007936 x8215^2 + x22764^2 - x27564^2 ] <= 0 e77867: [ 0.007936 x8216^2 + x22765^2 - x27565^2 ] <= 0 e77868: [ 0.007936 x8217^2 + x22766^2 - x27566^2 ] <= 0 e77869: [ 0.007936 x8218^2 + x22767^2 - x27567^2 ] <= 0 e77870: [ 0.007936 x8219^2 + x22768^2 - x27568^2 ] <= 0 e77871: [ 0.007936 x8220^2 + x22769^2 - x27569^2 ] <= 0 e77872: [ 0.007936 x8221^2 + x22770^2 - x27570^2 ] <= 0 e77873: [ 0.007936 x8222^2 + x22771^2 - x27571^2 ] <= 0 e77874: [ 0.007936 x8223^2 + x22772^2 - x27572^2 ] <= 0 e77875: [ 0.007936 x8224^2 + x22773^2 - x27573^2 ] <= 0 e77876: [ 0.007936 x8225^2 + x22774^2 - x27574^2 ] <= 0 e77877: [ 0.007936 x8226^2 + x22775^2 - x27575^2 ] <= 0 e77878: [ 0.007936 x8227^2 + x22776^2 - x27576^2 ] <= 0 e77879: [ 0.007936 x8228^2 + x22777^2 - x27577^2 ] <= 0 e77880: [ 0.007936 x8229^2 + x22778^2 - x27578^2 ] <= 0 e77881: [ 0.007936 x8230^2 + x22779^2 - x27579^2 ] <= 0 e77882: [ 0.007936 x8231^2 + x22780^2 - x27580^2 ] <= 0 e77883: [ 0.007936 x8232^2 + x22781^2 - x27581^2 ] <= 0 e77884: [ 0.007936 x8233^2 + x22782^2 - x27582^2 ] <= 0 e77885: [ 0.007653 x8234^2 + x22783^2 - x27583^2 ] <= 0 e77886: [ 0.007653 x8235^2 + x22784^2 - x27584^2 ] <= 0 e77887: [ 0.007653 x8236^2 + x22785^2 - x27585^2 ] <= 0 e77888: [ 0.007653 x8237^2 + x22786^2 - x27586^2 ] <= 0 e77889: [ 0.007653 x8238^2 + x22787^2 - x27587^2 ] <= 0 e77890: [ 0.007653 x8239^2 + x22788^2 - x27588^2 ] <= 0 e77891: [ 0.007653 x8240^2 + x22789^2 - x27589^2 ] <= 0 e77892: [ 0.007653 x8241^2 + x22790^2 - x27590^2 ] <= 0 e77893: [ 0.007653 x8242^2 + x22791^2 - x27591^2 ] <= 0 e77894: [ 0.007653 x8243^2 + x22792^2 - x27592^2 ] <= 0 e77895: [ 0.007653 x8244^2 + x22793^2 - x27593^2 ] <= 0 e77896: [ 0.007653 x8245^2 + x22794^2 - x27594^2 ] <= 0 e77897: [ 0.007653 x8246^2 + x22795^2 - x27595^2 ] <= 0 e77898: [ 0.007653 x8247^2 + x22796^2 - x27596^2 ] <= 0 e77899: [ 0.007653 x8248^2 + x22797^2 - x27597^2 ] <= 0 e77900: [ 0.007653 x8249^2 + x22798^2 - x27598^2 ] <= 0 e77901: [ 0.007653 x8250^2 + x22799^2 - x27599^2 ] <= 0 e77902: [ 0.007653 x8251^2 + x22800^2 - x27600^2 ] <= 0 e77903: [ 0.007653 x8252^2 + x22801^2 - x27601^2 ] <= 0 e77904: [ 0.007653 x8253^2 + x22802^2 - x27602^2 ] <= 0 e77905: [ 0.007653 x8254^2 + x22803^2 - x27603^2 ] <= 0 e77906: [ 0.007653 x8255^2 + x22804^2 - x27604^2 ] <= 0 e77907: [ 0.007653 x8256^2 + x22805^2 - x27605^2 ] <= 0 e77908: [ 0.007653 x8257^2 + x22806^2 - x27606^2 ] <= 0 e77909: [ 0.008849 x8258^2 + x22807^2 - x27607^2 ] <= 0 e77910: [ 0.008849 x8259^2 + x22808^2 - x27608^2 ] <= 0 e77911: [ 0.008849 x8260^2 + x22809^2 - x27609^2 ] <= 0 e77912: [ 0.008849 x8261^2 + x22810^2 - x27610^2 ] <= 0 e77913: [ 0.008849 x8262^2 + x22811^2 - x27611^2 ] <= 0 e77914: [ 0.008849 x8263^2 + x22812^2 - x27612^2 ] <= 0 e77915: [ 0.008849 x8264^2 + x22813^2 - x27613^2 ] <= 0 e77916: [ 0.008849 x8265^2 + x22814^2 - x27614^2 ] <= 0 e77917: [ 0.008849 x8266^2 + x22815^2 - x27615^2 ] <= 0 e77918: [ 0.008849 x8267^2 + x22816^2 - x27616^2 ] <= 0 e77919: [ 0.008849 x8268^2 + x22817^2 - x27617^2 ] <= 0 e77920: [ 0.008849 x8269^2 + x22818^2 - x27618^2 ] <= 0 e77921: [ 0.008849 x8270^2 + x22819^2 - x27619^2 ] <= 0 e77922: [ 0.008849 x8271^2 + x22820^2 - x27620^2 ] <= 0 e77923: [ 0.008849 x8272^2 + x22821^2 - x27621^2 ] <= 0 e77924: [ 0.008849 x8273^2 + x22822^2 - x27622^2 ] <= 0 e77925: [ 0.008849 x8274^2 + x22823^2 - x27623^2 ] <= 0 e77926: [ 0.008849 x8275^2 + x22824^2 - x27624^2 ] <= 0 e77927: [ 0.008849 x8276^2 + x22825^2 - x27625^2 ] <= 0 e77928: [ 0.008849 x8277^2 + x22826^2 - x27626^2 ] <= 0 e77929: [ 0.008849 x8278^2 + x22827^2 - x27627^2 ] <= 0 e77930: [ 0.008849 x8279^2 + x22828^2 - x27628^2 ] <= 0 e77931: [ 0.008849 x8280^2 + x22829^2 - x27629^2 ] <= 0 e77932: [ 0.008849 x8281^2 + x22830^2 - x27630^2 ] <= 0 e77933: [ 0.007768 x8282^2 + x22831^2 - x27631^2 ] <= 0 e77934: [ 0.007768 x8283^2 + x22832^2 - x27632^2 ] <= 0 e77935: [ 0.007768 x8284^2 + x22833^2 - x27633^2 ] <= 0 e77936: [ 0.007768 x8285^2 + x22834^2 - x27634^2 ] <= 0 e77937: [ 0.007768 x8286^2 + x22835^2 - x27635^2 ] <= 0 e77938: [ 0.007768 x8287^2 + x22836^2 - x27636^2 ] <= 0 e77939: [ 0.007768 x8288^2 + x22837^2 - x27637^2 ] <= 0 e77940: [ 0.007768 x8289^2 + x22838^2 - x27638^2 ] <= 0 e77941: [ 0.007768 x8290^2 + x22839^2 - x27639^2 ] <= 0 e77942: [ 0.007768 x8291^2 + x22840^2 - x27640^2 ] <= 0 e77943: [ 0.007768 x8292^2 + x22841^2 - x27641^2 ] <= 0 e77944: [ 0.007768 x8293^2 + x22842^2 - x27642^2 ] <= 0 e77945: [ 0.007768 x8294^2 + x22843^2 - x27643^2 ] <= 0 e77946: [ 0.007768 x8295^2 + x22844^2 - x27644^2 ] <= 0 e77947: [ 0.007768 x8296^2 + x22845^2 - x27645^2 ] <= 0 e77948: [ 0.007768 x8297^2 + x22846^2 - x27646^2 ] <= 0 e77949: [ 0.007768 x8298^2 + x22847^2 - x27647^2 ] <= 0 e77950: [ 0.007768 x8299^2 + x22848^2 - x27648^2 ] <= 0 e77951: [ 0.007768 x8300^2 + x22849^2 - x27649^2 ] <= 0 e77952: [ 0.007768 x8301^2 + x22850^2 - x27650^2 ] <= 0 e77953: [ 0.007768 x8302^2 + x22851^2 - x27651^2 ] <= 0 e77954: [ 0.007768 x8303^2 + x22852^2 - x27652^2 ] <= 0 e77955: [ 0.007768 x8304^2 + x22853^2 - x27653^2 ] <= 0 e77956: [ 0.007768 x8305^2 + x22854^2 - x27654^2 ] <= 0 e77957: [ 0.008757 x8306^2 + x22855^2 - x27655^2 ] <= 0 e77958: [ 0.008757 x8307^2 + x22856^2 - x27656^2 ] <= 0 e77959: [ 0.008757 x8308^2 + x22857^2 - x27657^2 ] <= 0 e77960: [ 0.008757 x8309^2 + x22858^2 - x27658^2 ] <= 0 e77961: [ 0.008757 x8310^2 + x22859^2 - x27659^2 ] <= 0 e77962: [ 0.008757 x8311^2 + x22860^2 - x27660^2 ] <= 0 e77963: [ 0.008757 x8312^2 + x22861^2 - x27661^2 ] <= 0 e77964: [ 0.008757 x8313^2 + x22862^2 - x27662^2 ] <= 0 e77965: [ 0.008757 x8314^2 + x22863^2 - x27663^2 ] <= 0 e77966: [ 0.008757 x8315^2 + x22864^2 - x27664^2 ] <= 0 e77967: [ 0.008757 x8316^2 + x22865^2 - x27665^2 ] <= 0 e77968: [ 0.008757 x8317^2 + x22866^2 - x27666^2 ] <= 0 e77969: [ 0.008757 x8318^2 + x22867^2 - x27667^2 ] <= 0 e77970: [ 0.008757 x8319^2 + x22868^2 - x27668^2 ] <= 0 e77971: [ 0.008757 x8320^2 + x22869^2 - x27669^2 ] <= 0 e77972: [ 0.008757 x8321^2 + x22870^2 - x27670^2 ] <= 0 e77973: [ 0.008757 x8322^2 + x22871^2 - x27671^2 ] <= 0 e77974: [ 0.008757 x8323^2 + x22872^2 - x27672^2 ] <= 0 e77975: [ 0.008757 x8324^2 + x22873^2 - x27673^2 ] <= 0 e77976: [ 0.008757 x8325^2 + x22874^2 - x27674^2 ] <= 0 e77977: [ 0.008757 x8326^2 + x22875^2 - x27675^2 ] <= 0 e77978: [ 0.008757 x8327^2 + x22876^2 - x27676^2 ] <= 0 e77979: [ 0.008757 x8328^2 + x22877^2 - x27677^2 ] <= 0 e77980: [ 0.008757 x8329^2 + x22878^2 - x27678^2 ] <= 0 e77981: [ 0.008124 x8330^2 + x22879^2 - x27679^2 ] <= 0 e77982: [ 0.008124 x8331^2 + x22880^2 - x27680^2 ] <= 0 e77983: [ 0.008124 x8332^2 + x22881^2 - x27681^2 ] <= 0 e77984: [ 0.008124 x8333^2 + x22882^2 - x27682^2 ] <= 0 e77985: [ 0.008124 x8334^2 + x22883^2 - x27683^2 ] <= 0 e77986: [ 0.008124 x8335^2 + x22884^2 - x27684^2 ] <= 0 e77987: [ 0.008124 x8336^2 + x22885^2 - x27685^2 ] <= 0 e77988: [ 0.008124 x8337^2 + x22886^2 - x27686^2 ] <= 0 e77989: [ 0.008124 x8338^2 + x22887^2 - x27687^2 ] <= 0 e77990: [ 0.008124 x8339^2 + x22888^2 - x27688^2 ] <= 0 e77991: [ 0.008124 x8340^2 + x22889^2 - x27689^2 ] <= 0 e77992: [ 0.008124 x8341^2 + x22890^2 - x27690^2 ] <= 0 e77993: [ 0.008124 x8342^2 + x22891^2 - x27691^2 ] <= 0 e77994: [ 0.008124 x8343^2 + x22892^2 - x27692^2 ] <= 0 e77995: [ 0.008124 x8344^2 + x22893^2 - x27693^2 ] <= 0 e77996: [ 0.008124 x8345^2 + x22894^2 - x27694^2 ] <= 0 e77997: [ 0.008124 x8346^2 + x22895^2 - x27695^2 ] <= 0 e77998: [ 0.008124 x8347^2 + x22896^2 - x27696^2 ] <= 0 e77999: [ 0.008124 x8348^2 + x22897^2 - x27697^2 ] <= 0 e78000: [ 0.008124 x8349^2 + x22898^2 - x27698^2 ] <= 0 e78001: [ 0.008124 x8350^2 + x22899^2 - x27699^2 ] <= 0 e78002: [ 0.008124 x8351^2 + x22900^2 - x27700^2 ] <= 0 e78003: [ 0.008124 x8352^2 + x22901^2 - x27701^2 ] <= 0 e78004: [ 0.008124 x8353^2 + x22902^2 - x27702^2 ] <= 0 e78005: [ 0.007564 x8354^2 + x22903^2 - x27703^2 ] <= 0 e78006: [ 0.007564 x8355^2 + x22904^2 - x27704^2 ] <= 0 e78007: [ 0.007564 x8356^2 + x22905^2 - x27705^2 ] <= 0 e78008: [ 0.007564 x8357^2 + x22906^2 - x27706^2 ] <= 0 e78009: [ 0.007564 x8358^2 + x22907^2 - x27707^2 ] <= 0 e78010: [ 0.007564 x8359^2 + x22908^2 - x27708^2 ] <= 0 e78011: [ 0.007564 x8360^2 + x22909^2 - x27709^2 ] <= 0 e78012: [ 0.007564 x8361^2 + x22910^2 - x27710^2 ] <= 0 e78013: [ 0.007564 x8362^2 + x22911^2 - x27711^2 ] <= 0 e78014: [ 0.007564 x8363^2 + x22912^2 - x27712^2 ] <= 0 e78015: [ 0.007564 x8364^2 + x22913^2 - x27713^2 ] <= 0 e78016: [ 0.007564 x8365^2 + x22914^2 - x27714^2 ] <= 0 e78017: [ 0.007564 x8366^2 + x22915^2 - x27715^2 ] <= 0 e78018: [ 0.007564 x8367^2 + x22916^2 - x27716^2 ] <= 0 e78019: [ 0.007564 x8368^2 + x22917^2 - x27717^2 ] <= 0 e78020: [ 0.007564 x8369^2 + x22918^2 - x27718^2 ] <= 0 e78021: [ 0.007564 x8370^2 + x22919^2 - x27719^2 ] <= 0 e78022: [ 0.007564 x8371^2 + x22920^2 - x27720^2 ] <= 0 e78023: [ 0.007564 x8372^2 + x22921^2 - x27721^2 ] <= 0 e78024: [ 0.007564 x8373^2 + x22922^2 - x27722^2 ] <= 0 e78025: [ 0.007564 x8374^2 + x22923^2 - x27723^2 ] <= 0 e78026: [ 0.007564 x8375^2 + x22924^2 - x27724^2 ] <= 0 e78027: [ 0.007564 x8376^2 + x22925^2 - x27725^2 ] <= 0 e78028: [ 0.007564 x8377^2 + x22926^2 - x27726^2 ] <= 0 e78029: [ 0.008602 x8378^2 + x22927^2 - x27727^2 ] <= 0 e78030: [ 0.008602 x8379^2 + x22928^2 - x27728^2 ] <= 0 e78031: [ 0.008602 x8380^2 + x22929^2 - x27729^2 ] <= 0 e78032: [ 0.008602 x8381^2 + x22930^2 - x27730^2 ] <= 0 e78033: [ 0.008602 x8382^2 + x22931^2 - x27731^2 ] <= 0 e78034: [ 0.008602 x8383^2 + x22932^2 - x27732^2 ] <= 0 e78035: [ 0.008602 x8384^2 + x22933^2 - x27733^2 ] <= 0 e78036: [ 0.008602 x8385^2 + x22934^2 - x27734^2 ] <= 0 e78037: [ 0.008602 x8386^2 + x22935^2 - x27735^2 ] <= 0 e78038: [ 0.008602 x8387^2 + x22936^2 - x27736^2 ] <= 0 e78039: [ 0.008602 x8388^2 + x22937^2 - x27737^2 ] <= 0 e78040: [ 0.008602 x8389^2 + x22938^2 - x27738^2 ] <= 0 e78041: [ 0.008602 x8390^2 + x22939^2 - x27739^2 ] <= 0 e78042: [ 0.008602 x8391^2 + x22940^2 - x27740^2 ] <= 0 e78043: [ 0.008602 x8392^2 + x22941^2 - x27741^2 ] <= 0 e78044: [ 0.008602 x8393^2 + x22942^2 - x27742^2 ] <= 0 e78045: [ 0.008602 x8394^2 + x22943^2 - x27743^2 ] <= 0 e78046: [ 0.008602 x8395^2 + x22944^2 - x27744^2 ] <= 0 e78047: [ 0.008602 x8396^2 + x22945^2 - x27745^2 ] <= 0 e78048: [ 0.008602 x8397^2 + x22946^2 - x27746^2 ] <= 0 e78049: [ 0.008602 x8398^2 + x22947^2 - x27747^2 ] <= 0 e78050: [ 0.008602 x8399^2 + x22948^2 - x27748^2 ] <= 0 e78051: [ 0.008602 x8400^2 + x22949^2 - x27749^2 ] <= 0 e78052: [ 0.008602 x8401^2 + x22950^2 - x27750^2 ] <= 0 e78053: [ 0.007675 x8402^2 + x22951^2 - x27751^2 ] <= 0 e78054: [ 0.007675 x8403^2 + x22952^2 - x27752^2 ] <= 0 e78055: [ 0.007675 x8404^2 + x22953^2 - x27753^2 ] <= 0 e78056: [ 0.007675 x8405^2 + x22954^2 - x27754^2 ] <= 0 e78057: [ 0.007675 x8406^2 + x22955^2 - x27755^2 ] <= 0 e78058: [ 0.007675 x8407^2 + x22956^2 - x27756^2 ] <= 0 e78059: [ 0.007675 x8408^2 + x22957^2 - x27757^2 ] <= 0 e78060: [ 0.007675 x8409^2 + x22958^2 - x27758^2 ] <= 0 e78061: [ 0.007675 x8410^2 + x22959^2 - x27759^2 ] <= 0 e78062: [ 0.007675 x8411^2 + x22960^2 - x27760^2 ] <= 0 e78063: [ 0.007675 x8412^2 + x22961^2 - x27761^2 ] <= 0 e78064: [ 0.007675 x8413^2 + x22962^2 - x27762^2 ] <= 0 e78065: [ 0.007675 x8414^2 + x22963^2 - x27763^2 ] <= 0 e78066: [ 0.007675 x8415^2 + x22964^2 - x27764^2 ] <= 0 e78067: [ 0.007675 x8416^2 + x22965^2 - x27765^2 ] <= 0 e78068: [ 0.007675 x8417^2 + x22966^2 - x27766^2 ] <= 0 e78069: [ 0.007675 x8418^2 + x22967^2 - x27767^2 ] <= 0 e78070: [ 0.007675 x8419^2 + x22968^2 - x27768^2 ] <= 0 e78071: [ 0.007675 x8420^2 + x22969^2 - x27769^2 ] <= 0 e78072: [ 0.007675 x8421^2 + x22970^2 - x27770^2 ] <= 0 e78073: [ 0.007675 x8422^2 + x22971^2 - x27771^2 ] <= 0 e78074: [ 0.007675 x8423^2 + x22972^2 - x27772^2 ] <= 0 e78075: [ 0.007675 x8424^2 + x22973^2 - x27773^2 ] <= 0 e78076: [ 0.007675 x8425^2 + x22974^2 - x27774^2 ] <= 0 e78077: [ 0.007724 x8426^2 + x22975^2 - x27775^2 ] <= 0 e78078: [ 0.007724 x8427^2 + x22976^2 - x27776^2 ] <= 0 e78079: [ 0.007724 x8428^2 + x22977^2 - x27777^2 ] <= 0 e78080: [ 0.007724 x8429^2 + x22978^2 - x27778^2 ] <= 0 e78081: [ 0.007724 x8430^2 + x22979^2 - x27779^2 ] <= 0 e78082: [ 0.007724 x8431^2 + x22980^2 - x27780^2 ] <= 0 e78083: [ 0.007724 x8432^2 + x22981^2 - x27781^2 ] <= 0 e78084: [ 0.007724 x8433^2 + x22982^2 - x27782^2 ] <= 0 e78085: [ 0.007724 x8434^2 + x22983^2 - x27783^2 ] <= 0 e78086: [ 0.007724 x8435^2 + x22984^2 - x27784^2 ] <= 0 e78087: [ 0.007724 x8436^2 + x22985^2 - x27785^2 ] <= 0 e78088: [ 0.007724 x8437^2 + x22986^2 - x27786^2 ] <= 0 e78089: [ 0.007724 x8438^2 + x22987^2 - x27787^2 ] <= 0 e78090: [ 0.007724 x8439^2 + x22988^2 - x27788^2 ] <= 0 e78091: [ 0.007724 x8440^2 + x22989^2 - x27789^2 ] <= 0 e78092: [ 0.007724 x8441^2 + x22990^2 - x27790^2 ] <= 0 e78093: [ 0.007724 x8442^2 + x22991^2 - x27791^2 ] <= 0 e78094: [ 0.007724 x8443^2 + x22992^2 - x27792^2 ] <= 0 e78095: [ 0.007724 x8444^2 + x22993^2 - x27793^2 ] <= 0 e78096: [ 0.007724 x8445^2 + x22994^2 - x27794^2 ] <= 0 e78097: [ 0.007724 x8446^2 + x22995^2 - x27795^2 ] <= 0 e78098: [ 0.007724 x8447^2 + x22996^2 - x27796^2 ] <= 0 e78099: [ 0.007724 x8448^2 + x22997^2 - x27797^2 ] <= 0 e78100: [ 0.007724 x8449^2 + x22998^2 - x27798^2 ] <= 0 e78101: [ 0.008131 x8450^2 + x22999^2 - x27799^2 ] <= 0 e78102: [ 0.008131 x8451^2 + x23000^2 - x27800^2 ] <= 0 e78103: [ 0.008131 x8452^2 + x23001^2 - x27801^2 ] <= 0 e78104: [ 0.008131 x8453^2 + x23002^2 - x27802^2 ] <= 0 e78105: [ 0.008131 x8454^2 + x23003^2 - x27803^2 ] <= 0 e78106: [ 0.008131 x8455^2 + x23004^2 - x27804^2 ] <= 0 e78107: [ 0.008131 x8456^2 + x23005^2 - x27805^2 ] <= 0 e78108: [ 0.008131 x8457^2 + x23006^2 - x27806^2 ] <= 0 e78109: [ 0.008131 x8458^2 + x23007^2 - x27807^2 ] <= 0 e78110: [ 0.008131 x8459^2 + x23008^2 - x27808^2 ] <= 0 e78111: [ 0.008131 x8460^2 + x23009^2 - x27809^2 ] <= 0 e78112: [ 0.008131 x8461^2 + x23010^2 - x27810^2 ] <= 0 e78113: [ 0.008131 x8462^2 + x23011^2 - x27811^2 ] <= 0 e78114: [ 0.008131 x8463^2 + x23012^2 - x27812^2 ] <= 0 e78115: [ 0.008131 x8464^2 + x23013^2 - x27813^2 ] <= 0 e78116: [ 0.008131 x8465^2 + x23014^2 - x27814^2 ] <= 0 e78117: [ 0.008131 x8466^2 + x23015^2 - x27815^2 ] <= 0 e78118: [ 0.008131 x8467^2 + x23016^2 - x27816^2 ] <= 0 e78119: [ 0.008131 x8468^2 + x23017^2 - x27817^2 ] <= 0 e78120: [ 0.008131 x8469^2 + x23018^2 - x27818^2 ] <= 0 e78121: [ 0.008131 x8470^2 + x23019^2 - x27819^2 ] <= 0 e78122: [ 0.008131 x8471^2 + x23020^2 - x27820^2 ] <= 0 e78123: [ 0.008131 x8472^2 + x23021^2 - x27821^2 ] <= 0 e78124: [ 0.008131 x8473^2 + x23022^2 - x27822^2 ] <= 0 e78125: [ 0.00807 x8474^2 + x23023^2 - x27823^2 ] <= 0 e78126: [ 0.00807 x8475^2 + x23024^2 - x27824^2 ] <= 0 e78127: [ 0.00807 x8476^2 + x23025^2 - x27825^2 ] <= 0 e78128: [ 0.00807 x8477^2 + x23026^2 - x27826^2 ] <= 0 e78129: [ 0.00807 x8478^2 + x23027^2 - x27827^2 ] <= 0 e78130: [ 0.00807 x8479^2 + x23028^2 - x27828^2 ] <= 0 e78131: [ 0.00807 x8480^2 + x23029^2 - x27829^2 ] <= 0 e78132: [ 0.00807 x8481^2 + x23030^2 - x27830^2 ] <= 0 e78133: [ 0.00807 x8482^2 + x23031^2 - x27831^2 ] <= 0 e78134: [ 0.00807 x8483^2 + x23032^2 - x27832^2 ] <= 0 e78135: [ 0.00807 x8484^2 + x23033^2 - x27833^2 ] <= 0 e78136: [ 0.00807 x8485^2 + x23034^2 - x27834^2 ] <= 0 e78137: [ 0.00807 x8486^2 + x23035^2 - x27835^2 ] <= 0 e78138: [ 0.00807 x8487^2 + x23036^2 - x27836^2 ] <= 0 e78139: [ 0.00807 x8488^2 + x23037^2 - x27837^2 ] <= 0 e78140: [ 0.00807 x8489^2 + x23038^2 - x27838^2 ] <= 0 e78141: [ 0.00807 x8490^2 + x23039^2 - x27839^2 ] <= 0 e78142: [ 0.00807 x8491^2 + x23040^2 - x27840^2 ] <= 0 e78143: [ 0.00807 x8492^2 + x23041^2 - x27841^2 ] <= 0 e78144: [ 0.00807 x8493^2 + x23042^2 - x27842^2 ] <= 0 e78145: [ 0.00807 x8494^2 + x23043^2 - x27843^2 ] <= 0 e78146: [ 0.00807 x8495^2 + x23044^2 - x27844^2 ] <= 0 e78147: [ 0.00807 x8496^2 + x23045^2 - x27845^2 ] <= 0 e78148: [ 0.00807 x8497^2 + x23046^2 - x27846^2 ] <= 0 e78149: [ 0.008111 x8498^2 + x23047^2 - x27847^2 ] <= 0 e78150: [ 0.008111 x8499^2 + x23048^2 - x27848^2 ] <= 0 e78151: [ 0.008111 x8500^2 + x23049^2 - x27849^2 ] <= 0 e78152: [ 0.008111 x8501^2 + x23050^2 - x27850^2 ] <= 0 e78153: [ 0.008111 x8502^2 + x23051^2 - x27851^2 ] <= 0 e78154: [ 0.008111 x8503^2 + x23052^2 - x27852^2 ] <= 0 e78155: [ 0.008111 x8504^2 + x23053^2 - x27853^2 ] <= 0 e78156: [ 0.008111 x8505^2 + x23054^2 - x27854^2 ] <= 0 e78157: [ 0.008111 x8506^2 + x23055^2 - x27855^2 ] <= 0 e78158: [ 0.008111 x8507^2 + x23056^2 - x27856^2 ] <= 0 e78159: [ 0.008111 x8508^2 + x23057^2 - x27857^2 ] <= 0 e78160: [ 0.008111 x8509^2 + x23058^2 - x27858^2 ] <= 0 e78161: [ 0.008111 x8510^2 + x23059^2 - x27859^2 ] <= 0 e78162: [ 0.008111 x8511^2 + x23060^2 - x27860^2 ] <= 0 e78163: [ 0.008111 x8512^2 + x23061^2 - x27861^2 ] <= 0 e78164: [ 0.008111 x8513^2 + x23062^2 - x27862^2 ] <= 0 e78165: [ 0.008111 x8514^2 + x23063^2 - x27863^2 ] <= 0 e78166: [ 0.008111 x8515^2 + x23064^2 - x27864^2 ] <= 0 e78167: [ 0.008111 x8516^2 + x23065^2 - x27865^2 ] <= 0 e78168: [ 0.008111 x8517^2 + x23066^2 - x27866^2 ] <= 0 e78169: [ 0.008111 x8518^2 + x23067^2 - x27867^2 ] <= 0 e78170: [ 0.008111 x8519^2 + x23068^2 - x27868^2 ] <= 0 e78171: [ 0.008111 x8520^2 + x23069^2 - x27869^2 ] <= 0 e78172: [ 0.008111 x8521^2 + x23070^2 - x27870^2 ] <= 0 e78173: [ 0.007962 x8522^2 + x23071^2 - x27871^2 ] <= 0 e78174: [ 0.007962 x8523^2 + x23072^2 - x27872^2 ] <= 0 e78175: [ 0.007962 x8524^2 + x23073^2 - x27873^2 ] <= 0 e78176: [ 0.007962 x8525^2 + x23074^2 - x27874^2 ] <= 0 e78177: [ 0.007962 x8526^2 + x23075^2 - x27875^2 ] <= 0 e78178: [ 0.007962 x8527^2 + x23076^2 - x27876^2 ] <= 0 e78179: [ 0.007962 x8528^2 + x23077^2 - x27877^2 ] <= 0 e78180: [ 0.007962 x8529^2 + x23078^2 - x27878^2 ] <= 0 e78181: [ 0.007962 x8530^2 + x23079^2 - x27879^2 ] <= 0 e78182: [ 0.007962 x8531^2 + x23080^2 - x27880^2 ] <= 0 e78183: [ 0.007962 x8532^2 + x23081^2 - x27881^2 ] <= 0 e78184: [ 0.007962 x8533^2 + x23082^2 - x27882^2 ] <= 0 e78185: [ 0.007962 x8534^2 + x23083^2 - x27883^2 ] <= 0 e78186: [ 0.007962 x8535^2 + x23084^2 - x27884^2 ] <= 0 e78187: [ 0.007962 x8536^2 + x23085^2 - x27885^2 ] <= 0 e78188: [ 0.007962 x8537^2 + x23086^2 - x27886^2 ] <= 0 e78189: [ 0.007962 x8538^2 + x23087^2 - x27887^2 ] <= 0 e78190: [ 0.007962 x8539^2 + x23088^2 - x27888^2 ] <= 0 e78191: [ 0.007962 x8540^2 + x23089^2 - x27889^2 ] <= 0 e78192: [ 0.007962 x8541^2 + x23090^2 - x27890^2 ] <= 0 e78193: [ 0.007962 x8542^2 + x23091^2 - x27891^2 ] <= 0 e78194: [ 0.007962 x8543^2 + x23092^2 - x27892^2 ] <= 0 e78195: [ 0.007962 x8544^2 + x23093^2 - x27893^2 ] <= 0 e78196: [ 0.007962 x8545^2 + x23094^2 - x27894^2 ] <= 0 e78197: [ 0.00803 x8546^2 + x23095^2 - x27895^2 ] <= 0 e78198: [ 0.00803 x8547^2 + x23096^2 - x27896^2 ] <= 0 e78199: [ 0.00803 x8548^2 + x23097^2 - x27897^2 ] <= 0 e78200: [ 0.00803 x8549^2 + x23098^2 - x27898^2 ] <= 0 e78201: [ 0.00803 x8550^2 + x23099^2 - x27899^2 ] <= 0 e78202: [ 0.00803 x8551^2 + x23100^2 - x27900^2 ] <= 0 e78203: [ 0.00803 x8552^2 + x23101^2 - x27901^2 ] <= 0 e78204: [ 0.00803 x8553^2 + x23102^2 - x27902^2 ] <= 0 e78205: [ 0.00803 x8554^2 + x23103^2 - x27903^2 ] <= 0 e78206: [ 0.00803 x8555^2 + x23104^2 - x27904^2 ] <= 0 e78207: [ 0.00803 x8556^2 + x23105^2 - x27905^2 ] <= 0 e78208: [ 0.00803 x8557^2 + x23106^2 - x27906^2 ] <= 0 e78209: [ 0.00803 x8558^2 + x23107^2 - x27907^2 ] <= 0 e78210: [ 0.00803 x8559^2 + x23108^2 - x27908^2 ] <= 0 e78211: [ 0.00803 x8560^2 + x23109^2 - x27909^2 ] <= 0 e78212: [ 0.00803 x8561^2 + x23110^2 - x27910^2 ] <= 0 e78213: [ 0.00803 x8562^2 + x23111^2 - x27911^2 ] <= 0 e78214: [ 0.00803 x8563^2 + x23112^2 - x27912^2 ] <= 0 e78215: [ 0.00803 x8564^2 + x23113^2 - x27913^2 ] <= 0 e78216: [ 0.00803 x8565^2 + x23114^2 - x27914^2 ] <= 0 e78217: [ 0.00803 x8566^2 + x23115^2 - x27915^2 ] <= 0 e78218: [ 0.00803 x8567^2 + x23116^2 - x27916^2 ] <= 0 e78219: [ 0.00803 x8568^2 + x23117^2 - x27917^2 ] <= 0 e78220: [ 0.00803 x8569^2 + x23118^2 - x27918^2 ] <= 0 e78221: [ 0.008092 x8570^2 + x23119^2 - x27919^2 ] <= 0 e78222: [ 0.008092 x8571^2 + x23120^2 - x27920^2 ] <= 0 e78223: [ 0.008092 x8572^2 + x23121^2 - x27921^2 ] <= 0 e78224: [ 0.008092 x8573^2 + x23122^2 - x27922^2 ] <= 0 e78225: [ 0.008092 x8574^2 + x23123^2 - x27923^2 ] <= 0 e78226: [ 0.008092 x8575^2 + x23124^2 - x27924^2 ] <= 0 e78227: [ 0.008092 x8576^2 + x23125^2 - x27925^2 ] <= 0 e78228: [ 0.008092 x8577^2 + x23126^2 - x27926^2 ] <= 0 e78229: [ 0.008092 x8578^2 + x23127^2 - x27927^2 ] <= 0 e78230: [ 0.008092 x8579^2 + x23128^2 - x27928^2 ] <= 0 e78231: [ 0.008092 x8580^2 + x23129^2 - x27929^2 ] <= 0 e78232: [ 0.008092 x8581^2 + x23130^2 - x27930^2 ] <= 0 e78233: [ 0.008092 x8582^2 + x23131^2 - x27931^2 ] <= 0 e78234: [ 0.008092 x8583^2 + x23132^2 - x27932^2 ] <= 0 e78235: [ 0.008092 x8584^2 + x23133^2 - x27933^2 ] <= 0 e78236: [ 0.008092 x8585^2 + x23134^2 - x27934^2 ] <= 0 e78237: [ 0.008092 x8586^2 + x23135^2 - x27935^2 ] <= 0 e78238: [ 0.008092 x8587^2 + x23136^2 - x27936^2 ] <= 0 e78239: [ 0.008092 x8588^2 + x23137^2 - x27937^2 ] <= 0 e78240: [ 0.008092 x8589^2 + x23138^2 - x27938^2 ] <= 0 e78241: [ 0.008092 x8590^2 + x23139^2 - x27939^2 ] <= 0 e78242: [ 0.008092 x8591^2 + x23140^2 - x27940^2 ] <= 0 e78243: [ 0.008092 x8592^2 + x23141^2 - x27941^2 ] <= 0 e78244: [ 0.008092 x8593^2 + x23142^2 - x27942^2 ] <= 0 e78245: [ 0.007584 x8594^2 + x23143^2 - x27943^2 ] <= 0 e78246: [ 0.007584 x8595^2 + x23144^2 - x27944^2 ] <= 0 e78247: [ 0.007584 x8596^2 + x23145^2 - x27945^2 ] <= 0 e78248: [ 0.007584 x8597^2 + x23146^2 - x27946^2 ] <= 0 e78249: [ 0.007584 x8598^2 + x23147^2 - x27947^2 ] <= 0 e78250: [ 0.007584 x8599^2 + x23148^2 - x27948^2 ] <= 0 e78251: [ 0.007584 x8600^2 + x23149^2 - x27949^2 ] <= 0 e78252: [ 0.007584 x8601^2 + x23150^2 - x27950^2 ] <= 0 e78253: [ 0.007584 x8602^2 + x23151^2 - x27951^2 ] <= 0 e78254: [ 0.007584 x8603^2 + x23152^2 - x27952^2 ] <= 0 e78255: [ 0.007584 x8604^2 + x23153^2 - x27953^2 ] <= 0 e78256: [ 0.007584 x8605^2 + x23154^2 - x27954^2 ] <= 0 e78257: [ 0.007584 x8606^2 + x23155^2 - x27955^2 ] <= 0 e78258: [ 0.007584 x8607^2 + x23156^2 - x27956^2 ] <= 0 e78259: [ 0.007584 x8608^2 + x23157^2 - x27957^2 ] <= 0 e78260: [ 0.007584 x8609^2 + x23158^2 - x27958^2 ] <= 0 e78261: [ 0.007584 x8610^2 + x23159^2 - x27959^2 ] <= 0 e78262: [ 0.007584 x8611^2 + x23160^2 - x27960^2 ] <= 0 e78263: [ 0.007584 x8612^2 + x23161^2 - x27961^2 ] <= 0 e78264: [ 0.007584 x8613^2 + x23162^2 - x27962^2 ] <= 0 e78265: [ 0.007584 x8614^2 + x23163^2 - x27963^2 ] <= 0 e78266: [ 0.007584 x8615^2 + x23164^2 - x27964^2 ] <= 0 e78267: [ 0.007584 x8616^2 + x23165^2 - x27965^2 ] <= 0 e78268: [ 0.007584 x8617^2 + x23166^2 - x27966^2 ] <= 0 e78269: [ 0.008258 x8618^2 + x23167^2 - x27967^2 ] <= 0 e78270: [ 0.008258 x8619^2 + x23168^2 - x27968^2 ] <= 0 e78271: [ 0.008258 x8620^2 + x23169^2 - x27969^2 ] <= 0 e78272: [ 0.008258 x8621^2 + x23170^2 - x27970^2 ] <= 0 e78273: [ 0.008258 x8622^2 + x23171^2 - x27971^2 ] <= 0 e78274: [ 0.008258 x8623^2 + x23172^2 - x27972^2 ] <= 0 e78275: [ 0.008258 x8624^2 + x23173^2 - x27973^2 ] <= 0 e78276: [ 0.008258 x8625^2 + x23174^2 - x27974^2 ] <= 0 e78277: [ 0.008258 x8626^2 + x23175^2 - x27975^2 ] <= 0 e78278: [ 0.008258 x8627^2 + x23176^2 - x27976^2 ] <= 0 e78279: [ 0.008258 x8628^2 + x23177^2 - x27977^2 ] <= 0 e78280: [ 0.008258 x8629^2 + x23178^2 - x27978^2 ] <= 0 e78281: [ 0.008258 x8630^2 + x23179^2 - x27979^2 ] <= 0 e78282: [ 0.008258 x8631^2 + x23180^2 - x27980^2 ] <= 0 e78283: [ 0.008258 x8632^2 + x23181^2 - x27981^2 ] <= 0 e78284: [ 0.008258 x8633^2 + x23182^2 - x27982^2 ] <= 0 e78285: [ 0.008258 x8634^2 + x23183^2 - x27983^2 ] <= 0 e78286: [ 0.008258 x8635^2 + x23184^2 - x27984^2 ] <= 0 e78287: [ 0.008258 x8636^2 + x23185^2 - x27985^2 ] <= 0 e78288: [ 0.008258 x8637^2 + x23186^2 - x27986^2 ] <= 0 e78289: [ 0.008258 x8638^2 + x23187^2 - x27987^2 ] <= 0 e78290: [ 0.008258 x8639^2 + x23188^2 - x27988^2 ] <= 0 e78291: [ 0.008258 x8640^2 + x23189^2 - x27989^2 ] <= 0 e78292: [ 0.008258 x8641^2 + x23190^2 - x27990^2 ] <= 0 e78293: [ 0.008525 x8642^2 + x23191^2 - x27991^2 ] <= 0 e78294: [ 0.008525 x8643^2 + x23192^2 - x27992^2 ] <= 0 e78295: [ 0.008525 x8644^2 + x23193^2 - x27993^2 ] <= 0 e78296: [ 0.008525 x8645^2 + x23194^2 - x27994^2 ] <= 0 e78297: [ 0.008525 x8646^2 + x23195^2 - x27995^2 ] <= 0 e78298: [ 0.008525 x8647^2 + x23196^2 - x27996^2 ] <= 0 e78299: [ 0.008525 x8648^2 + x23197^2 - x27997^2 ] <= 0 e78300: [ 0.008525 x8649^2 + x23198^2 - x27998^2 ] <= 0 e78301: [ 0.008525 x8650^2 + x23199^2 - x27999^2 ] <= 0 e78302: [ 0.008525 x8651^2 + x23200^2 - x28000^2 ] <= 0 e78303: [ 0.008525 x8652^2 + x23201^2 - x28001^2 ] <= 0 e78304: [ 0.008525 x8653^2 + x23202^2 - x28002^2 ] <= 0 e78305: [ 0.008525 x8654^2 + x23203^2 - x28003^2 ] <= 0 e78306: [ 0.008525 x8655^2 + x23204^2 - x28004^2 ] <= 0 e78307: [ 0.008525 x8656^2 + x23205^2 - x28005^2 ] <= 0 e78308: [ 0.008525 x8657^2 + x23206^2 - x28006^2 ] <= 0 e78309: [ 0.008525 x8658^2 + x23207^2 - x28007^2 ] <= 0 e78310: [ 0.008525 x8659^2 + x23208^2 - x28008^2 ] <= 0 e78311: [ 0.008525 x8660^2 + x23209^2 - x28009^2 ] <= 0 e78312: [ 0.008525 x8661^2 + x23210^2 - x28010^2 ] <= 0 e78313: [ 0.008525 x8662^2 + x23211^2 - x28011^2 ] <= 0 e78314: [ 0.008525 x8663^2 + x23212^2 - x28012^2 ] <= 0 e78315: [ 0.008525 x8664^2 + x23213^2 - x28013^2 ] <= 0 e78316: [ 0.008525 x8665^2 + x23214^2 - x28014^2 ] <= 0 e78317: [ 0.008165 x8666^2 + x23215^2 - x28015^2 ] <= 0 e78318: [ 0.008165 x8667^2 + x23216^2 - x28016^2 ] <= 0 e78319: [ 0.008165 x8668^2 + x23217^2 - x28017^2 ] <= 0 e78320: [ 0.008165 x8669^2 + x23218^2 - x28018^2 ] <= 0 e78321: [ 0.008165 x8670^2 + x23219^2 - x28019^2 ] <= 0 e78322: [ 0.008165 x8671^2 + x23220^2 - x28020^2 ] <= 0 e78323: [ 0.008165 x8672^2 + x23221^2 - x28021^2 ] <= 0 e78324: [ 0.008165 x8673^2 + x23222^2 - x28022^2 ] <= 0 e78325: [ 0.008165 x8674^2 + x23223^2 - x28023^2 ] <= 0 e78326: [ 0.008165 x8675^2 + x23224^2 - x28024^2 ] <= 0 e78327: [ 0.008165 x8676^2 + x23225^2 - x28025^2 ] <= 0 e78328: [ 0.008165 x8677^2 + x23226^2 - x28026^2 ] <= 0 e78329: [ 0.008165 x8678^2 + x23227^2 - x28027^2 ] <= 0 e78330: [ 0.008165 x8679^2 + x23228^2 - x28028^2 ] <= 0 e78331: [ 0.008165 x8680^2 + x23229^2 - x28029^2 ] <= 0 e78332: [ 0.008165 x8681^2 + x23230^2 - x28030^2 ] <= 0 e78333: [ 0.008165 x8682^2 + x23231^2 - x28031^2 ] <= 0 e78334: [ 0.008165 x8683^2 + x23232^2 - x28032^2 ] <= 0 e78335: [ 0.008165 x8684^2 + x23233^2 - x28033^2 ] <= 0 e78336: [ 0.008165 x8685^2 + x23234^2 - x28034^2 ] <= 0 e78337: [ 0.008165 x8686^2 + x23235^2 - x28035^2 ] <= 0 e78338: [ 0.008165 x8687^2 + x23236^2 - x28036^2 ] <= 0 e78339: [ 0.008165 x8688^2 + x23237^2 - x28037^2 ] <= 0 e78340: [ 0.008165 x8689^2 + x23238^2 - x28038^2 ] <= 0 e78341: [ 0.007597 x8690^2 + x23239^2 - x28039^2 ] <= 0 e78342: [ 0.007597 x8691^2 + x23240^2 - x28040^2 ] <= 0 e78343: [ 0.007597 x8692^2 + x23241^2 - x28041^2 ] <= 0 e78344: [ 0.007597 x8693^2 + x23242^2 - x28042^2 ] <= 0 e78345: [ 0.007597 x8694^2 + x23243^2 - x28043^2 ] <= 0 e78346: [ 0.007597 x8695^2 + x23244^2 - x28044^2 ] <= 0 e78347: [ 0.007597 x8696^2 + x23245^2 - x28045^2 ] <= 0 e78348: [ 0.007597 x8697^2 + x23246^2 - x28046^2 ] <= 0 e78349: [ 0.007597 x8698^2 + x23247^2 - x28047^2 ] <= 0 e78350: [ 0.007597 x8699^2 + x23248^2 - x28048^2 ] <= 0 e78351: [ 0.007597 x8700^2 + x23249^2 - x28049^2 ] <= 0 e78352: [ 0.007597 x8701^2 + x23250^2 - x28050^2 ] <= 0 e78353: [ 0.007597 x8702^2 + x23251^2 - x28051^2 ] <= 0 e78354: [ 0.007597 x8703^2 + x23252^2 - x28052^2 ] <= 0 e78355: [ 0.007597 x8704^2 + x23253^2 - x28053^2 ] <= 0 e78356: [ 0.007597 x8705^2 + x23254^2 - x28054^2 ] <= 0 e78357: [ 0.007597 x8706^2 + x23255^2 - x28055^2 ] <= 0 e78358: [ 0.007597 x8707^2 + x23256^2 - x28056^2 ] <= 0 e78359: [ 0.007597 x8708^2 + x23257^2 - x28057^2 ] <= 0 e78360: [ 0.007597 x8709^2 + x23258^2 - x28058^2 ] <= 0 e78361: [ 0.007597 x8710^2 + x23259^2 - x28059^2 ] <= 0 e78362: [ 0.007597 x8711^2 + x23260^2 - x28060^2 ] <= 0 e78363: [ 0.007597 x8712^2 + x23261^2 - x28061^2 ] <= 0 e78364: [ 0.007597 x8713^2 + x23262^2 - x28062^2 ] <= 0 e78365: [ 0.008057 x8714^2 + x23263^2 - x28063^2 ] <= 0 e78366: [ 0.008057 x8715^2 + x23264^2 - x28064^2 ] <= 0 e78367: [ 0.008057 x8716^2 + x23265^2 - x28065^2 ] <= 0 e78368: [ 0.008057 x8717^2 + x23266^2 - x28066^2 ] <= 0 e78369: [ 0.008057 x8718^2 + x23267^2 - x28067^2 ] <= 0 e78370: [ 0.008057 x8719^2 + x23268^2 - x28068^2 ] <= 0 e78371: [ 0.008057 x8720^2 + x23269^2 - x28069^2 ] <= 0 e78372: [ 0.008057 x8721^2 + x23270^2 - x28070^2 ] <= 0 e78373: [ 0.008057 x8722^2 + x23271^2 - x28071^2 ] <= 0 e78374: [ 0.008057 x8723^2 + x23272^2 - x28072^2 ] <= 0 e78375: [ 0.008057 x8724^2 + x23273^2 - x28073^2 ] <= 0 e78376: [ 0.008057 x8725^2 + x23274^2 - x28074^2 ] <= 0 e78377: [ 0.008057 x8726^2 + x23275^2 - x28075^2 ] <= 0 e78378: [ 0.008057 x8727^2 + x23276^2 - x28076^2 ] <= 0 e78379: [ 0.008057 x8728^2 + x23277^2 - x28077^2 ] <= 0 e78380: [ 0.008057 x8729^2 + x23278^2 - x28078^2 ] <= 0 e78381: [ 0.008057 x8730^2 + x23279^2 - x28079^2 ] <= 0 e78382: [ 0.008057 x8731^2 + x23280^2 - x28080^2 ] <= 0 e78383: [ 0.008057 x8732^2 + x23281^2 - x28081^2 ] <= 0 e78384: [ 0.008057 x8733^2 + x23282^2 - x28082^2 ] <= 0 e78385: [ 0.008057 x8734^2 + x23283^2 - x28083^2 ] <= 0 e78386: [ 0.008057 x8735^2 + x23284^2 - x28084^2 ] <= 0 e78387: [ 0.008057 x8736^2 + x23285^2 - x28085^2 ] <= 0 e78388: [ 0.008057 x8737^2 + x23286^2 - x28086^2 ] <= 0 e78389: [ 0.008446 x8738^2 + x23287^2 - x28087^2 ] <= 0 e78390: [ 0.008446 x8739^2 + x23288^2 - x28088^2 ] <= 0 e78391: [ 0.008446 x8740^2 + x23289^2 - x28089^2 ] <= 0 e78392: [ 0.008446 x8741^2 + x23290^2 - x28090^2 ] <= 0 e78393: [ 0.008446 x8742^2 + x23291^2 - x28091^2 ] <= 0 e78394: [ 0.008446 x8743^2 + x23292^2 - x28092^2 ] <= 0 e78395: [ 0.008446 x8744^2 + x23293^2 - x28093^2 ] <= 0 e78396: [ 0.008446 x8745^2 + x23294^2 - x28094^2 ] <= 0 e78397: [ 0.008446 x8746^2 + x23295^2 - x28095^2 ] <= 0 e78398: [ 0.008446 x8747^2 + x23296^2 - x28096^2 ] <= 0 e78399: [ 0.008446 x8748^2 + x23297^2 - x28097^2 ] <= 0 e78400: [ 0.008446 x8749^2 + x23298^2 - x28098^2 ] <= 0 e78401: [ 0.008446 x8750^2 + x23299^2 - x28099^2 ] <= 0 e78402: [ 0.008446 x8751^2 + x23300^2 - x28100^2 ] <= 0 e78403: [ 0.008446 x8752^2 + x23301^2 - x28101^2 ] <= 0 e78404: [ 0.008446 x8753^2 + x23302^2 - x28102^2 ] <= 0 e78405: [ 0.008446 x8754^2 + x23303^2 - x28103^2 ] <= 0 e78406: [ 0.008446 x8755^2 + x23304^2 - x28104^2 ] <= 0 e78407: [ 0.008446 x8756^2 + x23305^2 - x28105^2 ] <= 0 e78408: [ 0.008446 x8757^2 + x23306^2 - x28106^2 ] <= 0 e78409: [ 0.008446 x8758^2 + x23307^2 - x28107^2 ] <= 0 e78410: [ 0.008446 x8759^2 + x23308^2 - x28108^2 ] <= 0 e78411: [ 0.008446 x8760^2 + x23309^2 - x28109^2 ] <= 0 e78412: [ 0.008446 x8761^2 + x23310^2 - x28110^2 ] <= 0 e78413: [ 0.007962 x8762^2 + x23311^2 - x28111^2 ] <= 0 e78414: [ 0.007962 x8763^2 + x23312^2 - x28112^2 ] <= 0 e78415: [ 0.007962 x8764^2 + x23313^2 - x28113^2 ] <= 0 e78416: [ 0.007962 x8765^2 + x23314^2 - x28114^2 ] <= 0 e78417: [ 0.007962 x8766^2 + x23315^2 - x28115^2 ] <= 0 e78418: [ 0.007962 x8767^2 + x23316^2 - x28116^2 ] <= 0 e78419: [ 0.007962 x8768^2 + x23317^2 - x28117^2 ] <= 0 e78420: [ 0.007962 x8769^2 + x23318^2 - x28118^2 ] <= 0 e78421: [ 0.007962 x8770^2 + x23319^2 - x28119^2 ] <= 0 e78422: [ 0.007962 x8771^2 + x23320^2 - x28120^2 ] <= 0 e78423: [ 0.007962 x8772^2 + x23321^2 - x28121^2 ] <= 0 e78424: [ 0.007962 x8773^2 + x23322^2 - x28122^2 ] <= 0 e78425: [ 0.007962 x8774^2 + x23323^2 - x28123^2 ] <= 0 e78426: [ 0.007962 x8775^2 + x23324^2 - x28124^2 ] <= 0 e78427: [ 0.007962 x8776^2 + x23325^2 - x28125^2 ] <= 0 e78428: [ 0.007962 x8777^2 + x23326^2 - x28126^2 ] <= 0 e78429: [ 0.007962 x8778^2 + x23327^2 - x28127^2 ] <= 0 e78430: [ 0.007962 x8779^2 + x23328^2 - x28128^2 ] <= 0 e78431: [ 0.007962 x8780^2 + x23329^2 - x28129^2 ] <= 0 e78432: [ 0.007962 x8781^2 + x23330^2 - x28130^2 ] <= 0 e78433: [ 0.007962 x8782^2 + x23331^2 - x28131^2 ] <= 0 e78434: [ 0.007962 x8783^2 + x23332^2 - x28132^2 ] <= 0 e78435: [ 0.007962 x8784^2 + x23333^2 - x28133^2 ] <= 0 e78436: [ 0.007962 x8785^2 + x23334^2 - x28134^2 ] <= 0 e78437: [ 0.007707 x8786^2 + x23335^2 - x28135^2 ] <= 0 e78438: [ 0.007707 x8787^2 + x23336^2 - x28136^2 ] <= 0 e78439: [ 0.007707 x8788^2 + x23337^2 - x28137^2 ] <= 0 e78440: [ 0.007707 x8789^2 + x23338^2 - x28138^2 ] <= 0 e78441: [ 0.007707 x8790^2 + x23339^2 - x28139^2 ] <= 0 e78442: [ 0.007707 x8791^2 + x23340^2 - x28140^2 ] <= 0 e78443: [ 0.007707 x8792^2 + x23341^2 - x28141^2 ] <= 0 e78444: [ 0.007707 x8793^2 + x23342^2 - x28142^2 ] <= 0 e78445: [ 0.007707 x8794^2 + x23343^2 - x28143^2 ] <= 0 e78446: [ 0.007707 x8795^2 + x23344^2 - x28144^2 ] <= 0 e78447: [ 0.007707 x8796^2 + x23345^2 - x28145^2 ] <= 0 e78448: [ 0.007707 x8797^2 + x23346^2 - x28146^2 ] <= 0 e78449: [ 0.007707 x8798^2 + x23347^2 - x28147^2 ] <= 0 e78450: [ 0.007707 x8799^2 + x23348^2 - x28148^2 ] <= 0 e78451: [ 0.007707 x8800^2 + x23349^2 - x28149^2 ] <= 0 e78452: [ 0.007707 x8801^2 + x23350^2 - x28150^2 ] <= 0 e78453: [ 0.007707 x8802^2 + x23351^2 - x28151^2 ] <= 0 e78454: [ 0.007707 x8803^2 + x23352^2 - x28152^2 ] <= 0 e78455: [ 0.007707 x8804^2 + x23353^2 - x28153^2 ] <= 0 e78456: [ 0.007707 x8805^2 + x23354^2 - x28154^2 ] <= 0 e78457: [ 0.007707 x8806^2 + x23355^2 - x28155^2 ] <= 0 e78458: [ 0.007707 x8807^2 + x23356^2 - x28156^2 ] <= 0 e78459: [ 0.007707 x8808^2 + x23357^2 - x28157^2 ] <= 0 e78460: [ 0.007707 x8809^2 + x23358^2 - x28158^2 ] <= 0 e78461: [ 0.000814 x8810^2 + x23359^2 - x28159^2 ] <= 0 e78462: [ 0.000814 x8811^2 + x23360^2 - x28160^2 ] <= 0 e78463: [ 0.000814 x8812^2 + x23361^2 - x28161^2 ] <= 0 e78464: [ 0.000814 x8813^2 + x23362^2 - x28162^2 ] <= 0 e78465: [ 0.000814 x8814^2 + x23363^2 - x28163^2 ] <= 0 e78466: [ 0.000814 x8815^2 + x23364^2 - x28164^2 ] <= 0 e78467: [ 0.000814 x8816^2 + x23365^2 - x28165^2 ] <= 0 e78468: [ 0.000814 x8817^2 + x23366^2 - x28166^2 ] <= 0 e78469: [ 0.000814 x8818^2 + x23367^2 - x28167^2 ] <= 0 e78470: [ 0.000814 x8819^2 + x23368^2 - x28168^2 ] <= 0 e78471: [ 0.000814 x8820^2 + x23369^2 - x28169^2 ] <= 0 e78472: [ 0.000814 x8821^2 + x23370^2 - x28170^2 ] <= 0 e78473: [ 0.000814 x8822^2 + x23371^2 - x28171^2 ] <= 0 e78474: [ 0.000814 x8823^2 + x23372^2 - x28172^2 ] <= 0 e78475: [ 0.000814 x8824^2 + x23373^2 - x28173^2 ] <= 0 e78476: [ 0.000814 x8825^2 + x23374^2 - x28174^2 ] <= 0 e78477: [ 0.000814 x8826^2 + x23375^2 - x28175^2 ] <= 0 e78478: [ 0.000814 x8827^2 + x23376^2 - x28176^2 ] <= 0 e78479: [ 0.000814 x8828^2 + x23377^2 - x28177^2 ] <= 0 e78480: [ 0.000814 x8829^2 + x23378^2 - x28178^2 ] <= 0 e78481: [ 0.000814 x8830^2 + x23379^2 - x28179^2 ] <= 0 e78482: [ 0.000814 x8831^2 + x23380^2 - x28180^2 ] <= 0 e78483: [ 0.000814 x8832^2 + x23381^2 - x28181^2 ] <= 0 e78484: [ 0.000814 x8833^2 + x23382^2 - x28182^2 ] <= 0 e78485: [ 0.006263 x8834^2 + x23383^2 - x28183^2 ] <= 0 e78486: [ 0.006263 x8835^2 + x23384^2 - x28184^2 ] <= 0 e78487: [ 0.006263 x8836^2 + x23385^2 - x28185^2 ] <= 0 e78488: [ 0.006263 x8837^2 + x23386^2 - x28186^2 ] <= 0 e78489: [ 0.006263 x8838^2 + x23387^2 - x28187^2 ] <= 0 e78490: [ 0.006263 x8839^2 + x23388^2 - x28188^2 ] <= 0 e78491: [ 0.006263 x8840^2 + x23389^2 - x28189^2 ] <= 0 e78492: [ 0.006263 x8841^2 + x23390^2 - x28190^2 ] <= 0 e78493: [ 0.006263 x8842^2 + x23391^2 - x28191^2 ] <= 0 e78494: [ 0.006263 x8843^2 + x23392^2 - x28192^2 ] <= 0 e78495: [ 0.006263 x8844^2 + x23393^2 - x28193^2 ] <= 0 e78496: [ 0.006263 x8845^2 + x23394^2 - x28194^2 ] <= 0 e78497: [ 0.006263 x8846^2 + x23395^2 - x28195^2 ] <= 0 e78498: [ 0.006263 x8847^2 + x23396^2 - x28196^2 ] <= 0 e78499: [ 0.006263 x8848^2 + x23397^2 - x28197^2 ] <= 0 e78500: [ 0.006263 x8849^2 + x23398^2 - x28198^2 ] <= 0 e78501: [ 0.006263 x8850^2 + x23399^2 - x28199^2 ] <= 0 e78502: [ 0.006263 x8851^2 + x23400^2 - x28200^2 ] <= 0 e78503: [ 0.006263 x8852^2 + x23401^2 - x28201^2 ] <= 0 e78504: [ 0.006263 x8853^2 + x23402^2 - x28202^2 ] <= 0 e78505: [ 0.006263 x8854^2 + x23403^2 - x28203^2 ] <= 0 e78506: [ 0.006263 x8855^2 + x23404^2 - x28204^2 ] <= 0 e78507: [ 0.006263 x8856^2 + x23405^2 - x28205^2 ] <= 0 e78508: [ 0.006263 x8857^2 + x23406^2 - x28206^2 ] <= 0 e78509: [ 0.001671 x8858^2 + x23407^2 - x28207^2 ] <= 0 e78510: [ 0.001671 x8859^2 + x23408^2 - x28208^2 ] <= 0 e78511: [ 0.001671 x8860^2 + x23409^2 - x28209^2 ] <= 0 e78512: [ 0.001671 x8861^2 + x23410^2 - x28210^2 ] <= 0 e78513: [ 0.001671 x8862^2 + x23411^2 - x28211^2 ] <= 0 e78514: [ 0.001671 x8863^2 + x23412^2 - x28212^2 ] <= 0 e78515: [ 0.001671 x8864^2 + x23413^2 - x28213^2 ] <= 0 e78516: [ 0.001671 x8865^2 + x23414^2 - x28214^2 ] <= 0 e78517: [ 0.001671 x8866^2 + x23415^2 - x28215^2 ] <= 0 e78518: [ 0.001671 x8867^2 + x23416^2 - x28216^2 ] <= 0 e78519: [ 0.001671 x8868^2 + x23417^2 - x28217^2 ] <= 0 e78520: [ 0.001671 x8869^2 + x23418^2 - x28218^2 ] <= 0 e78521: [ 0.001671 x8870^2 + x23419^2 - x28219^2 ] <= 0 e78522: [ 0.001671 x8871^2 + x23420^2 - x28220^2 ] <= 0 e78523: [ 0.001671 x8872^2 + x23421^2 - x28221^2 ] <= 0 e78524: [ 0.001671 x8873^2 + x23422^2 - x28222^2 ] <= 0 e78525: [ 0.001671 x8874^2 + x23423^2 - x28223^2 ] <= 0 e78526: [ 0.001671 x8875^2 + x23424^2 - x28224^2 ] <= 0 e78527: [ 0.001671 x8876^2 + x23425^2 - x28225^2 ] <= 0 e78528: [ 0.001671 x8877^2 + x23426^2 - x28226^2 ] <= 0 e78529: [ 0.001671 x8878^2 + x23427^2 - x28227^2 ] <= 0 e78530: [ 0.001671 x8879^2 + x23428^2 - x28228^2 ] <= 0 e78531: [ 0.001671 x8880^2 + x23429^2 - x28229^2 ] <= 0 e78532: [ 0.001671 x8881^2 + x23430^2 - x28230^2 ] <= 0 e78533: [ 0.00396 x8882^2 + x23431^2 - x28231^2 ] <= 0 e78534: [ 0.00396 x8883^2 + x23432^2 - x28232^2 ] <= 0 e78535: [ 0.00396 x8884^2 + x23433^2 - x28233^2 ] <= 0 e78536: [ 0.00396 x8885^2 + x23434^2 - x28234^2 ] <= 0 e78537: [ 0.00396 x8886^2 + x23435^2 - x28235^2 ] <= 0 e78538: [ 0.00396 x8887^2 + x23436^2 - x28236^2 ] <= 0 e78539: [ 0.00396 x8888^2 + x23437^2 - x28237^2 ] <= 0 e78540: [ 0.00396 x8889^2 + x23438^2 - x28238^2 ] <= 0 e78541: [ 0.00396 x8890^2 + x23439^2 - x28239^2 ] <= 0 e78542: [ 0.00396 x8891^2 + x23440^2 - x28240^2 ] <= 0 e78543: [ 0.00396 x8892^2 + x23441^2 - x28241^2 ] <= 0 e78544: [ 0.00396 x8893^2 + x23442^2 - x28242^2 ] <= 0 e78545: [ 0.00396 x8894^2 + x23443^2 - x28243^2 ] <= 0 e78546: [ 0.00396 x8895^2 + x23444^2 - x28244^2 ] <= 0 e78547: [ 0.00396 x8896^2 + x23445^2 - x28245^2 ] <= 0 e78548: [ 0.00396 x8897^2 + x23446^2 - x28246^2 ] <= 0 e78549: [ 0.00396 x8898^2 + x23447^2 - x28247^2 ] <= 0 e78550: [ 0.00396 x8899^2 + x23448^2 - x28248^2 ] <= 0 e78551: [ 0.00396 x8900^2 + x23449^2 - x28249^2 ] <= 0 e78552: [ 0.00396 x8901^2 + x23450^2 - x28250^2 ] <= 0 e78553: [ 0.00396 x8902^2 + x23451^2 - x28251^2 ] <= 0 e78554: [ 0.00396 x8903^2 + x23452^2 - x28252^2 ] <= 0 e78555: [ 0.00396 x8904^2 + x23453^2 - x28253^2 ] <= 0 e78556: [ 0.00396 x8905^2 + x23454^2 - x28254^2 ] <= 0 e78557: [ 0.006084 x8906^2 + x23455^2 - x28255^2 ] <= 0 e78558: [ 0.006084 x8907^2 + x23456^2 - x28256^2 ] <= 0 e78559: [ 0.006084 x8908^2 + x23457^2 - x28257^2 ] <= 0 e78560: [ 0.006084 x8909^2 + x23458^2 - x28258^2 ] <= 0 e78561: [ 0.006084 x8910^2 + x23459^2 - x28259^2 ] <= 0 e78562: [ 0.006084 x8911^2 + x23460^2 - x28260^2 ] <= 0 e78563: [ 0.006084 x8912^2 + x23461^2 - x28261^2 ] <= 0 e78564: [ 0.006084 x8913^2 + x23462^2 - x28262^2 ] <= 0 e78565: [ 0.006084 x8914^2 + x23463^2 - x28263^2 ] <= 0 e78566: [ 0.006084 x8915^2 + x23464^2 - x28264^2 ] <= 0 e78567: [ 0.006084 x8916^2 + x23465^2 - x28265^2 ] <= 0 e78568: [ 0.006084 x8917^2 + x23466^2 - x28266^2 ] <= 0 e78569: [ 0.006084 x8918^2 + x23467^2 - x28267^2 ] <= 0 e78570: [ 0.006084 x8919^2 + x23468^2 - x28268^2 ] <= 0 e78571: [ 0.006084 x8920^2 + x23469^2 - x28269^2 ] <= 0 e78572: [ 0.006084 x8921^2 + x23470^2 - x28270^2 ] <= 0 e78573: [ 0.006084 x8922^2 + x23471^2 - x28271^2 ] <= 0 e78574: [ 0.006084 x8923^2 + x23472^2 - x28272^2 ] <= 0 e78575: [ 0.006084 x8924^2 + x23473^2 - x28273^2 ] <= 0 e78576: [ 0.006084 x8925^2 + x23474^2 - x28274^2 ] <= 0 e78577: [ 0.006084 x8926^2 + x23475^2 - x28275^2 ] <= 0 e78578: [ 0.006084 x8927^2 + x23476^2 - x28276^2 ] <= 0 e78579: [ 0.006084 x8928^2 + x23477^2 - x28277^2 ] <= 0 e78580: [ 0.006084 x8929^2 + x23478^2 - x28278^2 ] <= 0 e78581: [ 0.005009 x8930^2 + x23479^2 - x28279^2 ] <= 0 e78582: [ 0.005009 x8931^2 + x23480^2 - x28280^2 ] <= 0 e78583: [ 0.005009 x8932^2 + x23481^2 - x28281^2 ] <= 0 e78584: [ 0.005009 x8933^2 + x23482^2 - x28282^2 ] <= 0 e78585: [ 0.005009 x8934^2 + x23483^2 - x28283^2 ] <= 0 e78586: [ 0.005009 x8935^2 + x23484^2 - x28284^2 ] <= 0 e78587: [ 0.005009 x8936^2 + x23485^2 - x28285^2 ] <= 0 e78588: [ 0.005009 x8937^2 + x23486^2 - x28286^2 ] <= 0 e78589: [ 0.005009 x8938^2 + x23487^2 - x28287^2 ] <= 0 e78590: [ 0.005009 x8939^2 + x23488^2 - x28288^2 ] <= 0 e78591: [ 0.005009 x8940^2 + x23489^2 - x28289^2 ] <= 0 e78592: [ 0.005009 x8941^2 + x23490^2 - x28290^2 ] <= 0 e78593: [ 0.005009 x8942^2 + x23491^2 - x28291^2 ] <= 0 e78594: [ 0.005009 x8943^2 + x23492^2 - x28292^2 ] <= 0 e78595: [ 0.005009 x8944^2 + x23493^2 - x28293^2 ] <= 0 e78596: [ 0.005009 x8945^2 + x23494^2 - x28294^2 ] <= 0 e78597: [ 0.005009 x8946^2 + x23495^2 - x28295^2 ] <= 0 e78598: [ 0.005009 x8947^2 + x23496^2 - x28296^2 ] <= 0 e78599: [ 0.005009 x8948^2 + x23497^2 - x28297^2 ] <= 0 e78600: [ 0.005009 x8949^2 + x23498^2 - x28298^2 ] <= 0 e78601: [ 0.005009 x8950^2 + x23499^2 - x28299^2 ] <= 0 e78602: [ 0.005009 x8951^2 + x23500^2 - x28300^2 ] <= 0 e78603: [ 0.005009 x8952^2 + x23501^2 - x28301^2 ] <= 0 e78604: [ 0.005009 x8953^2 + x23502^2 - x28302^2 ] <= 0 e78605: [ 0.003441 x8954^2 + x23503^2 - x28303^2 ] <= 0 e78606: [ 0.003441 x8955^2 + x23504^2 - x28304^2 ] <= 0 e78607: [ 0.003441 x8956^2 + x23505^2 - x28305^2 ] <= 0 e78608: [ 0.003441 x8957^2 + x23506^2 - x28306^2 ] <= 0 e78609: [ 0.003441 x8958^2 + x23507^2 - x28307^2 ] <= 0 e78610: [ 0.003441 x8959^2 + x23508^2 - x28308^2 ] <= 0 e78611: [ 0.003441 x8960^2 + x23509^2 - x28309^2 ] <= 0 e78612: [ 0.003441 x8961^2 + x23510^2 - x28310^2 ] <= 0 e78613: [ 0.003441 x8962^2 + x23511^2 - x28311^2 ] <= 0 e78614: [ 0.003441 x8963^2 + x23512^2 - x28312^2 ] <= 0 e78615: [ 0.003441 x8964^2 + x23513^2 - x28313^2 ] <= 0 e78616: [ 0.003441 x8965^2 + x23514^2 - x28314^2 ] <= 0 e78617: [ 0.003441 x8966^2 + x23515^2 - x28315^2 ] <= 0 e78618: [ 0.003441 x8967^2 + x23516^2 - x28316^2 ] <= 0 e78619: [ 0.003441 x8968^2 + x23517^2 - x28317^2 ] <= 0 e78620: [ 0.003441 x8969^2 + x23518^2 - x28318^2 ] <= 0 e78621: [ 0.003441 x8970^2 + x23519^2 - x28319^2 ] <= 0 e78622: [ 0.003441 x8971^2 + x23520^2 - x28320^2 ] <= 0 e78623: [ 0.003441 x8972^2 + x23521^2 - x28321^2 ] <= 0 e78624: [ 0.003441 x8973^2 + x23522^2 - x28322^2 ] <= 0 e78625: [ 0.003441 x8974^2 + x23523^2 - x28323^2 ] <= 0 e78626: [ 0.003441 x8975^2 + x23524^2 - x28324^2 ] <= 0 e78627: [ 0.003441 x8976^2 + x23525^2 - x28325^2 ] <= 0 e78628: [ 0.003441 x8977^2 + x23526^2 - x28326^2 ] <= 0 e78629: [ 0.003808 x8978^2 + x23527^2 - x28327^2 ] <= 0 e78630: [ 0.003808 x8979^2 + x23528^2 - x28328^2 ] <= 0 e78631: [ 0.003808 x8980^2 + x23529^2 - x28329^2 ] <= 0 e78632: [ 0.003808 x8981^2 + x23530^2 - x28330^2 ] <= 0 e78633: [ 0.003808 x8982^2 + x23531^2 - x28331^2 ] <= 0 e78634: [ 0.003808 x8983^2 + x23532^2 - x28332^2 ] <= 0 e78635: [ 0.003808 x8984^2 + x23533^2 - x28333^2 ] <= 0 e78636: [ 0.003808 x8985^2 + x23534^2 - x28334^2 ] <= 0 e78637: [ 0.003808 x8986^2 + x23535^2 - x28335^2 ] <= 0 e78638: [ 0.003808 x8987^2 + x23536^2 - x28336^2 ] <= 0 e78639: [ 0.003808 x8988^2 + x23537^2 - x28337^2 ] <= 0 e78640: [ 0.003808 x8989^2 + x23538^2 - x28338^2 ] <= 0 e78641: [ 0.003808 x8990^2 + x23539^2 - x28339^2 ] <= 0 e78642: [ 0.003808 x8991^2 + x23540^2 - x28340^2 ] <= 0 e78643: [ 0.003808 x8992^2 + x23541^2 - x28341^2 ] <= 0 e78644: [ 0.003808 x8993^2 + x23542^2 - x28342^2 ] <= 0 e78645: [ 0.003808 x8994^2 + x23543^2 - x28343^2 ] <= 0 e78646: [ 0.003808 x8995^2 + x23544^2 - x28344^2 ] <= 0 e78647: [ 0.003808 x8996^2 + x23545^2 - x28345^2 ] <= 0 e78648: [ 0.003808 x8997^2 + x23546^2 - x28346^2 ] <= 0 e78649: [ 0.003808 x8998^2 + x23547^2 - x28347^2 ] <= 0 e78650: [ 0.003808 x8999^2 + x23548^2 - x28348^2 ] <= 0 e78651: [ 0.003808 x9000^2 + x23549^2 - x28349^2 ] <= 0 e78652: [ 0.003808 x9001^2 + x23550^2 - x28350^2 ] <= 0 e78653: [ 0.00133 x9002^2 + x23551^2 - x28351^2 ] <= 0 e78654: [ 0.00133 x9003^2 + x23552^2 - x28352^2 ] <= 0 e78655: [ 0.00133 x9004^2 + x23553^2 - x28353^2 ] <= 0 e78656: [ 0.00133 x9005^2 + x23554^2 - x28354^2 ] <= 0 e78657: [ 0.00133 x9006^2 + x23555^2 - x28355^2 ] <= 0 e78658: [ 0.00133 x9007^2 + x23556^2 - x28356^2 ] <= 0 e78659: [ 0.00133 x9008^2 + x23557^2 - x28357^2 ] <= 0 e78660: [ 0.00133 x9009^2 + x23558^2 - x28358^2 ] <= 0 e78661: [ 0.00133 x9010^2 + x23559^2 - x28359^2 ] <= 0 e78662: [ 0.00133 x9011^2 + x23560^2 - x28360^2 ] <= 0 e78663: [ 0.00133 x9012^2 + x23561^2 - x28361^2 ] <= 0 e78664: [ 0.00133 x9013^2 + x23562^2 - x28362^2 ] <= 0 e78665: [ 0.00133 x9014^2 + x23563^2 - x28363^2 ] <= 0 e78666: [ 0.00133 x9015^2 + x23564^2 - x28364^2 ] <= 0 e78667: [ 0.00133 x9016^2 + x23565^2 - x28365^2 ] <= 0 e78668: [ 0.00133 x9017^2 + x23566^2 - x28366^2 ] <= 0 e78669: [ 0.00133 x9018^2 + x23567^2 - x28367^2 ] <= 0 e78670: [ 0.00133 x9019^2 + x23568^2 - x28368^2 ] <= 0 e78671: [ 0.00133 x9020^2 + x23569^2 - x28369^2 ] <= 0 e78672: [ 0.00133 x9021^2 + x23570^2 - x28370^2 ] <= 0 e78673: [ 0.00133 x9022^2 + x23571^2 - x28371^2 ] <= 0 e78674: [ 0.00133 x9023^2 + x23572^2 - x28372^2 ] <= 0 e78675: [ 0.00133 x9024^2 + x23573^2 - x28373^2 ] <= 0 e78676: [ 0.00133 x9025^2 + x23574^2 - x28374^2 ] <= 0 e78677: [ 0.003018 x9026^2 + x23575^2 - x28375^2 ] <= 0 e78678: [ 0.003018 x9027^2 + x23576^2 - x28376^2 ] <= 0 e78679: [ 0.003018 x9028^2 + x23577^2 - x28377^2 ] <= 0 e78680: [ 0.003018 x9029^2 + x23578^2 - x28378^2 ] <= 0 e78681: [ 0.003018 x9030^2 + x23579^2 - x28379^2 ] <= 0 e78682: [ 0.003018 x9031^2 + x23580^2 - x28380^2 ] <= 0 e78683: [ 0.003018 x9032^2 + x23581^2 - x28381^2 ] <= 0 e78684: [ 0.003018 x9033^2 + x23582^2 - x28382^2 ] <= 0 e78685: [ 0.003018 x9034^2 + x23583^2 - x28383^2 ] <= 0 e78686: [ 0.003018 x9035^2 + x23584^2 - x28384^2 ] <= 0 e78687: [ 0.003018 x9036^2 + x23585^2 - x28385^2 ] <= 0 e78688: [ 0.003018 x9037^2 + x23586^2 - x28386^2 ] <= 0 e78689: [ 0.003018 x9038^2 + x23587^2 - x28387^2 ] <= 0 e78690: [ 0.003018 x9039^2 + x23588^2 - x28388^2 ] <= 0 e78691: [ 0.003018 x9040^2 + x23589^2 - x28389^2 ] <= 0 e78692: [ 0.003018 x9041^2 + x23590^2 - x28390^2 ] <= 0 e78693: [ 0.003018 x9042^2 + x23591^2 - x28391^2 ] <= 0 e78694: [ 0.003018 x9043^2 + x23592^2 - x28392^2 ] <= 0 e78695: [ 0.003018 x9044^2 + x23593^2 - x28393^2 ] <= 0 e78696: [ 0.003018 x9045^2 + x23594^2 - x28394^2 ] <= 0 e78697: [ 0.003018 x9046^2 + x23595^2 - x28395^2 ] <= 0 e78698: [ 0.003018 x9047^2 + x23596^2 - x28396^2 ] <= 0 e78699: [ 0.003018 x9048^2 + x23597^2 - x28397^2 ] <= 0 e78700: [ 0.003018 x9049^2 + x23598^2 - x28398^2 ] <= 0 e78701: [ 0.002911 x9050^2 + x23599^2 - x28399^2 ] <= 0 e78702: [ 0.002911 x9051^2 + x23600^2 - x28400^2 ] <= 0 e78703: [ 0.002911 x9052^2 + x23601^2 - x28401^2 ] <= 0 e78704: [ 0.002911 x9053^2 + x23602^2 - x28402^2 ] <= 0 e78705: [ 0.002911 x9054^2 + x23603^2 - x28403^2 ] <= 0 e78706: [ 0.002911 x9055^2 + x23604^2 - x28404^2 ] <= 0 e78707: [ 0.002911 x9056^2 + x23605^2 - x28405^2 ] <= 0 e78708: [ 0.002911 x9057^2 + x23606^2 - x28406^2 ] <= 0 e78709: [ 0.002911 x9058^2 + x23607^2 - x28407^2 ] <= 0 e78710: [ 0.002911 x9059^2 + x23608^2 - x28408^2 ] <= 0 e78711: [ 0.002911 x9060^2 + x23609^2 - x28409^2 ] <= 0 e78712: [ 0.002911 x9061^2 + x23610^2 - x28410^2 ] <= 0 e78713: [ 0.002911 x9062^2 + x23611^2 - x28411^2 ] <= 0 e78714: [ 0.002911 x9063^2 + x23612^2 - x28412^2 ] <= 0 e78715: [ 0.002911 x9064^2 + x23613^2 - x28413^2 ] <= 0 e78716: [ 0.002911 x9065^2 + x23614^2 - x28414^2 ] <= 0 e78717: [ 0.002911 x9066^2 + x23615^2 - x28415^2 ] <= 0 e78718: [ 0.002911 x9067^2 + x23616^2 - x28416^2 ] <= 0 e78719: [ 0.002911 x9068^2 + x23617^2 - x28417^2 ] <= 0 e78720: [ 0.002911 x9069^2 + x23618^2 - x28418^2 ] <= 0 e78721: [ 0.002911 x9070^2 + x23619^2 - x28419^2 ] <= 0 e78722: [ 0.002911 x9071^2 + x23620^2 - x28420^2 ] <= 0 e78723: [ 0.002911 x9072^2 + x23621^2 - x28421^2 ] <= 0 e78724: [ 0.002911 x9073^2 + x23622^2 - x28422^2 ] <= 0 e78725: [ 0.00141 x9074^2 + x23623^2 - x28423^2 ] <= 0 e78726: [ 0.00141 x9075^2 + x23624^2 - x28424^2 ] <= 0 e78727: [ 0.00141 x9076^2 + x23625^2 - x28425^2 ] <= 0 e78728: [ 0.00141 x9077^2 + x23626^2 - x28426^2 ] <= 0 e78729: [ 0.00141 x9078^2 + x23627^2 - x28427^2 ] <= 0 e78730: [ 0.00141 x9079^2 + x23628^2 - x28428^2 ] <= 0 e78731: [ 0.00141 x9080^2 + x23629^2 - x28429^2 ] <= 0 e78732: [ 0.00141 x9081^2 + x23630^2 - x28430^2 ] <= 0 e78733: [ 0.00141 x9082^2 + x23631^2 - x28431^2 ] <= 0 e78734: [ 0.00141 x9083^2 + x23632^2 - x28432^2 ] <= 0 e78735: [ 0.00141 x9084^2 + x23633^2 - x28433^2 ] <= 0 e78736: [ 0.00141 x9085^2 + x23634^2 - x28434^2 ] <= 0 e78737: [ 0.00141 x9086^2 + x23635^2 - x28435^2 ] <= 0 e78738: [ 0.00141 x9087^2 + x23636^2 - x28436^2 ] <= 0 e78739: [ 0.00141 x9088^2 + x23637^2 - x28437^2 ] <= 0 e78740: [ 0.00141 x9089^2 + x23638^2 - x28438^2 ] <= 0 e78741: [ 0.00141 x9090^2 + x23639^2 - x28439^2 ] <= 0 e78742: [ 0.00141 x9091^2 + x23640^2 - x28440^2 ] <= 0 e78743: [ 0.00141 x9092^2 + x23641^2 - x28441^2 ] <= 0 e78744: [ 0.00141 x9093^2 + x23642^2 - x28442^2 ] <= 0 e78745: [ 0.00141 x9094^2 + x23643^2 - x28443^2 ] <= 0 e78746: [ 0.00141 x9095^2 + x23644^2 - x28444^2 ] <= 0 e78747: [ 0.00141 x9096^2 + x23645^2 - x28445^2 ] <= 0 e78748: [ 0.00141 x9097^2 + x23646^2 - x28446^2 ] <= 0 e78749: [ 0.000862 x9098^2 + x23647^2 - x28447^2 ] <= 0 e78750: [ 0.000862 x9099^2 + x23648^2 - x28448^2 ] <= 0 e78751: [ 0.000862 x9100^2 + x23649^2 - x28449^2 ] <= 0 e78752: [ 0.000862 x9101^2 + x23650^2 - x28450^2 ] <= 0 e78753: [ 0.000862 x9102^2 + x23651^2 - x28451^2 ] <= 0 e78754: [ 0.000862 x9103^2 + x23652^2 - x28452^2 ] <= 0 e78755: [ 0.000862 x9104^2 + x23653^2 - x28453^2 ] <= 0 e78756: [ 0.000862 x9105^2 + x23654^2 - x28454^2 ] <= 0 e78757: [ 0.000862 x9106^2 + x23655^2 - x28455^2 ] <= 0 e78758: [ 0.000862 x9107^2 + x23656^2 - x28456^2 ] <= 0 e78759: [ 0.000862 x9108^2 + x23657^2 - x28457^2 ] <= 0 e78760: [ 0.000862 x9109^2 + x23658^2 - x28458^2 ] <= 0 e78761: [ 0.000862 x9110^2 + x23659^2 - x28459^2 ] <= 0 e78762: [ 0.000862 x9111^2 + x23660^2 - x28460^2 ] <= 0 e78763: [ 0.000862 x9112^2 + x23661^2 - x28461^2 ] <= 0 e78764: [ 0.000862 x9113^2 + x23662^2 - x28462^2 ] <= 0 e78765: [ 0.000862 x9114^2 + x23663^2 - x28463^2 ] <= 0 e78766: [ 0.000862 x9115^2 + x23664^2 - x28464^2 ] <= 0 e78767: [ 0.000862 x9116^2 + x23665^2 - x28465^2 ] <= 0 e78768: [ 0.000862 x9117^2 + x23666^2 - x28466^2 ] <= 0 e78769: [ 0.000862 x9118^2 + x23667^2 - x28467^2 ] <= 0 e78770: [ 0.000862 x9119^2 + x23668^2 - x28468^2 ] <= 0 e78771: [ 0.000862 x9120^2 + x23669^2 - x28469^2 ] <= 0 e78772: [ 0.000862 x9121^2 + x23670^2 - x28470^2 ] <= 0 e78773: [ 0.004161 x9122^2 + x23671^2 - x28471^2 ] <= 0 e78774: [ 0.004161 x9123^2 + x23672^2 - x28472^2 ] <= 0 e78775: [ 0.004161 x9124^2 + x23673^2 - x28473^2 ] <= 0 e78776: [ 0.004161 x9125^2 + x23674^2 - x28474^2 ] <= 0 e78777: [ 0.004161 x9126^2 + x23675^2 - x28475^2 ] <= 0 e78778: [ 0.004161 x9127^2 + x23676^2 - x28476^2 ] <= 0 e78779: [ 0.004161 x9128^2 + x23677^2 - x28477^2 ] <= 0 e78780: [ 0.004161 x9129^2 + x23678^2 - x28478^2 ] <= 0 e78781: [ 0.004161 x9130^2 + x23679^2 - x28479^2 ] <= 0 e78782: [ 0.004161 x9131^2 + x23680^2 - x28480^2 ] <= 0 e78783: [ 0.004161 x9132^2 + x23681^2 - x28481^2 ] <= 0 e78784: [ 0.004161 x9133^2 + x23682^2 - x28482^2 ] <= 0 e78785: [ 0.004161 x9134^2 + x23683^2 - x28483^2 ] <= 0 e78786: [ 0.004161 x9135^2 + x23684^2 - x28484^2 ] <= 0 e78787: [ 0.004161 x9136^2 + x23685^2 - x28485^2 ] <= 0 e78788: [ 0.004161 x9137^2 + x23686^2 - x28486^2 ] <= 0 e78789: [ 0.004161 x9138^2 + x23687^2 - x28487^2 ] <= 0 e78790: [ 0.004161 x9139^2 + x23688^2 - x28488^2 ] <= 0 e78791: [ 0.004161 x9140^2 + x23689^2 - x28489^2 ] <= 0 e78792: [ 0.004161 x9141^2 + x23690^2 - x28490^2 ] <= 0 e78793: [ 0.004161 x9142^2 + x23691^2 - x28491^2 ] <= 0 e78794: [ 0.004161 x9143^2 + x23692^2 - x28492^2 ] <= 0 e78795: [ 0.004161 x9144^2 + x23693^2 - x28493^2 ] <= 0 e78796: [ 0.004161 x9145^2 + x23694^2 - x28494^2 ] <= 0 e78797: [ 0.00189 x9146^2 + x23695^2 - x28495^2 ] <= 0 e78798: [ 0.00189 x9147^2 + x23696^2 - x28496^2 ] <= 0 e78799: [ 0.00189 x9148^2 + x23697^2 - x28497^2 ] <= 0 e78800: [ 0.00189 x9149^2 + x23698^2 - x28498^2 ] <= 0 e78801: [ 0.00189 x9150^2 + x23699^2 - x28499^2 ] <= 0 e78802: [ 0.00189 x9151^2 + x23700^2 - x28500^2 ] <= 0 e78803: [ 0.00189 x9152^2 + x23701^2 - x28501^2 ] <= 0 e78804: [ 0.00189 x9153^2 + x23702^2 - x28502^2 ] <= 0 e78805: [ 0.00189 x9154^2 + x23703^2 - x28503^2 ] <= 0 e78806: [ 0.00189 x9155^2 + x23704^2 - x28504^2 ] <= 0 e78807: [ 0.00189 x9156^2 + x23705^2 - x28505^2 ] <= 0 e78808: [ 0.00189 x9157^2 + x23706^2 - x28506^2 ] <= 0 e78809: [ 0.00189 x9158^2 + x23707^2 - x28507^2 ] <= 0 e78810: [ 0.00189 x9159^2 + x23708^2 - x28508^2 ] <= 0 e78811: [ 0.00189 x9160^2 + x23709^2 - x28509^2 ] <= 0 e78812: [ 0.00189 x9161^2 + x23710^2 - x28510^2 ] <= 0 e78813: [ 0.00189 x9162^2 + x23711^2 - x28511^2 ] <= 0 e78814: [ 0.00189 x9163^2 + x23712^2 - x28512^2 ] <= 0 e78815: [ 0.00189 x9164^2 + x23713^2 - x28513^2 ] <= 0 e78816: [ 0.00189 x9165^2 + x23714^2 - x28514^2 ] <= 0 e78817: [ 0.00189 x9166^2 + x23715^2 - x28515^2 ] <= 0 e78818: [ 0.00189 x9167^2 + x23716^2 - x28516^2 ] <= 0 e78819: [ 0.00189 x9168^2 + x23717^2 - x28517^2 ] <= 0 e78820: [ 0.00189 x9169^2 + x23718^2 - x28518^2 ] <= 0 e78821: [ 0.002755 x9170^2 + x23719^2 - x28519^2 ] <= 0 e78822: [ 0.002755 x9171^2 + x23720^2 - x28520^2 ] <= 0 e78823: [ 0.002755 x9172^2 + x23721^2 - x28521^2 ] <= 0 e78824: [ 0.002755 x9173^2 + x23722^2 - x28522^2 ] <= 0 e78825: [ 0.002755 x9174^2 + x23723^2 - x28523^2 ] <= 0 e78826: [ 0.002755 x9175^2 + x23724^2 - x28524^2 ] <= 0 e78827: [ 0.002755 x9176^2 + x23725^2 - x28525^2 ] <= 0 e78828: [ 0.002755 x9177^2 + x23726^2 - x28526^2 ] <= 0 e78829: [ 0.002755 x9178^2 + x23727^2 - x28527^2 ] <= 0 e78830: [ 0.002755 x9179^2 + x23728^2 - x28528^2 ] <= 0 e78831: [ 0.002755 x9180^2 + x23729^2 - x28529^2 ] <= 0 e78832: [ 0.002755 x9181^2 + x23730^2 - x28530^2 ] <= 0 e78833: [ 0.002755 x9182^2 + x23731^2 - x28531^2 ] <= 0 e78834: [ 0.002755 x9183^2 + x23732^2 - x28532^2 ] <= 0 e78835: [ 0.002755 x9184^2 + x23733^2 - x28533^2 ] <= 0 e78836: [ 0.002755 x9185^2 + x23734^2 - x28534^2 ] <= 0 e78837: [ 0.002755 x9186^2 + x23735^2 - x28535^2 ] <= 0 e78838: [ 0.002755 x9187^2 + x23736^2 - x28536^2 ] <= 0 e78839: [ 0.002755 x9188^2 + x23737^2 - x28537^2 ] <= 0 e78840: [ 0.002755 x9189^2 + x23738^2 - x28538^2 ] <= 0 e78841: [ 0.002755 x9190^2 + x23739^2 - x28539^2 ] <= 0 e78842: [ 0.002755 x9191^2 + x23740^2 - x28540^2 ] <= 0 e78843: [ 0.002755 x9192^2 + x23741^2 - x28541^2 ] <= 0 e78844: [ 0.002755 x9193^2 + x23742^2 - x28542^2 ] <= 0 e78845: [ 0.003761 x9194^2 + x23743^2 - x28543^2 ] <= 0 e78846: [ 0.003761 x9195^2 + x23744^2 - x28544^2 ] <= 0 e78847: [ 0.003761 x9196^2 + x23745^2 - x28545^2 ] <= 0 e78848: [ 0.003761 x9197^2 + x23746^2 - x28546^2 ] <= 0 e78849: [ 0.003761 x9198^2 + x23747^2 - x28547^2 ] <= 0 e78850: [ 0.003761 x9199^2 + x23748^2 - x28548^2 ] <= 0 e78851: [ 0.003761 x9200^2 + x23749^2 - x28549^2 ] <= 0 e78852: [ 0.003761 x9201^2 + x23750^2 - x28550^2 ] <= 0 e78853: [ 0.003761 x9202^2 + x23751^2 - x28551^2 ] <= 0 e78854: [ 0.003761 x9203^2 + x23752^2 - x28552^2 ] <= 0 e78855: [ 0.003761 x9204^2 + x23753^2 - x28553^2 ] <= 0 e78856: [ 0.003761 x9205^2 + x23754^2 - x28554^2 ] <= 0 e78857: [ 0.003761 x9206^2 + x23755^2 - x28555^2 ] <= 0 e78858: [ 0.003761 x9207^2 + x23756^2 - x28556^2 ] <= 0 e78859: [ 0.003761 x9208^2 + x23757^2 - x28557^2 ] <= 0 e78860: [ 0.003761 x9209^2 + x23758^2 - x28558^2 ] <= 0 e78861: [ 0.003761 x9210^2 + x23759^2 - x28559^2 ] <= 0 e78862: [ 0.003761 x9211^2 + x23760^2 - x28560^2 ] <= 0 e78863: [ 0.003761 x9212^2 + x23761^2 - x28561^2 ] <= 0 e78864: [ 0.003761 x9213^2 + x23762^2 - x28562^2 ] <= 0 e78865: [ 0.003761 x9214^2 + x23763^2 - x28563^2 ] <= 0 e78866: [ 0.003761 x9215^2 + x23764^2 - x28564^2 ] <= 0 e78867: [ 0.003761 x9216^2 + x23765^2 - x28565^2 ] <= 0 e78868: [ 0.003761 x9217^2 + x23766^2 - x28566^2 ] <= 0 e78869: [ 0.001152 x9218^2 + x23767^2 - x28567^2 ] <= 0 e78870: [ 0.001152 x9219^2 + x23768^2 - x28568^2 ] <= 0 e78871: [ 0.001152 x9220^2 + x23769^2 - x28569^2 ] <= 0 e78872: [ 0.001152 x9221^2 + x23770^2 - x28570^2 ] <= 0 e78873: [ 0.001152 x9222^2 + x23771^2 - x28571^2 ] <= 0 e78874: [ 0.001152 x9223^2 + x23772^2 - x28572^2 ] <= 0 e78875: [ 0.001152 x9224^2 + x23773^2 - x28573^2 ] <= 0 e78876: [ 0.001152 x9225^2 + x23774^2 - x28574^2 ] <= 0 e78877: [ 0.001152 x9226^2 + x23775^2 - x28575^2 ] <= 0 e78878: [ 0.001152 x9227^2 + x23776^2 - x28576^2 ] <= 0 e78879: [ 0.001152 x9228^2 + x23777^2 - x28577^2 ] <= 0 e78880: [ 0.001152 x9229^2 + x23778^2 - x28578^2 ] <= 0 e78881: [ 0.001152 x9230^2 + x23779^2 - x28579^2 ] <= 0 e78882: [ 0.001152 x9231^2 + x23780^2 - x28580^2 ] <= 0 e78883: [ 0.001152 x9232^2 + x23781^2 - x28581^2 ] <= 0 e78884: [ 0.001152 x9233^2 + x23782^2 - x28582^2 ] <= 0 e78885: [ 0.001152 x9234^2 + x23783^2 - x28583^2 ] <= 0 e78886: [ 0.001152 x9235^2 + x23784^2 - x28584^2 ] <= 0 e78887: [ 0.001152 x9236^2 + x23785^2 - x28585^2 ] <= 0 e78888: [ 0.001152 x9237^2 + x23786^2 - x28586^2 ] <= 0 e78889: [ 0.001152 x9238^2 + x23787^2 - x28587^2 ] <= 0 e78890: [ 0.001152 x9239^2 + x23788^2 - x28588^2 ] <= 0 e78891: [ 0.001152 x9240^2 + x23789^2 - x28589^2 ] <= 0 e78892: [ 0.001152 x9241^2 + x23790^2 - x28590^2 ] <= 0 e78893: [ 0.001703 x9242^2 + x23791^2 - x28591^2 ] <= 0 e78894: [ 0.001703 x9243^2 + x23792^2 - x28592^2 ] <= 0 e78895: [ 0.001703 x9244^2 + x23793^2 - x28593^2 ] <= 0 e78896: [ 0.001703 x9245^2 + x23794^2 - x28594^2 ] <= 0 e78897: [ 0.001703 x9246^2 + x23795^2 - x28595^2 ] <= 0 e78898: [ 0.001703 x9247^2 + x23796^2 - x28596^2 ] <= 0 e78899: [ 0.001703 x9248^2 + x23797^2 - x28597^2 ] <= 0 e78900: [ 0.001703 x9249^2 + x23798^2 - x28598^2 ] <= 0 e78901: [ 0.001703 x9250^2 + x23799^2 - x28599^2 ] <= 0 e78902: [ 0.001703 x9251^2 + x23800^2 - x28600^2 ] <= 0 e78903: [ 0.001703 x9252^2 + x23801^2 - x28601^2 ] <= 0 e78904: [ 0.001703 x9253^2 + x23802^2 - x28602^2 ] <= 0 e78905: [ 0.001703 x9254^2 + x23803^2 - x28603^2 ] <= 0 e78906: [ 0.001703 x9255^2 + x23804^2 - x28604^2 ] <= 0 e78907: [ 0.001703 x9256^2 + x23805^2 - x28605^2 ] <= 0 e78908: [ 0.001703 x9257^2 + x23806^2 - x28606^2 ] <= 0 e78909: [ 0.001703 x9258^2 + x23807^2 - x28607^2 ] <= 0 e78910: [ 0.001703 x9259^2 + x23808^2 - x28608^2 ] <= 0 e78911: [ 0.001703 x9260^2 + x23809^2 - x28609^2 ] <= 0 e78912: [ 0.001703 x9261^2 + x23810^2 - x28610^2 ] <= 0 e78913: [ 0.001703 x9262^2 + x23811^2 - x28611^2 ] <= 0 e78914: [ 0.001703 x9263^2 + x23812^2 - x28612^2 ] <= 0 e78915: [ 0.001703 x9264^2 + x23813^2 - x28613^2 ] <= 0 e78916: [ 0.001703 x9265^2 + x23814^2 - x28614^2 ] <= 0 e78917: [ 0.004251 x9266^2 + x23815^2 - x28615^2 ] <= 0 e78918: [ 0.004251 x9267^2 + x23816^2 - x28616^2 ] <= 0 e78919: [ 0.004251 x9268^2 + x23817^2 - x28617^2 ] <= 0 e78920: [ 0.004251 x9269^2 + x23818^2 - x28618^2 ] <= 0 e78921: [ 0.004251 x9270^2 + x23819^2 - x28619^2 ] <= 0 e78922: [ 0.004251 x9271^2 + x23820^2 - x28620^2 ] <= 0 e78923: [ 0.004251 x9272^2 + x23821^2 - x28621^2 ] <= 0 e78924: [ 0.004251 x9273^2 + x23822^2 - x28622^2 ] <= 0 e78925: [ 0.004251 x9274^2 + x23823^2 - x28623^2 ] <= 0 e78926: [ 0.004251 x9275^2 + x23824^2 - x28624^2 ] <= 0 e78927: [ 0.004251 x9276^2 + x23825^2 - x28625^2 ] <= 0 e78928: [ 0.004251 x9277^2 + x23826^2 - x28626^2 ] <= 0 e78929: [ 0.004251 x9278^2 + x23827^2 - x28627^2 ] <= 0 e78930: [ 0.004251 x9279^2 + x23828^2 - x28628^2 ] <= 0 e78931: [ 0.004251 x9280^2 + x23829^2 - x28629^2 ] <= 0 e78932: [ 0.004251 x9281^2 + x23830^2 - x28630^2 ] <= 0 e78933: [ 0.004251 x9282^2 + x23831^2 - x28631^2 ] <= 0 e78934: [ 0.004251 x9283^2 + x23832^2 - x28632^2 ] <= 0 e78935: [ 0.004251 x9284^2 + x23833^2 - x28633^2 ] <= 0 e78936: [ 0.004251 x9285^2 + x23834^2 - x28634^2 ] <= 0 e78937: [ 0.004251 x9286^2 + x23835^2 - x28635^2 ] <= 0 e78938: [ 0.004251 x9287^2 + x23836^2 - x28636^2 ] <= 0 e78939: [ 0.004251 x9288^2 + x23837^2 - x28637^2 ] <= 0 e78940: [ 0.004251 x9289^2 + x23838^2 - x28638^2 ] <= 0 e78941: [ 0.004018 x9290^2 + x23839^2 - x28639^2 ] <= 0 e78942: [ 0.004018 x9291^2 + x23840^2 - x28640^2 ] <= 0 e78943: [ 0.004018 x9292^2 + x23841^2 - x28641^2 ] <= 0 e78944: [ 0.004018 x9293^2 + x23842^2 - x28642^2 ] <= 0 e78945: [ 0.004018 x9294^2 + x23843^2 - x28643^2 ] <= 0 e78946: [ 0.004018 x9295^2 + x23844^2 - x28644^2 ] <= 0 e78947: [ 0.004018 x9296^2 + x23845^2 - x28645^2 ] <= 0 e78948: [ 0.004018 x9297^2 + x23846^2 - x28646^2 ] <= 0 e78949: [ 0.004018 x9298^2 + x23847^2 - x28647^2 ] <= 0 e78950: [ 0.004018 x9299^2 + x23848^2 - x28648^2 ] <= 0 e78951: [ 0.004018 x9300^2 + x23849^2 - x28649^2 ] <= 0 e78952: [ 0.004018 x9301^2 + x23850^2 - x28650^2 ] <= 0 e78953: [ 0.004018 x9302^2 + x23851^2 - x28651^2 ] <= 0 e78954: [ 0.004018 x9303^2 + x23852^2 - x28652^2 ] <= 0 e78955: [ 0.004018 x9304^2 + x23853^2 - x28653^2 ] <= 0 e78956: [ 0.004018 x9305^2 + x23854^2 - x28654^2 ] <= 0 e78957: [ 0.004018 x9306^2 + x23855^2 - x28655^2 ] <= 0 e78958: [ 0.004018 x9307^2 + x23856^2 - x28656^2 ] <= 0 e78959: [ 0.004018 x9308^2 + x23857^2 - x28657^2 ] <= 0 e78960: [ 0.004018 x9309^2 + x23858^2 - x28658^2 ] <= 0 e78961: [ 0.004018 x9310^2 + x23859^2 - x28659^2 ] <= 0 e78962: [ 0.004018 x9311^2 + x23860^2 - x28660^2 ] <= 0 e78963: [ 0.004018 x9312^2 + x23861^2 - x28661^2 ] <= 0 e78964: [ 0.004018 x9313^2 + x23862^2 - x28662^2 ] <= 0 e78965: [ 0.004192 x9314^2 + x23863^2 - x28663^2 ] <= 0 e78966: [ 0.004192 x9315^2 + x23864^2 - x28664^2 ] <= 0 e78967: [ 0.004192 x9316^2 + x23865^2 - x28665^2 ] <= 0 e78968: [ 0.004192 x9317^2 + x23866^2 - x28666^2 ] <= 0 e78969: [ 0.004192 x9318^2 + x23867^2 - x28667^2 ] <= 0 e78970: [ 0.004192 x9319^2 + x23868^2 - x28668^2 ] <= 0 e78971: [ 0.004192 x9320^2 + x23869^2 - x28669^2 ] <= 0 e78972: [ 0.004192 x9321^2 + x23870^2 - x28670^2 ] <= 0 e78973: [ 0.004192 x9322^2 + x23871^2 - x28671^2 ] <= 0 e78974: [ 0.004192 x9323^2 + x23872^2 - x28672^2 ] <= 0 e78975: [ 0.004192 x9324^2 + x23873^2 - x28673^2 ] <= 0 e78976: [ 0.004192 x9325^2 + x23874^2 - x28674^2 ] <= 0 e78977: [ 0.004192 x9326^2 + x23875^2 - x28675^2 ] <= 0 e78978: [ 0.004192 x9327^2 + x23876^2 - x28676^2 ] <= 0 e78979: [ 0.004192 x9328^2 + x23877^2 - x28677^2 ] <= 0 e78980: [ 0.004192 x9329^2 + x23878^2 - x28678^2 ] <= 0 e78981: [ 0.004192 x9330^2 + x23879^2 - x28679^2 ] <= 0 e78982: [ 0.004192 x9331^2 + x23880^2 - x28680^2 ] <= 0 e78983: [ 0.004192 x9332^2 + x23881^2 - x28681^2 ] <= 0 e78984: [ 0.004192 x9333^2 + x23882^2 - x28682^2 ] <= 0 e78985: [ 0.004192 x9334^2 + x23883^2 - x28683^2 ] <= 0 e78986: [ 0.004192 x9335^2 + x23884^2 - x28684^2 ] <= 0 e78987: [ 0.004192 x9336^2 + x23885^2 - x28685^2 ] <= 0 e78988: [ 0.004192 x9337^2 + x23886^2 - x28686^2 ] <= 0 e78989: [ 0.005251 x9338^2 + x23887^2 - x28687^2 ] <= 0 e78990: [ 0.005251 x9339^2 + x23888^2 - x28688^2 ] <= 0 e78991: [ 0.005251 x9340^2 + x23889^2 - x28689^2 ] <= 0 e78992: [ 0.005251 x9341^2 + x23890^2 - x28690^2 ] <= 0 e78993: [ 0.005251 x9342^2 + x23891^2 - x28691^2 ] <= 0 e78994: [ 0.005251 x9343^2 + x23892^2 - x28692^2 ] <= 0 e78995: [ 0.005251 x9344^2 + x23893^2 - x28693^2 ] <= 0 e78996: [ 0.005251 x9345^2 + x23894^2 - x28694^2 ] <= 0 e78997: [ 0.005251 x9346^2 + x23895^2 - x28695^2 ] <= 0 e78998: [ 0.005251 x9347^2 + x23896^2 - x28696^2 ] <= 0 e78999: [ 0.005251 x9348^2 + x23897^2 - x28697^2 ] <= 0 e79000: [ 0.005251 x9349^2 + x23898^2 - x28698^2 ] <= 0 e79001: [ 0.005251 x9350^2 + x23899^2 - x28699^2 ] <= 0 e79002: [ 0.005251 x9351^2 + x23900^2 - x28700^2 ] <= 0 e79003: [ 0.005251 x9352^2 + x23901^2 - x28701^2 ] <= 0 e79004: [ 0.005251 x9353^2 + x23902^2 - x28702^2 ] <= 0 e79005: [ 0.005251 x9354^2 + x23903^2 - x28703^2 ] <= 0 e79006: [ 0.005251 x9355^2 + x23904^2 - x28704^2 ] <= 0 e79007: [ 0.005251 x9356^2 + x23905^2 - x28705^2 ] <= 0 e79008: [ 0.005251 x9357^2 + x23906^2 - x28706^2 ] <= 0 e79009: [ 0.005251 x9358^2 + x23907^2 - x28707^2 ] <= 0 e79010: [ 0.005251 x9359^2 + x23908^2 - x28708^2 ] <= 0 e79011: [ 0.005251 x9360^2 + x23909^2 - x28709^2 ] <= 0 e79012: [ 0.005251 x9361^2 + x23910^2 - x28710^2 ] <= 0 e79013: [ 0.0018 x9362^2 + x23911^2 - x28711^2 ] <= 0 e79014: [ 0.0018 x9363^2 + x23912^2 - x28712^2 ] <= 0 e79015: [ 0.0018 x9364^2 + x23913^2 - x28713^2 ] <= 0 e79016: [ 0.0018 x9365^2 + x23914^2 - x28714^2 ] <= 0 e79017: [ 0.0018 x9366^2 + x23915^2 - x28715^2 ] <= 0 e79018: [ 0.0018 x9367^2 + x23916^2 - x28716^2 ] <= 0 e79019: [ 0.0018 x9368^2 + x23917^2 - x28717^2 ] <= 0 e79020: [ 0.0018 x9369^2 + x23918^2 - x28718^2 ] <= 0 e79021: [ 0.0018 x9370^2 + x23919^2 - x28719^2 ] <= 0 e79022: [ 0.0018 x9371^2 + x23920^2 - x28720^2 ] <= 0 e79023: [ 0.0018 x9372^2 + x23921^2 - x28721^2 ] <= 0 e79024: [ 0.0018 x9373^2 + x23922^2 - x28722^2 ] <= 0 e79025: [ 0.0018 x9374^2 + x23923^2 - x28723^2 ] <= 0 e79026: [ 0.0018 x9375^2 + x23924^2 - x28724^2 ] <= 0 e79027: [ 0.0018 x9376^2 + x23925^2 - x28725^2 ] <= 0 e79028: [ 0.0018 x9377^2 + x23926^2 - x28726^2 ] <= 0 e79029: [ 0.0018 x9378^2 + x23927^2 - x28727^2 ] <= 0 e79030: [ 0.0018 x9379^2 + x23928^2 - x28728^2 ] <= 0 e79031: [ 0.0018 x9380^2 + x23929^2 - x28729^2 ] <= 0 e79032: [ 0.0018 x9381^2 + x23930^2 - x28730^2 ] <= 0 e79033: [ 0.0018 x9382^2 + x23931^2 - x28731^2 ] <= 0 e79034: [ 0.0018 x9383^2 + x23932^2 - x28732^2 ] <= 0 e79035: [ 0.0018 x9384^2 + x23933^2 - x28733^2 ] <= 0 e79036: [ 0.0018 x9385^2 + x23934^2 - x28734^2 ] <= 0 e79037: [ 0.003051 x9386^2 + x23935^2 - x28735^2 ] <= 0 e79038: [ 0.003051 x9387^2 + x23936^2 - x28736^2 ] <= 0 e79039: [ 0.003051 x9388^2 + x23937^2 - x28737^2 ] <= 0 e79040: [ 0.003051 x9389^2 + x23938^2 - x28738^2 ] <= 0 e79041: [ 0.003051 x9390^2 + x23939^2 - x28739^2 ] <= 0 e79042: [ 0.003051 x9391^2 + x23940^2 - x28740^2 ] <= 0 e79043: [ 0.003051 x9392^2 + x23941^2 - x28741^2 ] <= 0 e79044: [ 0.003051 x9393^2 + x23942^2 - x28742^2 ] <= 0 e79045: [ 0.003051 x9394^2 + x23943^2 - x28743^2 ] <= 0 e79046: [ 0.003051 x9395^2 + x23944^2 - x28744^2 ] <= 0 e79047: [ 0.003051 x9396^2 + x23945^2 - x28745^2 ] <= 0 e79048: [ 0.003051 x9397^2 + x23946^2 - x28746^2 ] <= 0 e79049: [ 0.003051 x9398^2 + x23947^2 - x28747^2 ] <= 0 e79050: [ 0.003051 x9399^2 + x23948^2 - x28748^2 ] <= 0 e79051: [ 0.003051 x9400^2 + x23949^2 - x28749^2 ] <= 0 e79052: [ 0.003051 x9401^2 + x23950^2 - x28750^2 ] <= 0 e79053: [ 0.003051 x9402^2 + x23951^2 - x28751^2 ] <= 0 e79054: [ 0.003051 x9403^2 + x23952^2 - x28752^2 ] <= 0 e79055: [ 0.003051 x9404^2 + x23953^2 - x28753^2 ] <= 0 e79056: [ 0.003051 x9405^2 + x23954^2 - x28754^2 ] <= 0 e79057: [ 0.003051 x9406^2 + x23955^2 - x28755^2 ] <= 0 e79058: [ 0.003051 x9407^2 + x23956^2 - x28756^2 ] <= 0 e79059: [ 0.003051 x9408^2 + x23957^2 - x28757^2 ] <= 0 e79060: [ 0.003051 x9409^2 + x23958^2 - x28758^2 ] <= 0 e79061: [ 0.004187 x9410^2 + x23959^2 - x28759^2 ] <= 0 e79062: [ 0.004187 x9411^2 + x23960^2 - x28760^2 ] <= 0 e79063: [ 0.004187 x9412^2 + x23961^2 - x28761^2 ] <= 0 e79064: [ 0.004187 x9413^2 + x23962^2 - x28762^2 ] <= 0 e79065: [ 0.004187 x9414^2 + x23963^2 - x28763^2 ] <= 0 e79066: [ 0.004187 x9415^2 + x23964^2 - x28764^2 ] <= 0 e79067: [ 0.004187 x9416^2 + x23965^2 - x28765^2 ] <= 0 e79068: [ 0.004187 x9417^2 + x23966^2 - x28766^2 ] <= 0 e79069: [ 0.004187 x9418^2 + x23967^2 - x28767^2 ] <= 0 e79070: [ 0.004187 x9419^2 + x23968^2 - x28768^2 ] <= 0 e79071: [ 0.004187 x9420^2 + x23969^2 - x28769^2 ] <= 0 e79072: [ 0.004187 x9421^2 + x23970^2 - x28770^2 ] <= 0 e79073: [ 0.004187 x9422^2 + x23971^2 - x28771^2 ] <= 0 e79074: [ 0.004187 x9423^2 + x23972^2 - x28772^2 ] <= 0 e79075: [ 0.004187 x9424^2 + x23973^2 - x28773^2 ] <= 0 e79076: [ 0.004187 x9425^2 + x23974^2 - x28774^2 ] <= 0 e79077: [ 0.004187 x9426^2 + x23975^2 - x28775^2 ] <= 0 e79078: [ 0.004187 x9427^2 + x23976^2 - x28776^2 ] <= 0 e79079: [ 0.004187 x9428^2 + x23977^2 - x28777^2 ] <= 0 e79080: [ 0.004187 x9429^2 + x23978^2 - x28778^2 ] <= 0 e79081: [ 0.004187 x9430^2 + x23979^2 - x28779^2 ] <= 0 e79082: [ 0.004187 x9431^2 + x23980^2 - x28780^2 ] <= 0 e79083: [ 0.004187 x9432^2 + x23981^2 - x28781^2 ] <= 0 e79084: [ 0.004187 x9433^2 + x23982^2 - x28782^2 ] <= 0 e79085: [ 0.005457 x9434^2 + x23983^2 - x28783^2 ] <= 0 e79086: [ 0.005457 x9435^2 + x23984^2 - x28784^2 ] <= 0 e79087: [ 0.005457 x9436^2 + x23985^2 - x28785^2 ] <= 0 e79088: [ 0.005457 x9437^2 + x23986^2 - x28786^2 ] <= 0 e79089: [ 0.005457 x9438^2 + x23987^2 - x28787^2 ] <= 0 e79090: [ 0.005457 x9439^2 + x23988^2 - x28788^2 ] <= 0 e79091: [ 0.005457 x9440^2 + x23989^2 - x28789^2 ] <= 0 e79092: [ 0.005457 x9441^2 + x23990^2 - x28790^2 ] <= 0 e79093: [ 0.005457 x9442^2 + x23991^2 - x28791^2 ] <= 0 e79094: [ 0.005457 x9443^2 + x23992^2 - x28792^2 ] <= 0 e79095: [ 0.005457 x9444^2 + x23993^2 - x28793^2 ] <= 0 e79096: [ 0.005457 x9445^2 + x23994^2 - x28794^2 ] <= 0 e79097: [ 0.005457 x9446^2 + x23995^2 - x28795^2 ] <= 0 e79098: [ 0.005457 x9447^2 + x23996^2 - x28796^2 ] <= 0 e79099: [ 0.005457 x9448^2 + x23997^2 - x28797^2 ] <= 0 e79100: [ 0.005457 x9449^2 + x23998^2 - x28798^2 ] <= 0 e79101: [ 0.005457 x9450^2 + x23999^2 - x28799^2 ] <= 0 e79102: [ 0.005457 x9451^2 + x24000^2 - x28800^2 ] <= 0 e79103: [ 0.005457 x9452^2 + x24001^2 - x28801^2 ] <= 0 e79104: [ 0.005457 x9453^2 + x24002^2 - x28802^2 ] <= 0 e79105: [ 0.005457 x9454^2 + x24003^2 - x28803^2 ] <= 0 e79106: [ 0.005457 x9455^2 + x24004^2 - x28804^2 ] <= 0 e79107: [ 0.005457 x9456^2 + x24005^2 - x28805^2 ] <= 0 e79108: [ 0.005457 x9457^2 + x24006^2 - x28806^2 ] <= 0 e79109: [ 0.001384 x9458^2 + x24007^2 - x28807^2 ] <= 0 e79110: [ 0.001384 x9459^2 + x24008^2 - x28808^2 ] <= 0 e79111: [ 0.001384 x9460^2 + x24009^2 - x28809^2 ] <= 0 e79112: [ 0.001384 x9461^2 + x24010^2 - x28810^2 ] <= 0 e79113: [ 0.001384 x9462^2 + x24011^2 - x28811^2 ] <= 0 e79114: [ 0.001384 x9463^2 + x24012^2 - x28812^2 ] <= 0 e79115: [ 0.001384 x9464^2 + x24013^2 - x28813^2 ] <= 0 e79116: [ 0.001384 x9465^2 + x24014^2 - x28814^2 ] <= 0 e79117: [ 0.001384 x9466^2 + x24015^2 - x28815^2 ] <= 0 e79118: [ 0.001384 x9467^2 + x24016^2 - x28816^2 ] <= 0 e79119: [ 0.001384 x9468^2 + x24017^2 - x28817^2 ] <= 0 e79120: [ 0.001384 x9469^2 + x24018^2 - x28818^2 ] <= 0 e79121: [ 0.001384 x9470^2 + x24019^2 - x28819^2 ] <= 0 e79122: [ 0.001384 x9471^2 + x24020^2 - x28820^2 ] <= 0 e79123: [ 0.001384 x9472^2 + x24021^2 - x28821^2 ] <= 0 e79124: [ 0.001384 x9473^2 + x24022^2 - x28822^2 ] <= 0 e79125: [ 0.001384 x9474^2 + x24023^2 - x28823^2 ] <= 0 e79126: [ 0.001384 x9475^2 + x24024^2 - x28824^2 ] <= 0 e79127: [ 0.001384 x9476^2 + x24025^2 - x28825^2 ] <= 0 e79128: [ 0.001384 x9477^2 + x24026^2 - x28826^2 ] <= 0 e79129: [ 0.001384 x9478^2 + x24027^2 - x28827^2 ] <= 0 e79130: [ 0.001384 x9479^2 + x24028^2 - x28828^2 ] <= 0 e79131: [ 0.001384 x9480^2 + x24029^2 - x28829^2 ] <= 0 e79132: [ 0.001384 x9481^2 + x24030^2 - x28830^2 ] <= 0 e79133: [ 0.005773 x9482^2 + x24031^2 - x28831^2 ] <= 0 e79134: [ 0.005773 x9483^2 + x24032^2 - x28832^2 ] <= 0 e79135: [ 0.005773 x9484^2 + x24033^2 - x28833^2 ] <= 0 e79136: [ 0.005773 x9485^2 + x24034^2 - x28834^2 ] <= 0 e79137: [ 0.005773 x9486^2 + x24035^2 - x28835^2 ] <= 0 e79138: [ 0.005773 x9487^2 + x24036^2 - x28836^2 ] <= 0 e79139: [ 0.005773 x9488^2 + x24037^2 - x28837^2 ] <= 0 e79140: [ 0.005773 x9489^2 + x24038^2 - x28838^2 ] <= 0 e79141: [ 0.005773 x9490^2 + x24039^2 - x28839^2 ] <= 0 e79142: [ 0.005773 x9491^2 + x24040^2 - x28840^2 ] <= 0 e79143: [ 0.005773 x9492^2 + x24041^2 - x28841^2 ] <= 0 e79144: [ 0.005773 x9493^2 + x24042^2 - x28842^2 ] <= 0 e79145: [ 0.005773 x9494^2 + x24043^2 - x28843^2 ] <= 0 e79146: [ 0.005773 x9495^2 + x24044^2 - x28844^2 ] <= 0 e79147: [ 0.005773 x9496^2 + x24045^2 - x28845^2 ] <= 0 e79148: [ 0.005773 x9497^2 + x24046^2 - x28846^2 ] <= 0 e79149: [ 0.005773 x9498^2 + x24047^2 - x28847^2 ] <= 0 e79150: [ 0.005773 x9499^2 + x24048^2 - x28848^2 ] <= 0 e79151: [ 0.005773 x9500^2 + x24049^2 - x28849^2 ] <= 0 e79152: [ 0.005773 x9501^2 + x24050^2 - x28850^2 ] <= 0 e79153: [ 0.005773 x9502^2 + x24051^2 - x28851^2 ] <= 0 e79154: [ 0.005773 x9503^2 + x24052^2 - x28852^2 ] <= 0 e79155: [ 0.005773 x9504^2 + x24053^2 - x28853^2 ] <= 0 e79156: [ 0.005773 x9505^2 + x24054^2 - x28854^2 ] <= 0 e79157: [ 0.003129 x9506^2 + x24055^2 - x28855^2 ] <= 0 e79158: [ 0.003129 x9507^2 + x24056^2 - x28856^2 ] <= 0 e79159: [ 0.003129 x9508^2 + x24057^2 - x28857^2 ] <= 0 e79160: [ 0.003129 x9509^2 + x24058^2 - x28858^2 ] <= 0 e79161: [ 0.003129 x9510^2 + x24059^2 - x28859^2 ] <= 0 e79162: [ 0.003129 x9511^2 + x24060^2 - x28860^2 ] <= 0 e79163: [ 0.003129 x9512^2 + x24061^2 - x28861^2 ] <= 0 e79164: [ 0.003129 x9513^2 + x24062^2 - x28862^2 ] <= 0 e79165: [ 0.003129 x9514^2 + x24063^2 - x28863^2 ] <= 0 e79166: [ 0.003129 x9515^2 + x24064^2 - x28864^2 ] <= 0 e79167: [ 0.003129 x9516^2 + x24065^2 - x28865^2 ] <= 0 e79168: [ 0.003129 x9517^2 + x24066^2 - x28866^2 ] <= 0 e79169: [ 0.003129 x9518^2 + x24067^2 - x28867^2 ] <= 0 e79170: [ 0.003129 x9519^2 + x24068^2 - x28868^2 ] <= 0 e79171: [ 0.003129 x9520^2 + x24069^2 - x28869^2 ] <= 0 e79172: [ 0.003129 x9521^2 + x24070^2 - x28870^2 ] <= 0 e79173: [ 0.003129 x9522^2 + x24071^2 - x28871^2 ] <= 0 e79174: [ 0.003129 x9523^2 + x24072^2 - x28872^2 ] <= 0 e79175: [ 0.003129 x9524^2 + x24073^2 - x28873^2 ] <= 0 e79176: [ 0.003129 x9525^2 + x24074^2 - x28874^2 ] <= 0 e79177: [ 0.003129 x9526^2 + x24075^2 - x28875^2 ] <= 0 e79178: [ 0.003129 x9527^2 + x24076^2 - x28876^2 ] <= 0 e79179: [ 0.003129 x9528^2 + x24077^2 - x28877^2 ] <= 0 e79180: [ 0.003129 x9529^2 + x24078^2 - x28878^2 ] <= 0 e79181: [ 0.002059 x9530^2 + x24079^2 - x28879^2 ] <= 0 e79182: [ 0.002059 x9531^2 + x24080^2 - x28880^2 ] <= 0 e79183: [ 0.002059 x9532^2 + x24081^2 - x28881^2 ] <= 0 e79184: [ 0.002059 x9533^2 + x24082^2 - x28882^2 ] <= 0 e79185: [ 0.002059 x9534^2 + x24083^2 - x28883^2 ] <= 0 e79186: [ 0.002059 x9535^2 + x24084^2 - x28884^2 ] <= 0 e79187: [ 0.002059 x9536^2 + x24085^2 - x28885^2 ] <= 0 e79188: [ 0.002059 x9537^2 + x24086^2 - x28886^2 ] <= 0 e79189: [ 0.002059 x9538^2 + x24087^2 - x28887^2 ] <= 0 e79190: [ 0.002059 x9539^2 + x24088^2 - x28888^2 ] <= 0 e79191: [ 0.002059 x9540^2 + x24089^2 - x28889^2 ] <= 0 e79192: [ 0.002059 x9541^2 + x24090^2 - x28890^2 ] <= 0 e79193: [ 0.002059 x9542^2 + x24091^2 - x28891^2 ] <= 0 e79194: [ 0.002059 x9543^2 + x24092^2 - x28892^2 ] <= 0 e79195: [ 0.002059 x9544^2 + x24093^2 - x28893^2 ] <= 0 e79196: [ 0.002059 x9545^2 + x24094^2 - x28894^2 ] <= 0 e79197: [ 0.002059 x9546^2 + x24095^2 - x28895^2 ] <= 0 e79198: [ 0.002059 x9547^2 + x24096^2 - x28896^2 ] <= 0 e79199: [ 0.002059 x9548^2 + x24097^2 - x28897^2 ] <= 0 e79200: [ 0.002059 x9549^2 + x24098^2 - x28898^2 ] <= 0 e79201: [ 0.002059 x9550^2 + x24099^2 - x28899^2 ] <= 0 e79202: [ 0.002059 x9551^2 + x24100^2 - x28900^2 ] <= 0 e79203: [ 0.002059 x9552^2 + x24101^2 - x28901^2 ] <= 0 e79204: [ 0.002059 x9553^2 + x24102^2 - x28902^2 ] <= 0 e79205: [ 0.006417 x9554^2 + x24103^2 - x28903^2 ] <= 0 e79206: [ 0.006417 x9555^2 + x24104^2 - x28904^2 ] <= 0 e79207: [ 0.006417 x9556^2 + x24105^2 - x28905^2 ] <= 0 e79208: [ 0.006417 x9557^2 + x24106^2 - x28906^2 ] <= 0 e79209: [ 0.006417 x9558^2 + x24107^2 - x28907^2 ] <= 0 e79210: [ 0.006417 x9559^2 + x24108^2 - x28908^2 ] <= 0 e79211: [ 0.006417 x9560^2 + x24109^2 - x28909^2 ] <= 0 e79212: [ 0.006417 x9561^2 + x24110^2 - x28910^2 ] <= 0 e79213: [ 0.006417 x9562^2 + x24111^2 - x28911^2 ] <= 0 e79214: [ 0.006417 x9563^2 + x24112^2 - x28912^2 ] <= 0 e79215: [ 0.006417 x9564^2 + x24113^2 - x28913^2 ] <= 0 e79216: [ 0.006417 x9565^2 + x24114^2 - x28914^2 ] <= 0 e79217: [ 0.006417 x9566^2 + x24115^2 - x28915^2 ] <= 0 e79218: [ 0.006417 x9567^2 + x24116^2 - x28916^2 ] <= 0 e79219: [ 0.006417 x9568^2 + x24117^2 - x28917^2 ] <= 0 e79220: [ 0.006417 x9569^2 + x24118^2 - x28918^2 ] <= 0 e79221: [ 0.006417 x9570^2 + x24119^2 - x28919^2 ] <= 0 e79222: [ 0.006417 x9571^2 + x24120^2 - x28920^2 ] <= 0 e79223: [ 0.006417 x9572^2 + x24121^2 - x28921^2 ] <= 0 e79224: [ 0.006417 x9573^2 + x24122^2 - x28922^2 ] <= 0 e79225: [ 0.006417 x9574^2 + x24123^2 - x28923^2 ] <= 0 e79226: [ 0.006417 x9575^2 + x24124^2 - x28924^2 ] <= 0 e79227: [ 0.006417 x9576^2 + x24125^2 - x28925^2 ] <= 0 e79228: [ 0.006417 x9577^2 + x24126^2 - x28926^2 ] <= 0 e79229: [ 0.004323 x9578^2 + x24127^2 - x28927^2 ] <= 0 e79230: [ 0.004323 x9579^2 + x24128^2 - x28928^2 ] <= 0 e79231: [ 0.004323 x9580^2 + x24129^2 - x28929^2 ] <= 0 e79232: [ 0.004323 x9581^2 + x24130^2 - x28930^2 ] <= 0 e79233: [ 0.004323 x9582^2 + x24131^2 - x28931^2 ] <= 0 e79234: [ 0.004323 x9583^2 + x24132^2 - x28932^2 ] <= 0 e79235: [ 0.004323 x9584^2 + x24133^2 - x28933^2 ] <= 0 e79236: [ 0.004323 x9585^2 + x24134^2 - x28934^2 ] <= 0 e79237: [ 0.004323 x9586^2 + x24135^2 - x28935^2 ] <= 0 e79238: [ 0.004323 x9587^2 + x24136^2 - x28936^2 ] <= 0 e79239: [ 0.004323 x9588^2 + x24137^2 - x28937^2 ] <= 0 e79240: [ 0.004323 x9589^2 + x24138^2 - x28938^2 ] <= 0 e79241: [ 0.004323 x9590^2 + x24139^2 - x28939^2 ] <= 0 e79242: [ 0.004323 x9591^2 + x24140^2 - x28940^2 ] <= 0 e79243: [ 0.004323 x9592^2 + x24141^2 - x28941^2 ] <= 0 e79244: [ 0.004323 x9593^2 + x24142^2 - x28942^2 ] <= 0 e79245: [ 0.004323 x9594^2 + x24143^2 - x28943^2 ] <= 0 e79246: [ 0.004323 x9595^2 + x24144^2 - x28944^2 ] <= 0 e79247: [ 0.004323 x9596^2 + x24145^2 - x28945^2 ] <= 0 e79248: [ 0.004323 x9597^2 + x24146^2 - x28946^2 ] <= 0 e79249: [ 0.004323 x9598^2 + x24147^2 - x28947^2 ] <= 0 e79250: [ 0.004323 x9599^2 + x24148^2 - x28948^2 ] <= 0 e79251: [ 0.004323 x9600^2 + x24149^2 - x28949^2 ] <= 0 e79252: [ 0.004323 x9601^2 + x24150^2 - x28950^2 ] <= 0 Bounds x9602 <= 1 x9603 <= 1 x9604 <= 1 x9605 <= 1 x9606 <= 1 x9607 <= 1 x9608 <= 1 x9609 <= 1 x9610 <= 1 x9611 <= 1 x9612 <= 1 x9613 <= 1 x9614 <= 1 x9615 <= 1 x9616 <= 1 x9617 <= 1 x9618 <= 1 x9619 <= 1 x9620 <= 1 x9621 <= 1 x9622 <= 1 x9623 <= 1 x9624 <= 1 x9625 <= 1 x9626 <= 1 x9627 <= 1 x9628 <= 1 x9629 <= 1 x9630 <= 1 x9631 <= 1 x9632 <= 1 x9633 <= 1 x9634 <= 1 x9635 <= 1 x9636 <= 1 x9637 <= 1 x9638 <= 1 x9639 <= 1 x9640 <= 1 x9641 <= 1 x9642 <= 1 x9643 <= 1 x9644 <= 1 x9645 <= 1 x9646 <= 1 x9647 <= 1 x9648 <= 1 x9649 <= 1 x9650 <= 1 x9651 <= 1 x9652 <= 1 x9653 <= 1 x9654 <= 1 x9655 <= 1 x9656 <= 1 x9657 <= 1 x9658 <= 1 x9659 <= 1 x9660 <= 1 x9661 <= 1 x9662 <= 1 x9663 <= 1 x9664 <= 1 x9665 <= 1 x9666 <= 1 x9667 <= 1 x9668 <= 1 x9669 <= 1 x9670 <= 1 x9671 <= 1 x9672 <= 1 x9673 <= 1 x9674 <= 1 x9675 <= 1 x9676 <= 1 x9677 <= 1 x9678 <= 1 x9679 <= 1 x9680 <= 1 x9681 <= 1 x9682 <= 1 x9683 <= 1 x9684 <= 1 x9685 <= 1 x9686 <= 1 x9687 <= 1 x9688 <= 1 x9689 <= 1 x9690 <= 1 x9691 <= 1 x9692 <= 1 x9693 <= 1 x9694 <= 1 x9695 <= 1 x9696 <= 1 x9697 <= 1 x9698 <= 1 x9699 <= 1 x9700 <= 1 x9701 <= 1 x9702 <= 1 x9703 <= 1 x9704 <= 1 x9705 <= 1 x9706 <= 1 x9707 <= 1 x9708 <= 1 x9709 <= 1 x9710 <= 1 x9711 <= 1 x9712 <= 1 x9713 <= 1 x9714 <= 1 x9715 <= 1 x9716 <= 1 x9717 <= 1 x9718 <= 1 x9719 <= 1 x9720 <= 1 x9721 <= 1 x9722 <= 1 x9723 <= 1 x9724 <= 1 x9725 <= 1 x9726 <= 1 x9727 <= 1 x9728 <= 1 x9729 <= 1 x9730 <= 1 x9731 <= 1 x9732 <= 1 x9733 <= 1 x9734 <= 1 x9735 <= 1 x9736 <= 1 x9737 <= 1 x9738 <= 1 x9739 <= 1 x9740 <= 1 x9741 <= 1 x9742 <= 1 x9743 <= 1 x9744 <= 1 x9745 <= 1 x9746 <= 1 x9747 <= 1 x9748 <= 1 x9749 <= 1 x9750 <= 1 x9751 <= 1 x9752 <= 1 x9753 <= 1 x9754 <= 1 x9755 <= 1 x9756 <= 1 x9757 <= 1 x9758 <= 1 x9759 <= 1 x9760 <= 1 x9761 <= 1 x9762 <= 1 x9763 <= 1 x9764 <= 1 x9765 <= 1 x9766 <= 1 x9767 <= 1 x9768 <= 1 x9769 <= 1 x9770 <= 1 x9771 <= 1 x9772 <= 1 x9773 <= 1 x9774 <= 1 x9775 <= 1 x9776 <= 1 x9777 <= 1 x9778 <= 1 x9779 <= 1 x9780 <= 1 x9781 <= 1 x9782 <= 1 x9783 <= 1 x9784 <= 1 x9785 <= 1 x9786 <= 1 x9787 <= 1 x9788 <= 1 x9789 <= 1 x9790 <= 1 x9791 <= 1 x9792 <= 1 x9793 <= 1 x9794 <= 1 x9795 <= 1 x9796 <= 1 x9797 <= 1 x9798 <= 1 x9799 <= 1 x9800 <= 1 x9801 <= 1 x9802 <= 1 x9803 <= 1 x9804 <= 1 x9805 <= 1 x9806 <= 1 x9807 <= 1 x9808 <= 1 x9809 <= 1 x9810 <= 1 x9811 <= 1 x9812 <= 1 x9813 <= 1 x9814 <= 1 x9815 <= 1 x9816 <= 1 x9817 <= 1 x9818 <= 1 x9819 <= 1 x9820 <= 1 x9821 <= 1 x9822 <= 1 x9823 <= 1 x9824 <= 1 x9825 <= 1 x9826 <= 1 x9827 <= 1 x9828 <= 1 x9829 <= 1 x9830 <= 1 x9831 <= 1 x9832 <= 1 x9833 <= 1 x9834 <= 1 x9835 <= 1 x9836 <= 1 x9837 <= 1 x9838 <= 1 x9839 <= 1 x9840 <= 1 x9841 <= 1 x9842 <= 1 x9843 <= 1 x9844 <= 1 x9845 <= 1 x9846 <= 1 x9847 <= 1 x9848 <= 1 x9849 <= 1 x9850 <= 1 x9851 <= 1 x9852 <= 1 x9853 <= 1 x9854 <= 1 x9855 <= 1 x9856 <= 1 x9857 <= 1 x9858 <= 1 x9859 <= 1 x9860 <= 1 x9861 <= 1 x9862 <= 1 x9863 <= 1 x9864 <= 1 x9865 <= 1 x9866 <= 1 x9867 <= 1 x9868 <= 1 x9869 <= 1 x9870 <= 1 x9871 <= 1 x9872 <= 1 x9873 <= 1 x9874 <= 1 x9875 <= 1 x9876 <= 1 x9877 <= 1 x9878 <= 1 x9879 <= 1 x9880 <= 1 x9881 <= 1 x9882 <= 1 x9883 <= 1 x9884 <= 1 x9885 <= 1 x9886 <= 1 x9887 <= 1 x9888 <= 1 x9889 <= 1 x9890 <= 1 x9891 <= 1 x9892 <= 1 x9893 <= 1 x9894 <= 1 x9895 <= 1 x9896 <= 1 x9897 <= 1 x9898 <= 1 x9899 <= 1 x9900 <= 1 x9901 <= 1 x9902 <= 1 x9903 <= 1 x9904 <= 1 x9905 <= 1 x9906 <= 1 x9907 <= 1 x9908 <= 1 x9909 <= 1 x9910 <= 1 x9911 <= 1 x9912 <= 1 x9913 <= 1 x9914 <= 1 x9915 <= 1 x9916 <= 1 x9917 <= 1 x9918 <= 1 x9919 <= 1 x9920 <= 1 x9921 <= 1 x9922 <= 1 x9923 <= 1 x9924 <= 1 x9925 <= 1 x9926 <= 1 x9927 <= 1 x9928 <= 1 x9929 <= 1 x9930 <= 1 x9931 <= 1 x9932 <= 1 x9933 <= 1 x9934 <= 1 x9935 <= 1 x9936 <= 1 x9937 <= 1 x9938 <= 1 x9939 <= 1 x9940 <= 1 x9941 <= 1 x9942 <= 1 x9943 <= 1 x9944 <= 1 x9945 <= 1 x9946 <= 1 x9947 <= 1 x9948 <= 1 x9949 <= 1 x9950 <= 1 x9951 <= 1 x9952 <= 1 x9953 <= 1 x9954 <= 1 x9955 <= 1 x9956 <= 1 x9957 <= 1 x9958 <= 1 x9959 <= 1 x9960 <= 1 x9961 <= 1 x9962 <= 1 x9963 <= 1 x9964 <= 1 x9965 <= 1 x9966 <= 1 x9967 <= 1 x9968 <= 1 x9969 <= 1 x9970 <= 1 x9971 <= 1 x9972 <= 1 x9973 <= 1 x9974 <= 1 x9975 <= 1 x9976 <= 1 x9977 <= 1 x9978 <= 1 x9979 <= 1 x9980 <= 1 x9981 <= 1 x9982 <= 1 x9983 <= 1 x9984 <= 1 x9985 <= 1 x9986 <= 1 x9987 <= 1 x9988 <= 1 x9989 <= 1 x9990 <= 1 x9991 <= 1 x9992 <= 1 x9993 <= 1 x9994 <= 1 x9995 <= 1 x9996 <= 1 x9997 <= 1 x9998 <= 1 x9999 <= 1 x10000 <= 1 x10001 <= 1 x10002 <= 1 x10003 <= 1 x10004 <= 1 x10005 <= 1 x10006 <= 1 x10007 <= 1 x10008 <= 1 x10009 <= 1 x10010 <= 1 x10011 <= 1 x10012 <= 1 x10013 <= 1 x10014 <= 1 x10015 <= 1 x10016 <= 1 x10017 <= 1 x10018 <= 1 x10019 <= 1 x10020 <= 1 x10021 <= 1 x10022 <= 1 x10023 <= 1 x10024 <= 1 x10025 <= 1 x10026 <= 1 x10027 <= 1 x10028 <= 1 x10029 <= 1 x10030 <= 1 x10031 <= 1 x10032 <= 1 x10033 <= 1 x10034 <= 1 x10035 <= 1 x10036 <= 1 x10037 <= 1 x10038 <= 1 x10039 <= 1 x10040 <= 1 x10041 <= 1 x10042 <= 1 x10043 <= 1 x10044 <= 1 x10045 <= 1 x10046 <= 1 x10047 <= 1 x10048 <= 1 x10049 <= 1 x10050 <= 1 x10051 <= 1 x10052 <= 1 x10053 <= 1 x10054 <= 1 x10055 <= 1 x10056 <= 1 x10057 <= 1 x10058 <= 1 x10059 <= 1 x10060 <= 1 x10061 <= 1 x10062 <= 1 x10063 <= 1 x10064 <= 1 x10065 <= 1 x10066 <= 1 x10067 <= 1 x10068 <= 1 x10069 <= 1 x10070 <= 1 x10071 <= 1 x10072 <= 1 x10073 <= 1 x10074 <= 1 x10075 <= 1 x10076 <= 1 x10077 <= 1 x10078 <= 1 x10079 <= 1 x10080 <= 1 x10081 <= 1 x10082 <= 1 x10083 <= 1 x10084 <= 1 x10085 <= 1 x10086 <= 1 x10087 <= 1 x10088 <= 1 x10089 <= 1 x10090 <= 1 x10091 <= 1 x10092 <= 1 x10093 <= 1 x10094 <= 1 x10095 <= 1 x10096 <= 1 x10097 <= 1 x10098 <= 1 x10099 <= 1 x10100 <= 1 x10101 <= 1 x10102 <= 1 x10103 <= 1 x10104 <= 1 x10105 <= 1 x10106 <= 1 x10107 <= 1 x10108 <= 1 x10109 <= 1 x10110 <= 1 x10111 <= 1 x10112 <= 1 x10113 <= 1 x10114 <= 1 x10115 <= 1 x10116 <= 1 x10117 <= 1 x10118 <= 1 x10119 <= 1 x10120 <= 1 x10121 <= 1 x10122 <= 1 x10123 <= 1 x10124 <= 1 x10125 <= 1 x10126 <= 1 x10127 <= 1 x10128 <= 1 x10129 <= 1 x10130 <= 1 x10131 <= 1 x10132 <= 1 x10133 <= 1 x10134 <= 1 x10135 <= 1 x10136 <= 1 x10137 <= 1 x10138 <= 1 x10139 <= 1 x10140 <= 1 x10141 <= 1 x10142 <= 1 x10143 <= 1 x10144 <= 1 x10145 <= 1 x10146 <= 1 x10147 <= 1 x10148 <= 1 x10149 <= 1 x10150 <= 1 x10151 <= 1 x10152 <= 1 x10153 <= 1 x10154 <= 1 x10155 <= 1 x10156 <= 1 x10157 <= 1 x10158 <= 1 x10159 <= 1 x10160 <= 1 x10161 <= 1 x10162 <= 1 x10163 <= 1 x10164 <= 1 x10165 <= 1 x10166 <= 1 x10167 <= 1 x10168 <= 1 x10169 <= 1 x10170 <= 1 x10171 <= 1 x10172 <= 1 x10173 <= 1 x10174 <= 1 x10175 <= 1 x10176 <= 1 x10177 <= 1 x10178 <= 1 x10179 <= 1 x10180 <= 1 x10181 <= 1 x10182 <= 1 x10183 <= 1 x10184 <= 1 x10185 <= 1 x10186 <= 1 x10187 <= 1 x10188 <= 1 x10189 <= 1 x10190 <= 1 x10191 <= 1 x10192 <= 1 x10193 <= 1 x10194 <= 1 x10195 <= 1 x10196 <= 1 x10197 <= 1 x10198 <= 1 x10199 <= 1 x10200 <= 1 x10201 <= 1 x10202 <= 1 x10203 <= 1 x10204 <= 1 x10205 <= 1 x10206 <= 1 x10207 <= 1 x10208 <= 1 x10209 <= 1 x10210 <= 1 x10211 <= 1 x10212 <= 1 x10213 <= 1 x10214 <= 1 x10215 <= 1 x10216 <= 1 x10217 <= 1 x10218 <= 1 x10219 <= 1 x10220 <= 1 x10221 <= 1 x10222 <= 1 x10223 <= 1 x10224 <= 1 x10225 <= 1 x10226 <= 1 x10227 <= 1 x10228 <= 1 x10229 <= 1 x10230 <= 1 x10231 <= 1 x10232 <= 1 x10233 <= 1 x10234 <= 1 x10235 <= 1 x10236 <= 1 x10237 <= 1 x10238 <= 1 x10239 <= 1 x10240 <= 1 x10241 <= 1 x10242 <= 1 x10243 <= 1 x10244 <= 1 x10245 <= 1 x10246 <= 1 x10247 <= 1 x10248 <= 1 x10249 <= 1 x10250 <= 1 x10251 <= 1 x10252 <= 1 x10253 <= 1 x10254 <= 1 x10255 <= 1 x10256 <= 1 x10257 <= 1 x10258 <= 1 x10259 <= 1 x10260 <= 1 x10261 <= 1 x10262 <= 1 x10263 <= 1 x10264 <= 1 x10265 <= 1 x10266 <= 1 x10267 <= 1 x10268 <= 1 x10269 <= 1 x10270 <= 1 x10271 <= 1 x10272 <= 1 x10273 <= 1 x10274 <= 1 x10275 <= 1 x10276 <= 1 x10277 <= 1 x10278 <= 1 x10279 <= 1 x10280 <= 1 x10281 <= 1 x10282 <= 1 x10283 <= 1 x10284 <= 1 x10285 <= 1 x10286 <= 1 x10287 <= 1 x10288 <= 1 x10289 <= 1 x10290 <= 1 x10291 <= 1 x10292 <= 1 x10293 <= 1 x10294 <= 1 x10295 <= 1 x10296 <= 1 x10297 <= 1 x10298 <= 1 x10299 <= 1 x10300 <= 1 x10301 <= 1 x10302 <= 1 x10303 <= 1 x10304 <= 1 x10305 <= 1 x10306 <= 1 x10307 <= 1 x10308 <= 1 x10309 <= 1 x10310 <= 1 x10311 <= 1 x10312 <= 1 x10313 <= 1 x10314 <= 1 x10315 <= 1 x10316 <= 1 x10317 <= 1 x10318 <= 1 x10319 <= 1 x10320 <= 1 x10321 <= 1 x10322 <= 1 x10323 <= 1 x10324 <= 1 x10325 <= 1 x10326 <= 1 x10327 <= 1 x10328 <= 1 x10329 <= 1 x10330 <= 1 x10331 <= 1 x10332 <= 1 x10333 <= 1 x10334 <= 1 x10335 <= 1 x10336 <= 1 x10337 <= 1 x10338 <= 1 x10339 <= 1 x10340 <= 1 x10341 <= 1 x10342 <= 1 x10343 <= 1 x10344 <= 1 x10345 <= 1 x10346 <= 1 x10347 <= 1 x10348 <= 1 x10349 <= 1 x10350 <= 1 x10351 <= 1 x10352 <= 1 x10353 <= 1 x10354 <= 1 x10355 <= 1 x10356 <= 1 x10357 <= 1 x10358 <= 1 x10359 <= 1 x10360 <= 1 x10361 <= 1 x10362 <= 1 x10363 <= 1 x10364 <= 1 x10365 <= 1 x10366 <= 1 x10367 <= 1 x10368 <= 1 x10369 <= 1 x10370 <= 1 x10371 <= 1 x10372 <= 1 x10373 <= 1 x10374 <= 1 x10375 <= 1 x10376 <= 1 x10377 <= 1 x10378 <= 1 x10379 <= 1 x10380 <= 1 x10381 <= 1 x10382 <= 1 x10383 <= 1 x10384 <= 1 x10385 <= 1 x10386 <= 1 x10387 <= 1 x10388 <= 1 x10389 <= 1 x10390 <= 1 x10391 <= 1 x10392 <= 1 x10393 <= 1 x10394 <= 1 x10395 <= 1 x10396 <= 1 x10397 <= 1 x10398 <= 1 x10399 <= 1 x10400 <= 1 x10401 <= 1 x10402 <= 1 x10403 <= 1 x10404 <= 1 x10405 <= 1 x10406 <= 1 x10407 <= 1 x10408 <= 1 x10409 <= 1 x10410 <= 1 x10411 <= 1 x10412 <= 1 x10413 <= 1 x10414 <= 1 x10415 <= 1 x10416 <= 1 x10417 <= 1 x10418 <= 1 x10419 <= 1 x10420 <= 1 x10421 <= 1 x10422 <= 1 x10423 <= 1 x10424 <= 1 x10425 <= 1 x10426 <= 1 x10427 <= 1 x10428 <= 1 x10429 <= 1 x10430 <= 1 x10431 <= 1 x10432 <= 1 x10433 <= 1 x10434 <= 1 x10435 <= 1 x10436 <= 1 x10437 <= 1 x10438 <= 1 x10439 <= 1 x10440 <= 1 x10441 <= 1 x10442 <= 1 x10443 <= 1 x10444 <= 1 x10445 <= 1 x10446 <= 1 x10447 <= 1 x10448 <= 1 x10449 <= 1 x10450 <= 1 x10451 <= 1 x10452 <= 1 x10453 <= 1 x10454 <= 1 x10455 <= 1 x10456 <= 1 x10457 <= 1 x10458 <= 1 x10459 <= 1 x10460 <= 1 x10461 <= 1 x10462 <= 1 x10463 <= 1 x10464 <= 1 x10465 <= 1 x10466 <= 1 x10467 <= 1 x10468 <= 1 x10469 <= 1 x10470 <= 1 x10471 <= 1 x10472 <= 1 x10473 <= 1 x10474 <= 1 x10475 <= 1 x10476 <= 1 x10477 <= 1 x10478 <= 1 x10479 <= 1 x10480 <= 1 x10481 <= 1 x10482 <= 1 x10483 <= 1 x10484 <= 1 x10485 <= 1 x10486 <= 1 x10487 <= 1 x10488 <= 1 x10489 <= 1 x10490 <= 1 x10491 <= 1 x10492 <= 1 x10493 <= 1 x10494 <= 1 x10495 <= 1 x10496 <= 1 x10497 <= 1 x10498 <= 1 x10499 <= 1 x10500 <= 1 x10501 <= 1 x10502 <= 1 x10503 <= 1 x10504 <= 1 x10505 <= 1 x10506 <= 1 x10507 <= 1 x10508 <= 1 x10509 <= 1 x10510 <= 1 x10511 <= 1 x10512 <= 1 x10513 <= 1 x10514 <= 1 x10515 <= 1 x10516 <= 1 x10517 <= 1 x10518 <= 1 x10519 <= 1 x10520 <= 1 x10521 <= 1 x10522 <= 1 x10523 <= 1 x10524 <= 1 x10525 <= 1 x10526 <= 1 x10527 <= 1 x10528 <= 1 x10529 <= 1 x10530 <= 1 x10531 <= 1 x10532 <= 1 x10533 <= 1 x10534 <= 1 x10535 <= 1 x10536 <= 1 x10537 <= 1 x10538 <= 1 x10539 <= 1 x10540 <= 1 x10541 <= 1 x10542 <= 1 x10543 <= 1 x10544 <= 1 x10545 <= 1 x10546 <= 1 x10547 <= 1 x10548 <= 1 x10549 <= 1 x10550 <= 1 x10551 <= 1 x10552 <= 1 x10553 <= 1 x10554 <= 1 x10555 <= 1 x10556 <= 1 x10557 <= 1 x10558 <= 1 x10559 <= 1 x10560 <= 1 x10561 <= 1 x10562 <= 1 x10563 <= 1 x10564 <= 1 x10565 <= 1 x10566 <= 1 x10567 <= 1 x10568 <= 1 x10569 <= 1 x10570 <= 1 x10571 <= 1 x10572 <= 1 x10573 <= 1 x10574 <= 1 x10575 <= 1 x10576 <= 1 x10577 <= 1 x10578 <= 1 x10579 <= 1 x10580 <= 1 x10581 <= 1 x10582 <= 1 x10583 <= 1 x10584 <= 1 x10585 <= 1 x10586 <= 1 x10587 <= 1 x10588 <= 1 x10589 <= 1 x10590 <= 1 x10591 <= 1 x10592 <= 1 x10593 <= 1 x10594 <= 1 x10595 <= 1 x10596 <= 1 x10597 <= 1 x10598 <= 1 x10599 <= 1 x10600 <= 1 x10601 <= 1 x10602 <= 1 x10603 <= 1 x10604 <= 1 x10605 <= 1 x10606 <= 1 x10607 <= 1 x10608 <= 1 x10609 <= 1 x10610 <= 1 x10611 <= 1 x10612 <= 1 x10613 <= 1 x10614 <= 1 x10615 <= 1 x10616 <= 1 x10617 <= 1 x10618 <= 1 x10619 <= 1 x10620 <= 1 x10621 <= 1 x10622 <= 1 x10623 <= 1 x10624 <= 1 x10625 <= 1 x10626 <= 1 x10627 <= 1 x10628 <= 1 x10629 <= 1 x10630 <= 1 x10631 <= 1 x10632 <= 1 x10633 <= 1 x10634 <= 1 x10635 <= 1 x10636 <= 1 x10637 <= 1 x10638 <= 1 x10639 <= 1 x10640 <= 1 x10641 <= 1 x10642 <= 1 x10643 <= 1 x10644 <= 1 x10645 <= 1 x10646 <= 1 x10647 <= 1 x10648 <= 1 x10649 <= 1 x10650 <= 1 x10651 <= 1 x10652 <= 1 x10653 <= 1 x10654 <= 1 x10655 <= 1 x10656 <= 1 x10657 <= 1 x10658 <= 1 x10659 <= 1 x10660 <= 1 x10661 <= 1 x10662 <= 1 x10663 <= 1 x10664 <= 1 x10665 <= 1 x10666 <= 1 x10667 <= 1 x10668 <= 1 x10669 <= 1 x10670 <= 1 x10671 <= 1 x10672 <= 1 x10673 <= 1 x10674 <= 1 x10675 <= 1 x10676 <= 1 x10677 <= 1 x10678 <= 1 x10679 <= 1 x10680 <= 1 x10681 <= 1 x10682 <= 1 x10683 <= 1 x10684 <= 1 x10685 <= 1 x10686 <= 1 x10687 <= 1 x10688 <= 1 x10689 <= 1 x10690 <= 1 x10691 <= 1 x10692 <= 1 x10693 <= 1 x10694 <= 1 x10695 <= 1 x10696 <= 1 x10697 <= 1 x10698 <= 1 x10699 <= 1 x10700 <= 1 x10701 <= 1 x10702 <= 1 x10703 <= 1 x10704 <= 1 x10705 <= 1 x10706 <= 1 x10707 <= 1 x10708 <= 1 x10709 <= 1 x10710 <= 1 x10711 <= 1 x10712 <= 1 x10713 <= 1 x10714 <= 1 x10715 <= 1 x10716 <= 1 x10717 <= 1 x10718 <= 1 x10719 <= 1 x10720 <= 1 x10721 <= 1 x10722 <= 1 x10723 <= 1 x10724 <= 1 x10725 <= 1 x10726 <= 1 x10727 <= 1 x10728 <= 1 x10729 <= 1 x10730 <= 1 x10731 <= 1 x10732 <= 1 x10733 <= 1 x10734 <= 1 x10735 <= 1 x10736 <= 1 x10737 <= 1 x10738 <= 1 x10739 <= 1 x10740 <= 1 x10741 <= 1 x10742 <= 1 x10743 <= 1 x10744 <= 1 x10745 <= 1 x10746 <= 1 x10747 <= 1 x10748 <= 1 x10749 <= 1 x10750 <= 1 x10751 <= 1 x10752 <= 1 x10753 <= 1 x10754 <= 1 x10755 <= 1 x10756 <= 1 x10757 <= 1 x10758 <= 1 x10759 <= 1 x10760 <= 1 x10761 <= 1 x10762 <= 1 x10763 <= 1 x10764 <= 1 x10765 <= 1 x10766 <= 1 x10767 <= 1 x10768 <= 1 x10769 <= 1 x10770 <= 1 x10771 <= 1 x10772 <= 1 x10773 <= 1 x10774 <= 1 x10775 <= 1 x10776 <= 1 x10777 <= 1 x10778 <= 1 x10779 <= 1 x10780 <= 1 x10781 <= 1 x10782 <= 1 x10783 <= 1 x10784 <= 1 x10785 <= 1 x10786 <= 1 x10787 <= 1 x10788 <= 1 x10789 <= 1 x10790 <= 1 x10791 <= 1 x10792 <= 1 x10793 <= 1 x10794 <= 1 x10795 <= 1 x10796 <= 1 x10797 <= 1 x10798 <= 1 x10799 <= 1 x10800 <= 1 x10801 <= 1 x10802 <= 1 x10803 <= 1 x10804 <= 1 x10805 <= 1 x10806 <= 1 x10807 <= 1 x10808 <= 1 x10809 <= 1 x10810 <= 1 x10811 <= 1 x10812 <= 1 x10813 <= 1 x10814 <= 1 x10815 <= 1 x10816 <= 1 x10817 <= 1 x10818 <= 1 x10819 <= 1 x10820 <= 1 x10821 <= 1 x10822 <= 1 x10823 <= 1 x10824 <= 1 x10825 <= 1 x10826 <= 1 x10827 <= 1 x10828 <= 1 x10829 <= 1 x10830 <= 1 x10831 <= 1 x10832 <= 1 x10833 <= 1 x10834 <= 1 x10835 <= 1 x10836 <= 1 x10837 <= 1 x10838 <= 1 x10839 <= 1 x10840 <= 1 x10841 <= 1 x10842 <= 1 x10843 <= 1 x10844 <= 1 x10845 <= 1 x10846 <= 1 x10847 <= 1 x10848 <= 1 x10849 <= 1 x10850 <= 1 x10851 <= 1 x10852 <= 1 x10853 <= 1 x10854 <= 1 x10855 <= 1 x10856 <= 1 x10857 <= 1 x10858 <= 1 x10859 <= 1 x10860 <= 1 x10861 <= 1 x10862 <= 1 x10863 <= 1 x10864 <= 1 x10865 <= 1 x10866 <= 1 x10867 <= 1 x10868 <= 1 x10869 <= 1 x10870 <= 1 x10871 <= 1 x10872 <= 1 x10873 <= 1 x10874 <= 1 x10875 <= 1 x10876 <= 1 x10877 <= 1 x10878 <= 1 x10879 <= 1 x10880 <= 1 x10881 <= 1 x10882 <= 1 x10883 <= 1 x10884 <= 1 x10885 <= 1 x10886 <= 1 x10887 <= 1 x10888 <= 1 x10889 <= 1 x10890 <= 1 x10891 <= 1 x10892 <= 1 x10893 <= 1 x10894 <= 1 x10895 <= 1 x10896 <= 1 x10897 <= 1 x10898 <= 1 x10899 <= 1 x10900 <= 1 x10901 <= 1 x10902 <= 1 x10903 <= 1 x10904 <= 1 x10905 <= 1 x10906 <= 1 x10907 <= 1 x10908 <= 1 x10909 <= 1 x10910 <= 1 x10911 <= 1 x10912 <= 1 x10913 <= 1 x10914 <= 1 x10915 <= 1 x10916 <= 1 x10917 <= 1 x10918 <= 1 x10919 <= 1 x10920 <= 1 x10921 <= 1 x10922 <= 1 x10923 <= 1 x10924 <= 1 x10925 <= 1 x10926 <= 1 x10927 <= 1 x10928 <= 1 x10929 <= 1 x10930 <= 1 x10931 <= 1 x10932 <= 1 x10933 <= 1 x10934 <= 1 x10935 <= 1 x10936 <= 1 x10937 <= 1 x10938 <= 1 x10939 <= 1 x10940 <= 1 x10941 <= 1 x10942 <= 1 x10943 <= 1 x10944 <= 1 x10945 <= 1 x10946 <= 1 x10947 <= 1 x10948 <= 1 x10949 <= 1 x10950 <= 1 x10951 <= 1 x10952 <= 1 x10953 <= 1 x10954 <= 1 x10955 <= 1 x10956 <= 1 x10957 <= 1 x10958 <= 1 x10959 <= 1 x10960 <= 1 x10961 <= 1 x10962 <= 1 x10963 <= 1 x10964 <= 1 x10965 <= 1 x10966 <= 1 x10967 <= 1 x10968 <= 1 x10969 <= 1 x10970 <= 1 x10971 <= 1 x10972 <= 1 x10973 <= 1 x10974 <= 1 x10975 <= 1 x10976 <= 1 x10977 <= 1 x10978 <= 1 x10979 <= 1 x10980 <= 1 x10981 <= 1 x10982 <= 1 x10983 <= 1 x10984 <= 1 x10985 <= 1 x10986 <= 1 x10987 <= 1 x10988 <= 1 x10989 <= 1 x10990 <= 1 x10991 <= 1 x10992 <= 1 x10993 <= 1 x10994 <= 1 x10995 <= 1 x10996 <= 1 x10997 <= 1 x10998 <= 1 x10999 <= 1 x11000 <= 1 x11001 <= 1 x11002 <= 1 x11003 <= 1 x11004 <= 1 x11005 <= 1 x11006 <= 1 x11007 <= 1 x11008 <= 1 x11009 <= 1 x11010 <= 1 x11011 <= 1 x11012 <= 1 x11013 <= 1 x11014 <= 1 x11015 <= 1 x11016 <= 1 x11017 <= 1 x11018 <= 1 x11019 <= 1 x11020 <= 1 x11021 <= 1 x11022 <= 1 x11023 <= 1 x11024 <= 1 x11025 <= 1 x11026 <= 1 x11027 <= 1 x11028 <= 1 x11029 <= 1 x11030 <= 1 x11031 <= 1 x11032 <= 1 x11033 <= 1 x11034 <= 1 x11035 <= 1 x11036 <= 1 x11037 <= 1 x11038 <= 1 x11039 <= 1 x11040 <= 1 x11041 <= 1 x11042 <= 1 x11043 <= 1 x11044 <= 1 x11045 <= 1 x11046 <= 1 x11047 <= 1 x11048 <= 1 x11049 <= 1 x11050 <= 1 x11051 <= 1 x11052 <= 1 x11053 <= 1 x11054 <= 1 x11055 <= 1 x11056 <= 1 x11057 <= 1 x11058 <= 1 x11059 <= 1 x11060 <= 1 x11061 <= 1 x11062 <= 1 x11063 <= 1 x11064 <= 1 x11065 <= 1 x11066 <= 1 x11067 <= 1 x11068 <= 1 x11069 <= 1 x11070 <= 1 x11071 <= 1 x11072 <= 1 x11073 <= 1 x11074 <= 1 x11075 <= 1 x11076 <= 1 x11077 <= 1 x11078 <= 1 x11079 <= 1 x11080 <= 1 x11081 <= 1 x11082 <= 1 x11083 <= 1 x11084 <= 1 x11085 <= 1 x11086 <= 1 x11087 <= 1 x11088 <= 1 x11089 <= 1 x11090 <= 1 x11091 <= 1 x11092 <= 1 x11093 <= 1 x11094 <= 1 x11095 <= 1 x11096 <= 1 x11097 <= 1 x11098 <= 1 x11099 <= 1 x11100 <= 1 x11101 <= 1 x11102 <= 1 x11103 <= 1 x11104 <= 1 x11105 <= 1 x11106 <= 1 x11107 <= 1 x11108 <= 1 x11109 <= 1 x11110 <= 1 x11111 <= 1 x11112 <= 1 x11113 <= 1 x11114 <= 1 x11115 <= 1 x11116 <= 1 x11117 <= 1 x11118 <= 1 x11119 <= 1 x11120 <= 1 x11121 <= 1 x11122 <= 1 x11123 <= 1 x11124 <= 1 x11125 <= 1 x11126 <= 1 x11127 <= 1 x11128 <= 1 x11129 <= 1 x11130 <= 1 x11131 <= 1 x11132 <= 1 x11133 <= 1 x11134 <= 1 x11135 <= 1 x11136 <= 1 x11137 <= 1 x11138 <= 1 x11139 <= 1 x11140 <= 1 x11141 <= 1 x11142 <= 1 x11143 <= 1 x11144 <= 1 x11145 <= 1 x11146 <= 1 x11147 <= 1 x11148 <= 1 x11149 <= 1 x11150 <= 1 x11151 <= 1 x11152 <= 1 x11153 <= 1 x11154 <= 1 x11155 <= 1 x11156 <= 1 x11157 <= 1 x11158 <= 1 x11159 <= 1 x11160 <= 1 x11161 <= 1 x11162 <= 1 x11163 <= 1 x11164 <= 1 x11165 <= 1 x11166 <= 1 x11167 <= 1 x11168 <= 1 x11169 <= 1 x11170 <= 1 x11171 <= 1 x11172 <= 1 x11173 <= 1 x11174 <= 1 x11175 <= 1 x11176 <= 1 x11177 <= 1 x11178 <= 1 x11179 <= 1 x11180 <= 1 x11181 <= 1 x11182 <= 1 x11183 <= 1 x11184 <= 1 x11185 <= 1 x11186 <= 1 x11187 <= 1 x11188 <= 1 x11189 <= 1 x11190 <= 1 x11191 <= 1 x11192 <= 1 x11193 <= 1 x11194 <= 1 x11195 <= 1 x11196 <= 1 x11197 <= 1 x11198 <= 1 x11199 <= 1 x11200 <= 1 x11201 <= 1 x11202 <= 1 x11203 <= 1 x11204 <= 1 x11205 <= 1 x11206 <= 1 x11207 <= 1 x11208 <= 1 x11209 <= 1 x11210 <= 1 x11211 <= 1 x11212 <= 1 x11213 <= 1 x11214 <= 1 x11215 <= 1 x11216 <= 1 x11217 <= 1 x11218 <= 1 x11219 <= 1 x11220 <= 1 x11221 <= 1 x11222 <= 1 x11223 <= 1 x11224 <= 1 x11225 <= 1 x11226 <= 1 x11227 <= 1 x11228 <= 1 x11229 <= 1 x11230 <= 1 x11231 <= 1 x11232 <= 1 x11233 <= 1 x11234 <= 1 x11235 <= 1 x11236 <= 1 x11237 <= 1 x11238 <= 1 x11239 <= 1 x11240 <= 1 x11241 <= 1 x11242 <= 1 x11243 <= 1 x11244 <= 1 x11245 <= 1 x11246 <= 1 x11247 <= 1 x11248 <= 1 x11249 <= 1 x11250 <= 1 x11251 <= 1 x11252 <= 1 x11253 <= 1 x11254 <= 1 x11255 <= 1 x11256 <= 1 x11257 <= 1 x11258 <= 1 x11259 <= 1 x11260 <= 1 x11261 <= 1 x11262 <= 1 x11263 <= 1 x11264 <= 1 x11265 <= 1 x11266 <= 1 x11267 <= 1 x11268 <= 1 x11269 <= 1 x11270 <= 1 x11271 <= 1 x11272 <= 1 x11273 <= 1 x11274 <= 1 x11275 <= 1 x11276 <= 1 x11277 <= 1 x11278 <= 1 x11279 <= 1 x11280 <= 1 x11281 <= 1 x11282 <= 1 x11283 <= 1 x11284 <= 1 x11285 <= 1 x11286 <= 1 x11287 <= 1 x11288 <= 1 x11289 <= 1 x11290 <= 1 x11291 <= 1 x11292 <= 1 x11293 <= 1 x11294 <= 1 x11295 <= 1 x11296 <= 1 x11297 <= 1 x11298 <= 1 x11299 <= 1 x11300 <= 1 x11301 <= 1 x11302 <= 1 x11303 <= 1 x11304 <= 1 x11305 <= 1 x11306 <= 1 x11307 <= 1 x11308 <= 1 x11309 <= 1 x11310 <= 1 x11311 <= 1 x11312 <= 1 x11313 <= 1 x11314 <= 1 x11315 <= 1 x11316 <= 1 x11317 <= 1 x11318 <= 1 x11319 <= 1 x11320 <= 1 x11321 <= 1 x11322 <= 1 x11323 <= 1 x11324 <= 1 x11325 <= 1 x11326 <= 1 x11327 <= 1 x11328 <= 1 x11329 <= 1 x11330 <= 1 x11331 <= 1 x11332 <= 1 x11333 <= 1 x11334 <= 1 x11335 <= 1 x11336 <= 1 x11337 <= 1 x11338 <= 1 x11339 <= 1 x11340 <= 1 x11341 <= 1 x11342 <= 1 x11343 <= 1 x11344 <= 1 x11345 <= 1 x11346 <= 1 x11347 <= 1 x11348 <= 1 x11349 <= 1 x11350 <= 1 x11351 <= 1 x11352 <= 1 x11353 <= 1 x11354 <= 1 x11355 <= 1 x11356 <= 1 x11357 <= 1 x11358 <= 1 x11359 <= 1 x11360 <= 1 x11361 <= 1 x11362 <= 1 x11363 <= 1 x11364 <= 1 x11365 <= 1 x11366 <= 1 x11367 <= 1 x11368 <= 1 x11369 <= 1 x11370 <= 1 x11371 <= 1 x11372 <= 1 x11373 <= 1 x11374 <= 1 x11375 <= 1 x11376 <= 1 x11377 <= 1 x11378 <= 1 x11379 <= 1 x11380 <= 1 x11381 <= 1 x11382 <= 1 x11383 <= 1 x11384 <= 1 x11385 <= 1 x11386 <= 1 x11387 <= 1 x11388 <= 1 x11389 <= 1 x11390 <= 1 x11391 <= 1 x11392 <= 1 x11393 <= 1 x11394 <= 1 x11395 <= 1 x11396 <= 1 x11397 <= 1 x11398 <= 1 x11399 <= 1 x11400 <= 1 x11401 <= 1 x11402 <= 1 x11403 <= 1 x11404 <= 1 x11405 <= 1 x11406 <= 1 x11407 <= 1 x11408 <= 1 x11409 <= 1 x11410 <= 1 x11411 <= 1 x11412 <= 1 x11413 <= 1 x11414 <= 1 x11415 <= 1 x11416 <= 1 x11417 <= 1 x11418 <= 1 x11419 <= 1 x11420 <= 1 x11421 <= 1 x11422 <= 1 x11423 <= 1 x11424 <= 1 x11425 <= 1 x11426 <= 1 x11427 <= 1 x11428 <= 1 x11429 <= 1 x11430 <= 1 x11431 <= 1 x11432 <= 1 x11433 <= 1 x11434 <= 1 x11435 <= 1 x11436 <= 1 x11437 <= 1 x11438 <= 1 x11439 <= 1 x11440 <= 1 x11441 <= 1 x11442 <= 1 x11443 <= 1 x11444 <= 1 x11445 <= 1 x11446 <= 1 x11447 <= 1 x11448 <= 1 x11449 <= 1 x11450 <= 1 x11451 <= 1 x11452 <= 1 x11453 <= 1 x11454 <= 1 x11455 <= 1 x11456 <= 1 x11457 <= 1 x11458 <= 1 x11459 <= 1 x11460 <= 1 x11461 <= 1 x11462 <= 1 x11463 <= 1 x11464 <= 1 x11465 <= 1 x11466 <= 1 x11467 <= 1 x11468 <= 1 x11469 <= 1 x11470 <= 1 x11471 <= 1 x11472 <= 1 x11473 <= 1 x11474 <= 1 x11475 <= 1 x11476 <= 1 x11477 <= 1 x11478 <= 1 x11479 <= 1 x11480 <= 1 x11481 <= 1 x11482 <= 1 x11483 <= 1 x11484 <= 1 x11485 <= 1 x11486 <= 1 x11487 <= 1 x11488 <= 1 x11489 <= 1 x11490 <= 1 x11491 <= 1 x11492 <= 1 x11493 <= 1 x11494 <= 1 x11495 <= 1 x11496 <= 1 x11497 <= 1 x11498 <= 1 x11499 <= 1 x11500 <= 1 x11501 <= 1 x11502 <= 1 x11503 <= 1 x11504 <= 1 x11505 <= 1 x11506 <= 1 x11507 <= 1 x11508 <= 1 x11509 <= 1 x11510 <= 1 x11511 <= 1 x11512 <= 1 x11513 <= 1 x11514 <= 1 x11515 <= 1 x11516 <= 1 x11517 <= 1 x11518 <= 1 x11519 <= 1 x11520 <= 1 x11521 <= 1 x11522 <= 1 x11523 <= 1 x11524 <= 1 x11525 <= 1 x11526 <= 1 x11527 <= 1 x11528 <= 1 x11529 <= 1 x11530 <= 1 x11531 <= 1 x11532 <= 1 x11533 <= 1 x11534 <= 1 x11535 <= 1 x11536 <= 1 x11537 <= 1 x11538 <= 1 x11539 <= 1 x11540 <= 1 x11541 <= 1 x11542 <= 1 x11543 <= 1 x11544 <= 1 x11545 <= 1 x11546 <= 1 x11547 <= 1 x11548 <= 1 x11549 <= 1 x11550 <= 1 x11551 <= 1 x11552 <= 1 x11553 <= 1 x11554 <= 1 x11555 <= 1 x11556 <= 1 x11557 <= 1 x11558 <= 1 x11559 <= 1 x11560 <= 1 x11561 <= 1 x11562 <= 1 x11563 <= 1 x11564 <= 1 x11565 <= 1 x11566 <= 1 x11567 <= 1 x11568 <= 1 x11569 <= 1 x11570 <= 1 x11571 <= 1 x11572 <= 1 x11573 <= 1 x11574 <= 1 x11575 <= 1 x11576 <= 1 x11577 <= 1 x11578 <= 1 x11579 <= 1 x11580 <= 1 x11581 <= 1 x11582 <= 1 x11583 <= 1 x11584 <= 1 x11585 <= 1 x11586 <= 1 x11587 <= 1 x11588 <= 1 x11589 <= 1 x11590 <= 1 x11591 <= 1 x11592 <= 1 x11593 <= 1 x11594 <= 1 x11595 <= 1 x11596 <= 1 x11597 <= 1 x11598 <= 1 x11599 <= 1 x11600 <= 1 x11601 <= 1 x11602 <= 1 x11603 <= 1 x11604 <= 1 x11605 <= 1 x11606 <= 1 x11607 <= 1 x11608 <= 1 x11609 <= 1 x11610 <= 1 x11611 <= 1 x11612 <= 1 x11613 <= 1 x11614 <= 1 x11615 <= 1 x11616 <= 1 x11617 <= 1 x11618 <= 1 x11619 <= 1 x11620 <= 1 x11621 <= 1 x11622 <= 1 x11623 <= 1 x11624 <= 1 x11625 <= 1 x11626 <= 1 x11627 <= 1 x11628 <= 1 x11629 <= 1 x11630 <= 1 x11631 <= 1 x11632 <= 1 x11633 <= 1 x11634 <= 1 x11635 <= 1 x11636 <= 1 x11637 <= 1 x11638 <= 1 x11639 <= 1 x11640 <= 1 x11641 <= 1 x11642 <= 1 x11643 <= 1 x11644 <= 1 x11645 <= 1 x11646 <= 1 x11647 <= 1 x11648 <= 1 x11649 <= 1 x11650 <= 1 x11651 <= 1 x11652 <= 1 x11653 <= 1 x11654 <= 1 x11655 <= 1 x11656 <= 1 x11657 <= 1 x11658 <= 1 x11659 <= 1 x11660 <= 1 x11661 <= 1 x11662 <= 1 x11663 <= 1 x11664 <= 1 x11665 <= 1 x11666 <= 1 x11667 <= 1 x11668 <= 1 x11669 <= 1 x11670 <= 1 x11671 <= 1 x11672 <= 1 x11673 <= 1 x11674 <= 1 x11675 <= 1 x11676 <= 1 x11677 <= 1 x11678 <= 1 x11679 <= 1 x11680 <= 1 x11681 <= 1 x11682 <= 1 x11683 <= 1 x11684 <= 1 x11685 <= 1 x11686 <= 1 x11687 <= 1 x11688 <= 1 x11689 <= 1 x11690 <= 1 x11691 <= 1 x11692 <= 1 x11693 <= 1 x11694 <= 1 x11695 <= 1 x11696 <= 1 x11697 <= 1 x11698 <= 1 x11699 <= 1 x11700 <= 1 x11701 <= 1 x11702 <= 1 x11703 <= 1 x11704 <= 1 x11705 <= 1 x11706 <= 1 x11707 <= 1 x11708 <= 1 x11709 <= 1 x11710 <= 1 x11711 <= 1 x11712 <= 1 x11713 <= 1 x11714 <= 1 x11715 <= 1 x11716 <= 1 x11717 <= 1 x11718 <= 1 x11719 <= 1 x11720 <= 1 x11721 <= 1 x11722 <= 1 x11723 <= 1 x11724 <= 1 x11725 <= 1 x11726 <= 1 x11727 <= 1 x11728 <= 1 x11729 <= 1 x11730 <= 1 x11731 <= 1 x11732 <= 1 x11733 <= 1 x11734 <= 1 x11735 <= 1 x11736 <= 1 x11737 <= 1 x11738 <= 1 x11739 <= 1 x11740 <= 1 x11741 <= 1 x11742 <= 1 x11743 <= 1 x11744 <= 1 x11745 <= 1 x11746 <= 1 x11747 <= 1 x11748 <= 1 x11749 <= 1 x11750 <= 1 x11751 <= 1 x11752 <= 1 x11753 <= 1 x11754 <= 1 x11755 <= 1 x11756 <= 1 x11757 <= 1 x11758 <= 1 x11759 <= 1 x11760 <= 1 x11761 <= 1 x11762 <= 1 x11763 <= 1 x11764 <= 1 x11765 <= 1 x11766 <= 1 x11767 <= 1 x11768 <= 1 x11769 <= 1 x11770 <= 1 x11771 <= 1 x11772 <= 1 x11773 <= 1 x11774 <= 1 x11775 <= 1 x11776 <= 1 x11777 <= 1 x11778 <= 1 x11779 <= 1 x11780 <= 1 x11781 <= 1 x11782 <= 1 x11783 <= 1 x11784 <= 1 x11785 <= 1 x11786 <= 1 x11787 <= 1 x11788 <= 1 x11789 <= 1 x11790 <= 1 x11791 <= 1 x11792 <= 1 x11793 <= 1 x11794 <= 1 x11795 <= 1 x11796 <= 1 x11797 <= 1 x11798 <= 1 x11799 <= 1 x11800 <= 1 x11801 <= 1 x11802 <= 1 x11803 <= 1 x11804 <= 1 x11805 <= 1 x11806 <= 1 x11807 <= 1 x11808 <= 1 x11809 <= 1 x11810 <= 1 x11811 <= 1 x11812 <= 1 x11813 <= 1 x11814 <= 1 x11815 <= 1 x11816 <= 1 x11817 <= 1 x11818 <= 1 x11819 <= 1 x11820 <= 1 x11821 <= 1 x11822 <= 1 x11823 <= 1 x11824 <= 1 x11825 <= 1 x11826 <= 1 x11827 <= 1 x11828 <= 1 x11829 <= 1 x11830 <= 1 x11831 <= 1 x11832 <= 1 x11833 <= 1 x11834 <= 1 x11835 <= 1 x11836 <= 1 x11837 <= 1 x11838 <= 1 x11839 <= 1 x11840 <= 1 x11841 <= 1 x11842 <= 1 x11843 <= 1 x11844 <= 1 x11845 <= 1 x11846 <= 1 x11847 <= 1 x11848 <= 1 x11849 <= 1 x11850 <= 1 x11851 <= 1 x11852 <= 1 x11853 <= 1 x11854 <= 1 x11855 <= 1 x11856 <= 1 x11857 <= 1 x11858 <= 1 x11859 <= 1 x11860 <= 1 x11861 <= 1 x11862 <= 1 x11863 <= 1 x11864 <= 1 x11865 <= 1 x11866 <= 1 x11867 <= 1 x11868 <= 1 x11869 <= 1 x11870 <= 1 x11871 <= 1 x11872 <= 1 x11873 <= 1 x11874 <= 1 x11875 <= 1 x11876 <= 1 x11877 <= 1 x11878 <= 1 x11879 <= 1 x11880 <= 1 x11881 <= 1 x11882 <= 1 x11883 <= 1 x11884 <= 1 x11885 <= 1 x11886 <= 1 x11887 <= 1 x11888 <= 1 x11889 <= 1 x11890 <= 1 x11891 <= 1 x11892 <= 1 x11893 <= 1 x11894 <= 1 x11895 <= 1 x11896 <= 1 x11897 <= 1 x11898 <= 1 x11899 <= 1 x11900 <= 1 x11901 <= 1 x11902 <= 1 x11903 <= 1 x11904 <= 1 x11905 <= 1 x11906 <= 1 x11907 <= 1 x11908 <= 1 x11909 <= 1 x11910 <= 1 x11911 <= 1 x11912 <= 1 x11913 <= 1 x11914 <= 1 x11915 <= 1 x11916 <= 1 x11917 <= 1 x11918 <= 1 x11919 <= 1 x11920 <= 1 x11921 <= 1 x11922 <= 1 x11923 <= 1 x11924 <= 1 x11925 <= 1 x11926 <= 1 x11927 <= 1 x11928 <= 1 x11929 <= 1 x11930 <= 1 x11931 <= 1 x11932 <= 1 x11933 <= 1 x11934 <= 1 x11935 <= 1 x11936 <= 1 x11937 <= 1 x11938 <= 1 x11939 <= 1 x11940 <= 1 x11941 <= 1 x11942 <= 1 x11943 <= 1 x11944 <= 1 x11945 <= 1 x11946 <= 1 x11947 <= 1 x11948 <= 1 x11949 <= 1 x11950 <= 1 x11951 <= 1 x11952 <= 1 x11953 <= 1 x11954 <= 1 x11955 <= 1 x11956 <= 1 x11957 <= 1 x11958 <= 1 x11959 <= 1 x11960 <= 1 x11961 <= 1 x11962 <= 1 x11963 <= 1 x11964 <= 1 x11965 <= 1 x11966 <= 1 x11967 <= 1 x11968 <= 1 x11969 <= 1 x11970 <= 1 x11971 <= 1 x11972 <= 1 x11973 <= 1 x11974 <= 1 x11975 <= 1 x11976 <= 1 x11977 <= 1 x11978 <= 1 x11979 <= 1 x11980 <= 1 x11981 <= 1 x11982 <= 1 x11983 <= 1 x11984 <= 1 x11985 <= 1 x11986 <= 1 x11987 <= 1 x11988 <= 1 x11989 <= 1 x11990 <= 1 x11991 <= 1 x11992 <= 1 x11993 <= 1 x11994 <= 1 x11995 <= 1 x11996 <= 1 x11997 <= 1 x11998 <= 1 x11999 <= 1 x12000 <= 1 x12001 <= 1 x12002 <= 1 x12003 <= 1 x12004 <= 1 x12005 <= 1 x12006 <= 1 x12007 <= 1 x12008 <= 1 x12009 <= 1 x12010 <= 1 x12011 <= 1 x12012 <= 1 x12013 <= 1 x12014 <= 1 x12015 <= 1 x12016 <= 1 x12017 <= 1 x12018 <= 1 x12019 <= 1 x12020 <= 1 x12021 <= 1 x12022 <= 1 x12023 <= 1 x12024 <= 1 x12025 <= 1 x12026 <= 1 x12027 <= 1 x12028 <= 1 x12029 <= 1 x12030 <= 1 x12031 <= 1 x12032 <= 1 x12033 <= 1 x12034 <= 1 x12035 <= 1 x12036 <= 1 x12037 <= 1 x12038 <= 1 x12039 <= 1 x12040 <= 1 x12041 <= 1 x12042 <= 1 x12043 <= 1 x12044 <= 1 x12045 <= 1 x12046 <= 1 x12047 <= 1 x12048 <= 1 x12049 <= 1 x12050 <= 1 x12051 <= 1 x12052 <= 1 x12053 <= 1 x12054 <= 1 x12055 <= 1 x12056 <= 1 x12057 <= 1 x12058 <= 1 x12059 <= 1 x12060 <= 1 x12061 <= 1 x12062 <= 1 x12063 <= 1 x12064 <= 1 x12065 <= 1 x12066 <= 1 x12067 <= 1 x12068 <= 1 x12069 <= 1 x12070 <= 1 x12071 <= 1 x12072 <= 1 x12073 <= 1 x12074 <= 1 x12075 <= 1 x12076 <= 1 x12077 <= 1 x12078 <= 1 x12079 <= 1 x12080 <= 1 x12081 <= 1 x12082 <= 1 x12083 <= 1 x12084 <= 1 x12085 <= 1 x12086 <= 1 x12087 <= 1 x12088 <= 1 x12089 <= 1 x12090 <= 1 x12091 <= 1 x12092 <= 1 x12093 <= 1 x12094 <= 1 x12095 <= 1 x12096 <= 1 x12097 <= 1 x12098 <= 1 x12099 <= 1 x12100 <= 1 x12101 <= 1 x12102 <= 1 x12103 <= 1 x12104 <= 1 x12105 <= 1 x12106 <= 1 x12107 <= 1 x12108 <= 1 x12109 <= 1 x12110 <= 1 x12111 <= 1 x12112 <= 1 x12113 <= 1 x12114 <= 1 x12115 <= 1 x12116 <= 1 x12117 <= 1 x12118 <= 1 x12119 <= 1 x12120 <= 1 x12121 <= 1 x12122 <= 1 x12123 <= 1 x12124 <= 1 x12125 <= 1 x12126 <= 1 x12127 <= 1 x12128 <= 1 x12129 <= 1 x12130 <= 1 x12131 <= 1 x12132 <= 1 x12133 <= 1 x12134 <= 1 x12135 <= 1 x12136 <= 1 x12137 <= 1 x12138 <= 1 x12139 <= 1 x12140 <= 1 x12141 <= 1 x12142 <= 1 x12143 <= 1 x12144 <= 1 x12145 <= 1 x12146 <= 1 x12147 <= 1 x12148 <= 1 x12149 <= 1 x12150 <= 1 x12151 <= 1 x12152 <= 1 x12153 <= 1 x12154 <= 1 x12155 <= 1 x12156 <= 1 x12157 <= 1 x12158 <= 1 x12159 <= 1 x12160 <= 1 x12161 <= 1 x12162 <= 1 x12163 <= 1 x12164 <= 1 x12165 <= 1 x12166 <= 1 x12167 <= 1 x12168 <= 1 x12169 <= 1 x12170 <= 1 x12171 <= 1 x12172 <= 1 x12173 <= 1 x12174 <= 1 x12175 <= 1 x12176 <= 1 x12177 <= 1 x12178 <= 1 x12179 <= 1 x12180 <= 1 x12181 <= 1 x12182 <= 1 x12183 <= 1 x12184 <= 1 x12185 <= 1 x12186 <= 1 x12187 <= 1 x12188 <= 1 x12189 <= 1 x12190 <= 1 x12191 <= 1 x12192 <= 1 x12193 <= 1 x12194 <= 1 x12195 <= 1 x12196 <= 1 x12197 <= 1 x12198 <= 1 x12199 <= 1 x12200 <= 1 x12201 <= 1 x12202 <= 1 x12203 <= 1 x12204 <= 1 x12205 <= 1 x12206 <= 1 x12207 <= 1 x12208 <= 1 x12209 <= 1 x12210 <= 1 x12211 <= 1 x12212 <= 1 x12213 <= 1 x12214 <= 1 x12215 <= 1 x12216 <= 1 x12217 <= 1 x12218 <= 1 x12219 <= 1 x12220 <= 1 x12221 <= 1 x12222 <= 1 x12223 <= 1 x12224 <= 1 x12225 <= 1 x12226 <= 1 x12227 <= 1 x12228 <= 1 x12229 <= 1 x12230 <= 1 x12231 <= 1 x12232 <= 1 x12233 <= 1 x12234 <= 1 x12235 <= 1 x12236 <= 1 x12237 <= 1 x12238 <= 1 x12239 <= 1 x12240 <= 1 x12241 <= 1 x12242 <= 1 x12243 <= 1 x12244 <= 1 x12245 <= 1 x12246 <= 1 x12247 <= 1 x12248 <= 1 x12249 <= 1 x12250 <= 1 x12251 <= 1 x12252 <= 1 x12253 <= 1 x12254 <= 1 x12255 <= 1 x12256 <= 1 x12257 <= 1 x12258 <= 1 x12259 <= 1 x12260 <= 1 x12261 <= 1 x12262 <= 1 x12263 <= 1 x12264 <= 1 x12265 <= 1 x12266 <= 1 x12267 <= 1 x12268 <= 1 x12269 <= 1 x12270 <= 1 x12271 <= 1 x12272 <= 1 x12273 <= 1 x12274 <= 1 x12275 <= 1 x12276 <= 1 x12277 <= 1 x12278 <= 1 x12279 <= 1 x12280 <= 1 x12281 <= 1 x12282 <= 1 x12283 <= 1 x12284 <= 1 x12285 <= 1 x12286 <= 1 x12287 <= 1 x12288 <= 1 x12289 <= 1 x12290 <= 1 x12291 <= 1 x12292 <= 1 x12293 <= 1 x12294 <= 1 x12295 <= 1 x12296 <= 1 x12297 <= 1 x12298 <= 1 x12299 <= 1 x12300 <= 1 x12301 <= 1 x12302 <= 1 x12303 <= 1 x12304 <= 1 x12305 <= 1 x12306 <= 1 x12307 <= 1 x12308 <= 1 x12309 <= 1 x12310 <= 1 x12311 <= 1 x12312 <= 1 x12313 <= 1 x12314 <= 1 x12315 <= 1 x12316 <= 1 x12317 <= 1 x12318 <= 1 x12319 <= 1 x12320 <= 1 x12321 <= 1 x12322 <= 1 x12323 <= 1 x12324 <= 1 x12325 <= 1 x12326 <= 1 x12327 <= 1 x12328 <= 1 x12329 <= 1 x12330 <= 1 x12331 <= 1 x12332 <= 1 x12333 <= 1 x12334 <= 1 x12335 <= 1 x12336 <= 1 x12337 <= 1 x12338 <= 1 x12339 <= 1 x12340 <= 1 x12341 <= 1 x12342 <= 1 x12343 <= 1 x12344 <= 1 x12345 <= 1 x12346 <= 1 x12347 <= 1 x12348 <= 1 x12349 <= 1 x12350 <= 1 x12351 <= 1 x12352 <= 1 x12353 <= 1 x12354 <= 1 x12355 <= 1 x12356 <= 1 x12357 <= 1 x12358 <= 1 x12359 <= 1 x12360 <= 1 x12361 <= 1 x12362 <= 1 x12363 <= 1 x12364 <= 1 x12365 <= 1 x12366 <= 1 x12367 <= 1 x12368 <= 1 x12369 <= 1 x12370 <= 1 x12371 <= 1 x12372 <= 1 x12373 <= 1 x12374 <= 1 x12375 <= 1 x12376 <= 1 x12377 <= 1 x12378 <= 1 x12379 <= 1 x12380 <= 1 x12381 <= 1 x12382 <= 1 x12383 <= 1 x12384 <= 1 x12385 <= 1 x12386 <= 1 x12387 <= 1 x12388 <= 1 x12389 <= 1 x12390 <= 1 x12391 <= 1 x12392 <= 1 x12393 <= 1 x12394 <= 1 x12395 <= 1 x12396 <= 1 x12397 <= 1 x12398 <= 1 x12399 <= 1 x12400 <= 1 x12401 <= 1 x12402 <= 1 x12403 <= 1 x12404 <= 1 x12405 <= 1 x12406 <= 1 x12407 <= 1 x12408 <= 1 x12409 <= 1 x12410 <= 1 x12411 <= 1 x12412 <= 1 x12413 <= 1 x12414 <= 1 x12415 <= 1 x12416 <= 1 x12417 <= 1 x12418 <= 1 x12419 <= 1 x12420 <= 1 x12421 <= 1 x12422 <= 1 x12423 <= 1 x12424 <= 1 x12425 <= 1 x12426 <= 1 x12427 <= 1 x12428 <= 1 x12429 <= 1 x12430 <= 1 x12431 <= 1 x12432 <= 1 x12433 <= 1 x12434 <= 1 x12435 <= 1 x12436 <= 1 x12437 <= 1 x12438 <= 1 x12439 <= 1 x12440 <= 1 x12441 <= 1 x12442 <= 1 x12443 <= 1 x12444 <= 1 x12445 <= 1 x12446 <= 1 x12447 <= 1 x12448 <= 1 x12449 <= 1 x12450 <= 1 x12451 <= 1 x12452 <= 1 x12453 <= 1 x12454 <= 1 x12455 <= 1 x12456 <= 1 x12457 <= 1 x12458 <= 1 x12459 <= 1 x12460 <= 1 x12461 <= 1 x12462 <= 1 x12463 <= 1 x12464 <= 1 x12465 <= 1 x12466 <= 1 x12467 <= 1 x12468 <= 1 x12469 <= 1 x12470 <= 1 x12471 <= 1 x12472 <= 1 x12473 <= 1 x12474 <= 1 x12475 <= 1 x12476 <= 1 x12477 <= 1 x12478 <= 1 x12479 <= 1 x12480 <= 1 x12481 <= 1 x12482 <= 1 x12483 <= 1 x12484 <= 1 x12485 <= 1 x12486 <= 1 x12487 <= 1 x12488 <= 1 x12489 <= 1 x12490 <= 1 x12491 <= 1 x12492 <= 1 x12493 <= 1 x12494 <= 1 x12495 <= 1 x12496 <= 1 x12497 <= 1 x12498 <= 1 x12499 <= 1 x12500 <= 1 x12501 <= 1 x12502 <= 1 x12503 <= 1 x12504 <= 1 x12505 <= 1 x12506 <= 1 x12507 <= 1 x12508 <= 1 x12509 <= 1 x12510 <= 1 x12511 <= 1 x12512 <= 1 x12513 <= 1 x12514 <= 1 x12515 <= 1 x12516 <= 1 x12517 <= 1 x12518 <= 1 x12519 <= 1 x12520 <= 1 x12521 <= 1 x12522 <= 1 x12523 <= 1 x12524 <= 1 x12525 <= 1 x12526 <= 1 x12527 <= 1 x12528 <= 1 x12529 <= 1 x12530 <= 1 x12531 <= 1 x12532 <= 1 x12533 <= 1 x12534 <= 1 x12535 <= 1 x12536 <= 1 x12537 <= 1 x12538 <= 1 x12539 <= 1 x12540 <= 1 x12541 <= 1 x12542 <= 1 x12543 <= 1 x12544 <= 1 x12545 <= 1 x12546 <= 1 x12547 <= 1 x12548 <= 1 x12549 <= 1 x12550 <= 1 x12551 <= 1 x12552 <= 1 x12553 <= 1 x12554 <= 1 x12555 <= 1 x12556 <= 1 x12557 <= 1 x12558 <= 1 x12559 <= 1 x12560 <= 1 x12561 <= 1 x12562 <= 1 x12563 <= 1 x12564 <= 1 x12565 <= 1 x12566 <= 1 x12567 <= 1 x12568 <= 1 x12569 <= 1 x12570 <= 1 x12571 <= 1 x12572 <= 1 x12573 <= 1 x12574 <= 1 x12575 <= 1 x12576 <= 1 x12577 <= 1 x12578 <= 1 x12579 <= 1 x12580 <= 1 x12581 <= 1 x12582 <= 1 x12583 <= 1 x12584 <= 1 x12585 <= 1 x12586 <= 1 x12587 <= 1 x12588 <= 1 x12589 <= 1 x12590 <= 1 x12591 <= 1 x12592 <= 1 x12593 <= 1 x12594 <= 1 x12595 <= 1 x12596 <= 1 x12597 <= 1 x12598 <= 1 x12599 <= 1 x12600 <= 1 x12601 <= 1 x12602 <= 1 x12603 <= 1 x12604 <= 1 x12605 <= 1 x12606 <= 1 x12607 <= 1 x12608 <= 1 x12609 <= 1 x12610 <= 1 x12611 <= 1 x12612 <= 1 x12613 <= 1 x12614 <= 1 x12615 <= 1 x12616 <= 1 x12617 <= 1 x12618 <= 1 x12619 <= 1 x12620 <= 1 x12621 <= 1 x12622 <= 1 x12623 <= 1 x12624 <= 1 x12625 <= 1 x12626 <= 1 x12627 <= 1 x12628 <= 1 x12629 <= 1 x12630 <= 1 x12631 <= 1 x12632 <= 1 x12633 <= 1 x12634 <= 1 x12635 <= 1 x12636 <= 1 x12637 <= 1 x12638 <= 1 x12639 <= 1 x12640 <= 1 x12641 <= 1 x12642 <= 1 x12643 <= 1 x12644 <= 1 x12645 <= 1 x12646 <= 1 x12647 <= 1 x12648 <= 1 x12649 <= 1 x12650 <= 1 x12651 <= 1 x12652 <= 1 x12653 <= 1 x12654 <= 1 x12655 <= 1 x12656 <= 1 x12657 <= 1 x12658 <= 1 x12659 <= 1 x12660 <= 1 x12661 <= 1 x12662 <= 1 x12663 <= 1 x12664 <= 1 x12665 <= 1 x12666 <= 1 x12667 <= 1 x12668 <= 1 x12669 <= 1 x12670 <= 1 x12671 <= 1 x12672 <= 1 x12673 <= 1 x12674 <= 1 x12675 <= 1 x12676 <= 1 x12677 <= 1 x12678 <= 1 x12679 <= 1 x12680 <= 1 x12681 <= 1 x12682 <= 1 x12683 <= 1 x12684 <= 1 x12685 <= 1 x12686 <= 1 x12687 <= 1 x12688 <= 1 x12689 <= 1 x12690 <= 1 x12691 <= 1 x12692 <= 1 x12693 <= 1 x12694 <= 1 x12695 <= 1 x12696 <= 1 x12697 <= 1 x12698 <= 1 x12699 <= 1 x12700 <= 1 x12701 <= 1 x12702 <= 1 x12703 <= 1 x12704 <= 1 x12705 <= 1 x12706 <= 1 x12707 <= 1 x12708 <= 1 x12709 <= 1 x12710 <= 1 x12711 <= 1 x12712 <= 1 x12713 <= 1 x12714 <= 1 x12715 <= 1 x12716 <= 1 x12717 <= 1 x12718 <= 1 x12719 <= 1 x12720 <= 1 x12721 <= 1 x12722 <= 1 x12723 <= 1 x12724 <= 1 x12725 <= 1 x12726 <= 1 x12727 <= 1 x12728 <= 1 x12729 <= 1 x12730 <= 1 x12731 <= 1 x12732 <= 1 x12733 <= 1 x12734 <= 1 x12735 <= 1 x12736 <= 1 x12737 <= 1 x12738 <= 1 x12739 <= 1 x12740 <= 1 x12741 <= 1 x12742 <= 1 x12743 <= 1 x12744 <= 1 x12745 <= 1 x12746 <= 1 x12747 <= 1 x12748 <= 1 x12749 <= 1 x12750 <= 1 x12751 <= 1 x12752 <= 1 x12753 <= 1 x12754 <= 1 x12755 <= 1 x12756 <= 1 x12757 <= 1 x12758 <= 1 x12759 <= 1 x12760 <= 1 x12761 <= 1 x12762 <= 1 x12763 <= 1 x12764 <= 1 x12765 <= 1 x12766 <= 1 x12767 <= 1 x12768 <= 1 x12769 <= 1 x12770 <= 1 x12771 <= 1 x12772 <= 1 x12773 <= 1 x12774 <= 1 x12775 <= 1 x12776 <= 1 x12777 <= 1 x12778 <= 1 x12779 <= 1 x12780 <= 1 x12781 <= 1 x12782 <= 1 x12783 <= 1 x12784 <= 1 x12785 <= 1 x12786 <= 1 x12787 <= 1 x12788 <= 1 x12789 <= 1 x12790 <= 1 x12791 <= 1 x12792 <= 1 x12793 <= 1 x12794 <= 1 x12795 <= 1 x12796 <= 1 x12797 <= 1 x12798 <= 1 x12799 <= 1 x12800 <= 1 x12801 <= 1 x12802 <= 1 x12803 <= 1 x12804 <= 1 x12805 <= 1 x12806 <= 1 x12807 <= 1 x12808 <= 1 x12809 <= 1 x12810 <= 1 x12811 <= 1 x12812 <= 1 x12813 <= 1 x12814 <= 1 x12815 <= 1 x12816 <= 1 x12817 <= 1 x12818 <= 1 x12819 <= 1 x12820 <= 1 x12821 <= 1 x12822 <= 1 x12823 <= 1 x12824 <= 1 x12825 <= 1 x12826 <= 1 x12827 <= 1 x12828 <= 1 x12829 <= 1 x12830 <= 1 x12831 <= 1 x12832 <= 1 x12833 <= 1 x12834 <= 1 x12835 <= 1 x12836 <= 1 x12837 <= 1 x12838 <= 1 x12839 <= 1 x12840 <= 1 x12841 <= 1 x12842 <= 1 x12843 <= 1 x12844 <= 1 x12845 <= 1 x12846 <= 1 x12847 <= 1 x12848 <= 1 x12849 <= 1 x12850 <= 1 x12851 <= 1 x12852 <= 1 x12853 <= 1 x12854 <= 1 x12855 <= 1 x12856 <= 1 x12857 <= 1 x12858 <= 1 x12859 <= 1 x12860 <= 1 x12861 <= 1 x12862 <= 1 x12863 <= 1 x12864 <= 1 x12865 <= 1 x12866 <= 1 x12867 <= 1 x12868 <= 1 x12869 <= 1 x12870 <= 1 x12871 <= 1 x12872 <= 1 x12873 <= 1 x12874 <= 1 x12875 <= 1 x12876 <= 1 x12877 <= 1 x12878 <= 1 x12879 <= 1 x12880 <= 1 x12881 <= 1 x12882 <= 1 x12883 <= 1 x12884 <= 1 x12885 <= 1 x12886 <= 1 x12887 <= 1 x12888 <= 1 x12889 <= 1 x12890 <= 1 x12891 <= 1 x12892 <= 1 x12893 <= 1 x12894 <= 1 x12895 <= 1 x12896 <= 1 x12897 <= 1 x12898 <= 1 x12899 <= 1 x12900 <= 1 x12901 <= 1 x12902 <= 1 x12903 <= 1 x12904 <= 1 x12905 <= 1 x12906 <= 1 x12907 <= 1 x12908 <= 1 x12909 <= 1 x12910 <= 1 x12911 <= 1 x12912 <= 1 x12913 <= 1 x12914 <= 1 x12915 <= 1 x12916 <= 1 x12917 <= 1 x12918 <= 1 x12919 <= 1 x12920 <= 1 x12921 <= 1 x12922 <= 1 x12923 <= 1 x12924 <= 1 x12925 <= 1 x12926 <= 1 x12927 <= 1 x12928 <= 1 x12929 <= 1 x12930 <= 1 x12931 <= 1 x12932 <= 1 x12933 <= 1 x12934 <= 1 x12935 <= 1 x12936 <= 1 x12937 <= 1 x12938 <= 1 x12939 <= 1 x12940 <= 1 x12941 <= 1 x12942 <= 1 x12943 <= 1 x12944 <= 1 x12945 <= 1 x12946 <= 1 x12947 <= 1 x12948 <= 1 x12949 <= 1 x12950 <= 1 x12951 <= 1 x12952 <= 1 x12953 <= 1 x12954 <= 1 x12955 <= 1 x12956 <= 1 x12957 <= 1 x12958 <= 1 x12959 <= 1 x12960 <= 1 x12961 <= 1 x12962 <= 1 x12963 <= 1 x12964 <= 1 x12965 <= 1 x12966 <= 1 x12967 <= 1 x12968 <= 1 x12969 <= 1 x12970 <= 1 x12971 <= 1 x12972 <= 1 x12973 <= 1 x12974 <= 1 x12975 <= 1 x12976 <= 1 x12977 <= 1 x12978 <= 1 x12979 <= 1 x12980 <= 1 x12981 <= 1 x12982 <= 1 x12983 <= 1 x12984 <= 1 x12985 <= 1 x12986 <= 1 x12987 <= 1 x12988 <= 1 x12989 <= 1 x12990 <= 1 x12991 <= 1 x12992 <= 1 x12993 <= 1 x12994 <= 1 x12995 <= 1 x12996 <= 1 x12997 <= 1 x12998 <= 1 x12999 <= 1 x13000 <= 1 x13001 <= 1 x13002 <= 1 x13003 <= 1 x13004 <= 1 x13005 <= 1 x13006 <= 1 x13007 <= 1 x13008 <= 1 x13009 <= 1 x13010 <= 1 x13011 <= 1 x13012 <= 1 x13013 <= 1 x13014 <= 1 x13015 <= 1 x13016 <= 1 x13017 <= 1 x13018 <= 1 x13019 <= 1 x13020 <= 1 x13021 <= 1 x13022 <= 1 x13023 <= 1 x13024 <= 1 x13025 <= 1 x13026 <= 1 x13027 <= 1 x13028 <= 1 x13029 <= 1 x13030 <= 1 x13031 <= 1 x13032 <= 1 x13033 <= 1 x13034 <= 1 x13035 <= 1 x13036 <= 1 x13037 <= 1 x13038 <= 1 x13039 <= 1 x13040 <= 1 x13041 <= 1 x13042 <= 1 x13043 <= 1 x13044 <= 1 x13045 <= 1 x13046 <= 1 x13047 <= 1 x13048 <= 1 x13049 <= 1 x13050 <= 1 x13051 <= 1 x13052 <= 1 x13053 <= 1 x13054 <= 1 x13055 <= 1 x13056 <= 1 x13057 <= 1 x13058 <= 1 x13059 <= 1 x13060 <= 1 x13061 <= 1 x13062 <= 1 x13063 <= 1 x13064 <= 1 x13065 <= 1 x13066 <= 1 x13067 <= 1 x13068 <= 1 x13069 <= 1 x13070 <= 1 x13071 <= 1 x13072 <= 1 x13073 <= 1 x13074 <= 1 x13075 <= 1 x13076 <= 1 x13077 <= 1 x13078 <= 1 x13079 <= 1 x13080 <= 1 x13081 <= 1 x13082 <= 1 x13083 <= 1 x13084 <= 1 x13085 <= 1 x13086 <= 1 x13087 <= 1 x13088 <= 1 x13089 <= 1 x13090 <= 1 x13091 <= 1 x13092 <= 1 x13093 <= 1 x13094 <= 1 x13095 <= 1 x13096 <= 1 x13097 <= 1 x13098 <= 1 x13099 <= 1 x13100 <= 1 x13101 <= 1 x13102 <= 1 x13103 <= 1 x13104 <= 1 x13105 <= 1 x13106 <= 1 x13107 <= 1 x13108 <= 1 x13109 <= 1 x13110 <= 1 x13111 <= 1 x13112 <= 1 x13113 <= 1 x13114 <= 1 x13115 <= 1 x13116 <= 1 x13117 <= 1 x13118 <= 1 x13119 <= 1 x13120 <= 1 x13121 <= 1 x13122 <= 1 x13123 <= 1 x13124 <= 1 x13125 <= 1 x13126 <= 1 x13127 <= 1 x13128 <= 1 x13129 <= 1 x13130 <= 1 x13131 <= 1 x13132 <= 1 x13133 <= 1 x13134 <= 1 x13135 <= 1 x13136 <= 1 x13137 <= 1 x13138 <= 1 x13139 <= 1 x13140 <= 1 x13141 <= 1 x13142 <= 1 x13143 <= 1 x13144 <= 1 x13145 <= 1 x13146 <= 1 x13147 <= 1 x13148 <= 1 x13149 <= 1 x13150 <= 1 x13151 <= 1 x13152 <= 1 x13153 <= 1 x13154 <= 1 x13155 <= 1 x13156 <= 1 x13157 <= 1 x13158 <= 1 x13159 <= 1 x13160 <= 1 x13161 <= 1 x13162 <= 1 x13163 <= 1 x13164 <= 1 x13165 <= 1 x13166 <= 1 x13167 <= 1 x13168 <= 1 x13169 <= 1 x13170 <= 1 x13171 <= 1 x13172 <= 1 x13173 <= 1 x13174 <= 1 x13175 <= 1 x13176 <= 1 x13177 <= 1 x13178 <= 1 x13179 <= 1 x13180 <= 1 x13181 <= 1 x13182 <= 1 x13183 <= 1 x13184 <= 1 x13185 <= 1 x13186 <= 1 x13187 <= 1 x13188 <= 1 x13189 <= 1 x13190 <= 1 x13191 <= 1 x13192 <= 1 x13193 <= 1 x13194 <= 1 x13195 <= 1 x13196 <= 1 x13197 <= 1 x13198 <= 1 x13199 <= 1 x13200 <= 1 x13201 <= 1 x13202 <= 1 x13203 <= 1 x13204 <= 1 x13205 <= 1 x13206 <= 1 x13207 <= 1 x13208 <= 1 x13209 <= 1 x13210 <= 1 x13211 <= 1 x13212 <= 1 x13213 <= 1 x13214 <= 1 x13215 <= 1 x13216 <= 1 x13217 <= 1 x13218 <= 1 x13219 <= 1 x13220 <= 1 x13221 <= 1 x13222 <= 1 x13223 <= 1 x13224 <= 1 x13225 <= 1 x13226 <= 1 x13227 <= 1 x13228 <= 1 x13229 <= 1 x13230 <= 1 x13231 <= 1 x13232 <= 1 x13233 <= 1 x13234 <= 1 x13235 <= 1 x13236 <= 1 x13237 <= 1 x13238 <= 1 x13239 <= 1 x13240 <= 1 x13241 <= 1 x13242 <= 1 x13243 <= 1 x13244 <= 1 x13245 <= 1 x13246 <= 1 x13247 <= 1 x13248 <= 1 x13249 <= 1 x13250 <= 1 x13251 <= 1 x13252 <= 1 x13253 <= 1 x13254 <= 1 x13255 <= 1 x13256 <= 1 x13257 <= 1 x13258 <= 1 x13259 <= 1 x13260 <= 1 x13261 <= 1 x13262 <= 1 x13263 <= 1 x13264 <= 1 x13265 <= 1 x13266 <= 1 x13267 <= 1 x13268 <= 1 x13269 <= 1 x13270 <= 1 x13271 <= 1 x13272 <= 1 x13273 <= 1 x13274 <= 1 x13275 <= 1 x13276 <= 1 x13277 <= 1 x13278 <= 1 x13279 <= 1 x13280 <= 1 x13281 <= 1 x13282 <= 1 x13283 <= 1 x13284 <= 1 x13285 <= 1 x13286 <= 1 x13287 <= 1 x13288 <= 1 x13289 <= 1 x13290 <= 1 x13291 <= 1 x13292 <= 1 x13293 <= 1 x13294 <= 1 x13295 <= 1 x13296 <= 1 x13297 <= 1 x13298 <= 1 x13299 <= 1 x13300 <= 1 x13301 <= 1 x13302 <= 1 x13303 <= 1 x13304 <= 1 x13305 <= 1 x13306 <= 1 x13307 <= 1 x13308 <= 1 x13309 <= 1 x13310 <= 1 x13311 <= 1 x13312 <= 1 x13313 <= 1 x13314 <= 1 x13315 <= 1 x13316 <= 1 x13317 <= 1 x13318 <= 1 x13319 <= 1 x13320 <= 1 x13321 <= 1 x13322 <= 1 x13323 <= 1 x13324 <= 1 x13325 <= 1 x13326 <= 1 x13327 <= 1 x13328 <= 1 x13329 <= 1 x13330 <= 1 x13331 <= 1 x13332 <= 1 x13333 <= 1 x13334 <= 1 x13335 <= 1 x13336 <= 1 x13337 <= 1 x13338 <= 1 x13339 <= 1 x13340 <= 1 x13341 <= 1 x13342 <= 1 x13343 <= 1 x13344 <= 1 x13345 <= 1 x13346 <= 1 x13347 <= 1 x13348 <= 1 x13349 <= 1 x13350 <= 1 x13351 <= 1 x13352 <= 1 x13353 <= 1 x13354 <= 1 x13355 <= 1 x13356 <= 1 x13357 <= 1 x13358 <= 1 x13359 <= 1 x13360 <= 1 x13361 <= 1 x13362 <= 1 x13363 <= 1 x13364 <= 1 x13365 <= 1 x13366 <= 1 x13367 <= 1 x13368 <= 1 x13369 <= 1 x13370 <= 1 x13371 <= 1 x13372 <= 1 x13373 <= 1 x13374 <= 1 x13375 <= 1 x13376 <= 1 x13377 <= 1 x13378 <= 1 x13379 <= 1 x13380 <= 1 x13381 <= 1 x13382 <= 1 x13383 <= 1 x13384 <= 1 x13385 <= 1 x13386 <= 1 x13387 <= 1 x13388 <= 1 x13389 <= 1 x13390 <= 1 x13391 <= 1 x13392 <= 1 x13393 <= 1 x13394 <= 1 x13395 <= 1 x13396 <= 1 x13397 <= 1 x13398 <= 1 x13399 <= 1 x13400 <= 1 x13401 <= 1 x13402 <= 1 x13403 <= 1 x13404 <= 1 x13405 <= 1 x13406 <= 1 x13407 <= 1 x13408 <= 1 x13409 <= 1 x13410 <= 1 x13411 <= 1 x13412 <= 1 x13413 <= 1 x13414 <= 1 x13415 <= 1 x13416 <= 1 x13417 <= 1 x13418 <= 1 x13419 <= 1 x13420 <= 1 x13421 <= 1 x13422 <= 1 x13423 <= 1 x13424 <= 1 x13425 <= 1 x13426 <= 1 x13427 <= 1 x13428 <= 1 x13429 <= 1 x13430 <= 1 x13431 <= 1 x13432 <= 1 x13433 <= 1 x13434 <= 1 x13435 <= 1 x13436 <= 1 x13437 <= 1 x13438 <= 1 x13439 <= 1 x13440 <= 1 x13441 <= 1 x13442 <= 1 x13443 <= 1 x13444 <= 1 x13445 <= 1 x13446 <= 1 x13447 <= 1 x13448 <= 1 x13449 <= 1 x13450 <= 1 x13451 <= 1 x13452 <= 1 x13453 <= 1 x13454 <= 1 x13455 <= 1 x13456 <= 1 x13457 <= 1 x13458 <= 1 x13459 <= 1 x13460 <= 1 x13461 <= 1 x13462 <= 1 x13463 <= 1 x13464 <= 1 x13465 <= 1 x13466 <= 1 x13467 <= 1 x13468 <= 1 x13469 <= 1 x13470 <= 1 x13471 <= 1 x13472 <= 1 x13473 <= 1 x13474 <= 1 x13475 <= 1 x13476 <= 1 x13477 <= 1 x13478 <= 1 x13479 <= 1 x13480 <= 1 x13481 <= 1 x13482 <= 1 x13483 <= 1 x13484 <= 1 x13485 <= 1 x13486 <= 1 x13487 <= 1 x13488 <= 1 x13489 <= 1 x13490 <= 1 x13491 <= 1 x13492 <= 1 x13493 <= 1 x13494 <= 1 x13495 <= 1 x13496 <= 1 x13497 <= 1 x13498 <= 1 x13499 <= 1 x13500 <= 1 x13501 <= 1 x13502 <= 1 x13503 <= 1 x13504 <= 1 x13505 <= 1 x13506 <= 1 x13507 <= 1 x13508 <= 1 x13509 <= 1 x13510 <= 1 x13511 <= 1 x13512 <= 1 x13513 <= 1 x13514 <= 1 x13515 <= 1 x13516 <= 1 x13517 <= 1 x13518 <= 1 x13519 <= 1 x13520 <= 1 x13521 <= 1 x13522 <= 1 x13523 <= 1 x13524 <= 1 x13525 <= 1 x13526 <= 1 x13527 <= 1 x13528 <= 1 x13529 <= 1 x13530 <= 1 x13531 <= 1 x13532 <= 1 x13533 <= 1 x13534 <= 1 x13535 <= 1 x13536 <= 1 x13537 <= 1 x13538 <= 1 x13539 <= 1 x13540 <= 1 x13541 <= 1 x13542 <= 1 x13543 <= 1 x13544 <= 1 x13545 <= 1 x13546 <= 1 x13547 <= 1 x13548 <= 1 x13549 <= 1 x13550 <= 1 x13551 <= 1 x13552 <= 1 x13553 <= 1 x13554 <= 1 x13555 <= 1 x13556 <= 1 x13557 <= 1 x13558 <= 1 x13559 <= 1 x13560 <= 1 x13561 <= 1 x13562 <= 1 x13563 <= 1 x13564 <= 1 x13565 <= 1 x13566 <= 1 x13567 <= 1 x13568 <= 1 x13569 <= 1 x13570 <= 1 x13571 <= 1 x13572 <= 1 x13573 <= 1 x13574 <= 1 x13575 <= 1 x13576 <= 1 x13577 <= 1 x13578 <= 1 x13579 <= 1 x13580 <= 1 x13581 <= 1 x13582 <= 1 x13583 <= 1 x13584 <= 1 x13585 <= 1 x13586 <= 1 x13587 <= 1 x13588 <= 1 x13589 <= 1 x13590 <= 1 x13591 <= 1 x13592 <= 1 x13593 <= 1 x13594 <= 1 x13595 <= 1 x13596 <= 1 x13597 <= 1 x13598 <= 1 x13599 <= 1 x13600 <= 1 x13601 <= 1 x13602 <= 1 x13603 <= 1 x13604 <= 1 x13605 <= 1 x13606 <= 1 x13607 <= 1 x13608 <= 1 x13609 <= 1 x13610 <= 1 x13611 <= 1 x13612 <= 1 x13613 <= 1 x13614 <= 1 x13615 <= 1 x13616 <= 1 x13617 <= 1 x13618 <= 1 x13619 <= 1 x13620 <= 1 x13621 <= 1 x13622 <= 1 x13623 <= 1 x13624 <= 1 x13625 <= 1 x13626 <= 1 x13627 <= 1 x13628 <= 1 x13629 <= 1 x13630 <= 1 x13631 <= 1 x13632 <= 1 x13633 <= 1 x13634 <= 1 x13635 <= 1 x13636 <= 1 x13637 <= 1 x13638 <= 1 x13639 <= 1 x13640 <= 1 x13641 <= 1 x13642 <= 1 x13643 <= 1 x13644 <= 1 x13645 <= 1 x13646 <= 1 x13647 <= 1 x13648 <= 1 x13649 <= 1 x13650 <= 1 x13651 <= 1 x13652 <= 1 x13653 <= 1 x13654 <= 1 x13655 <= 1 x13656 <= 1 x13657 <= 1 x13658 <= 1 x13659 <= 1 x13660 <= 1 x13661 <= 1 x13662 <= 1 x13663 <= 1 x13664 <= 1 x13665 <= 1 x13666 <= 1 x13667 <= 1 x13668 <= 1 x13669 <= 1 x13670 <= 1 x13671 <= 1 x13672 <= 1 x13673 <= 1 x13674 <= 1 x13675 <= 1 x13676 <= 1 x13677 <= 1 x13678 <= 1 x13679 <= 1 x13680 <= 1 x13681 <= 1 x13682 <= 1 x13683 <= 1 x13684 <= 1 x13685 <= 1 x13686 <= 1 x13687 <= 1 x13688 <= 1 x13689 <= 1 x13690 <= 1 x13691 <= 1 x13692 <= 1 x13693 <= 1 x13694 <= 1 x13695 <= 1 x13696 <= 1 x13697 <= 1 x13698 <= 1 x13699 <= 1 x13700 <= 1 x13701 <= 1 x13702 <= 1 x13703 <= 1 x13704 <= 1 x13705 <= 1 x13706 <= 1 x13707 <= 1 x13708 <= 1 x13709 <= 1 x13710 <= 1 x13711 <= 1 x13712 <= 1 x13713 <= 1 x13714 <= 1 x13715 <= 1 x13716 <= 1 x13717 <= 1 x13718 <= 1 x13719 <= 1 x13720 <= 1 x13721 <= 1 x13722 <= 1 x13723 <= 1 x13724 <= 1 x13725 <= 1 x13726 <= 1 x13727 <= 1 x13728 <= 1 x13729 <= 1 x13730 <= 1 x13731 <= 1 x13732 <= 1 x13733 <= 1 x13734 <= 1 x13735 <= 1 x13736 <= 1 x13737 <= 1 x13738 <= 1 x13739 <= 1 x13740 <= 1 x13741 <= 1 x13742 <= 1 x13743 <= 1 x13744 <= 1 x13745 <= 1 x13746 <= 1 x13747 <= 1 x13748 <= 1 x13749 <= 1 x13750 <= 1 x13751 <= 1 x13752 <= 1 x13753 <= 1 x13754 <= 1 x13755 <= 1 x13756 <= 1 x13757 <= 1 x13758 <= 1 x13759 <= 1 x13760 <= 1 x13761 <= 1 x13762 <= 1 x13763 <= 1 x13764 <= 1 x13765 <= 1 x13766 <= 1 x13767 <= 1 x13768 <= 1 x13769 <= 1 x13770 <= 1 x13771 <= 1 x13772 <= 1 x13773 <= 1 x13774 <= 1 x13775 <= 1 x13776 <= 1 x13777 <= 1 x13778 <= 1 x13779 <= 1 x13780 <= 1 x13781 <= 1 x13782 <= 1 x13783 <= 1 x13784 <= 1 x13785 <= 1 x13786 <= 1 x13787 <= 1 x13788 <= 1 x13789 <= 1 x13790 <= 1 x13791 <= 1 x13792 <= 1 x13793 <= 1 x13794 <= 1 x13795 <= 1 x13796 <= 1 x13797 <= 1 x13798 <= 1 x13799 <= 1 x13800 <= 1 x13801 <= 1 x13802 <= 1 x13803 <= 1 x13804 <= 1 x13805 <= 1 x13806 <= 1 x13807 <= 1 x13808 <= 1 x13809 <= 1 x13810 <= 1 x13811 <= 1 x13812 <= 1 x13813 <= 1 x13814 <= 1 x13815 <= 1 x13816 <= 1 x13817 <= 1 x13818 <= 1 x13819 <= 1 x13820 <= 1 x13821 <= 1 x13822 <= 1 x13823 <= 1 x13824 <= 1 x13825 <= 1 x13826 <= 1 x13827 <= 1 x13828 <= 1 x13829 <= 1 x13830 <= 1 x13831 <= 1 x13832 <= 1 x13833 <= 1 x13834 <= 1 x13835 <= 1 x13836 <= 1 x13837 <= 1 x13838 <= 1 x13839 <= 1 x13840 <= 1 x13841 <= 1 x13842 <= 1 x13843 <= 1 x13844 <= 1 x13845 <= 1 x13846 <= 1 x13847 <= 1 x13848 <= 1 x13849 <= 1 x13850 <= 1 x13851 <= 1 x13852 <= 1 x13853 <= 1 x13854 <= 1 x13855 <= 1 x13856 <= 1 x13857 <= 1 x13858 <= 1 x13859 <= 1 x13860 <= 1 x13861 <= 1 x13862 <= 1 x13863 <= 1 x13864 <= 1 x13865 <= 1 x13866 <= 1 x13867 <= 1 x13868 <= 1 x13869 <= 1 x13870 <= 1 x13871 <= 1 x13872 <= 1 x13873 <= 1 x13874 <= 1 x13875 <= 1 x13876 <= 1 x13877 <= 1 x13878 <= 1 x13879 <= 1 x13880 <= 1 x13881 <= 1 x13882 <= 1 x13883 <= 1 x13884 <= 1 x13885 <= 1 x13886 <= 1 x13887 <= 1 x13888 <= 1 x13889 <= 1 x13890 <= 1 x13891 <= 1 x13892 <= 1 x13893 <= 1 x13894 <= 1 x13895 <= 1 x13896 <= 1 x13897 <= 1 x13898 <= 1 x13899 <= 1 x13900 <= 1 x13901 <= 1 x13902 <= 1 x13903 <= 1 x13904 <= 1 x13905 <= 1 x13906 <= 1 x13907 <= 1 x13908 <= 1 x13909 <= 1 x13910 <= 1 x13911 <= 1 x13912 <= 1 x13913 <= 1 x13914 <= 1 x13915 <= 1 x13916 <= 1 x13917 <= 1 x13918 <= 1 x13919 <= 1 x13920 <= 1 x13921 <= 1 x13922 <= 1 x13923 <= 1 x13924 <= 1 x13925 <= 1 x13926 <= 1 x13927 <= 1 x13928 <= 1 x13929 <= 1 x13930 <= 1 x13931 <= 1 x13932 <= 1 x13933 <= 1 x13934 <= 1 x13935 <= 1 x13936 <= 1 x13937 <= 1 x13938 <= 1 x13939 <= 1 x13940 <= 1 x13941 <= 1 x13942 <= 1 x13943 <= 1 x13944 <= 1 x13945 <= 1 x13946 <= 1 x13947 <= 1 x13948 <= 1 x13949 <= 1 x13950 <= 1 x13951 <= 1 x13952 <= 1 x13953 <= 1 x13954 <= 1 x13955 <= 1 x13956 <= 1 x13957 <= 1 x13958 <= 1 x13959 <= 1 x13960 <= 1 x13961 <= 1 x13962 <= 1 x13963 <= 1 x13964 <= 1 x13965 <= 1 x13966 <= 1 x13967 <= 1 x13968 <= 1 x13969 <= 1 x13970 <= 1 x13971 <= 1 x13972 <= 1 x13973 <= 1 x13974 <= 1 x13975 <= 1 x13976 <= 1 x13977 <= 1 x13978 <= 1 x13979 <= 1 x13980 <= 1 x13981 <= 1 x13982 <= 1 x13983 <= 1 x13984 <= 1 x13985 <= 1 x13986 <= 1 x13987 <= 1 x13988 <= 1 x13989 <= 1 x13990 <= 1 x13991 <= 1 x13992 <= 1 x13993 <= 1 x13994 <= 1 x13995 <= 1 x13996 <= 1 x13997 <= 1 x13998 <= 1 x13999 <= 1 x14000 <= 1 x14001 <= 1 x14002 <= 1 x14003 <= 1 x14004 <= 1 x14005 <= 1 x14006 <= 1 x14007 <= 1 x14008 <= 1 x14009 <= 1 x14010 <= 1 x14011 <= 1 x14012 <= 1 x14013 <= 1 x14014 <= 1 x14015 <= 1 x14016 <= 1 x14017 <= 1 x14018 <= 1 x14019 <= 1 x14020 <= 1 x14021 <= 1 x14022 <= 1 x14023 <= 1 x14024 <= 1 x14025 <= 1 x14026 <= 1 x14027 <= 1 x14028 <= 1 x14029 <= 1 x14030 <= 1 x14031 <= 1 x14032 <= 1 x14033 <= 1 x14034 <= 1 x14035 <= 1 x14036 <= 1 x14037 <= 1 x14038 <= 1 x14039 <= 1 x14040 <= 1 x14041 <= 1 x14042 <= 1 x14043 <= 1 x14044 <= 1 x14045 <= 1 x14046 <= 1 x14047 <= 1 x14048 <= 1 x14049 <= 1 x14050 <= 1 x14051 <= 1 x14052 <= 1 x14053 <= 1 x14054 <= 1 x14055 <= 1 x14056 <= 1 x14057 <= 1 x14058 <= 1 x14059 <= 1 x14060 <= 1 x14061 <= 1 x14062 <= 1 x14063 <= 1 x14064 <= 1 x14065 <= 1 x14066 <= 1 x14067 <= 1 x14068 <= 1 x14069 <= 1 x14070 <= 1 x14071 <= 1 x14072 <= 1 x14073 <= 1 x14074 <= 1 x14075 <= 1 x14076 <= 1 x14077 <= 1 x14078 <= 1 x14079 <= 1 x14080 <= 1 x14081 <= 1 x14082 <= 1 x14083 <= 1 x14084 <= 1 x14085 <= 1 x14086 <= 1 x14087 <= 1 x14088 <= 1 x14089 <= 1 x14090 <= 1 x14091 <= 1 x14092 <= 1 x14093 <= 1 x14094 <= 1 x14095 <= 1 x14096 <= 1 x14097 <= 1 x14098 <= 1 x14099 <= 1 x14100 <= 1 x14101 <= 1 x14102 <= 1 x14103 <= 1 x14104 <= 1 x14105 <= 1 x14106 <= 1 x14107 <= 1 x14108 <= 1 x14109 <= 1 x14110 <= 1 x14111 <= 1 x14112 <= 1 x14113 <= 1 x14114 <= 1 x14115 <= 1 x14116 <= 1 x14117 <= 1 x14118 <= 1 x14119 <= 1 x14120 <= 1 x14121 <= 1 x14122 <= 1 x14123 <= 1 x14124 <= 1 x14125 <= 1 x14126 <= 1 x14127 <= 1 x14128 <= 1 x14129 <= 1 x14130 <= 1 x14131 <= 1 x14132 <= 1 x14133 <= 1 x14134 <= 1 x14135 <= 1 x14136 <= 1 x14137 <= 1 x14138 <= 1 x14139 <= 1 x14140 <= 1 x14141 <= 1 x14142 <= 1 x14143 <= 1 x14144 <= 1 x14145 <= 1 x14146 <= 1 x14147 <= 1 x14148 <= 1 x14149 <= 1 x14150 <= 1 x14151 <= 1 x14152 <= 1 x14153 <= 1 x14154 <= 1 x14155 <= 1 x14156 <= 1 x14157 <= 1 x14158 <= 1 x14159 <= 1 x14160 <= 1 x14161 <= 1 x14162 <= 1 x14163 <= 1 x14164 <= 1 x14165 <= 1 x14166 <= 1 x14167 <= 1 x14168 <= 1 x14169 <= 1 x14170 <= 1 x14171 <= 1 x14172 <= 1 x14173 <= 1 x14174 <= 1 x14175 <= 1 x14176 <= 1 x14177 <= 1 x14178 <= 1 x14179 <= 1 x14180 <= 1 x14181 <= 1 x14182 <= 1 x14183 <= 1 x14184 <= 1 x14185 <= 1 x14186 <= 1 x14187 <= 1 x14188 <= 1 x14189 <= 1 x14190 <= 1 x14191 <= 1 x14192 <= 1 x14193 <= 1 x14194 <= 1 x14195 <= 1 x14196 <= 1 x14197 <= 1 x14198 <= 1 x14199 <= 1 x14200 <= 1 x14201 <= 1 x14202 <= 1 x14203 <= 1 x14204 <= 1 x14205 <= 1 x14206 <= 1 x14207 <= 1 x14208 <= 1 x14209 <= 1 x14210 <= 1 x14211 <= 1 x14212 <= 1 x14213 <= 1 x14214 <= 1 x14215 <= 1 x14216 <= 1 x14217 <= 1 x14218 <= 1 x14219 <= 1 x14220 <= 1 x14221 <= 1 x14222 <= 1 x14223 <= 1 x14224 <= 1 x14225 <= 1 x14226 <= 1 x14227 <= 1 x14228 <= 1 x14229 <= 1 x14230 <= 1 x14231 <= 1 x14232 <= 1 x14233 <= 1 x14234 <= 1 x14235 <= 1 x14236 <= 1 x14237 <= 1 x14238 <= 1 x14239 <= 1 x14240 <= 1 x14241 <= 1 x14242 <= 1 x14243 <= 1 x14244 <= 1 x14245 <= 1 x14246 <= 1 x14247 <= 1 x14248 <= 1 x14249 <= 1 x14250 <= 1 x14251 <= 1 x14252 <= 1 x14253 <= 1 x14254 <= 1 x14255 <= 1 x14256 <= 1 x14257 <= 1 x14258 <= 1 x14259 <= 1 x14260 <= 1 x14261 <= 1 x14262 <= 1 x14263 <= 1 x14264 <= 1 x14265 <= 1 x14266 <= 1 x14267 <= 1 x14268 <= 1 x14269 <= 1 x14270 <= 1 x14271 <= 1 x14272 <= 1 x14273 <= 1 x14274 <= 1 x14275 <= 1 x14276 <= 1 x14277 <= 1 x14278 <= 1 x14279 <= 1 x14280 <= 1 x14281 <= 1 x14282 <= 1 x14283 <= 1 x14284 <= 1 x14285 <= 1 x14286 <= 1 x14287 <= 1 x14288 <= 1 x14289 <= 1 x14290 <= 1 x14291 <= 1 x14292 <= 1 x14293 <= 1 x14294 <= 1 x14295 <= 1 x14296 <= 1 x14297 <= 1 x14298 <= 1 x14299 <= 1 x14300 <= 1 x14301 <= 1 x14302 <= 1 x14303 <= 1 x14304 <= 1 x14305 <= 1 x14306 <= 1 x14307 <= 1 x14308 <= 1 x14309 <= 1 x14310 <= 1 x14311 <= 1 x14312 <= 1 x14313 <= 1 x14314 <= 1 x14315 <= 1 x14316 <= 1 x14317 <= 1 x14318 <= 1 x14319 <= 1 x14320 <= 1 x14321 <= 1 x14322 <= 1 x14323 <= 1 x14324 <= 1 x14325 <= 1 x14326 <= 1 x14327 <= 1 x14328 <= 1 x14329 <= 1 x14330 <= 1 x14331 <= 1 x14332 <= 1 x14333 <= 1 x14334 <= 1 x14335 <= 1 x14336 <= 1 x14337 <= 1 x14338 <= 1 x14339 <= 1 x14340 <= 1 x14341 <= 1 x14342 <= 1 x14343 <= 1 x14344 <= 1 x14345 <= 1 x14346 <= 1 x14347 <= 1 x14348 <= 1 x14349 <= 1 x14350 <= 1 x14351 <= 1 x14352 <= 1 x14353 <= 1 x14354 <= 1 x14355 <= 1 x14356 <= 1 x14357 <= 1 x14358 <= 1 x14359 <= 1 x14360 <= 1 x14361 <= 1 x14362 <= 1 x14363 <= 1 x14364 <= 1 x14365 <= 1 x14366 <= 1 x14367 <= 1 x14368 <= 1 x14369 <= 1 x14370 <= 1 x14371 <= 1 x14372 <= 1 x14373 <= 1 x14374 <= 1 x14375 <= 1 x14376 <= 1 x14377 <= 1 x14378 <= 1 x14379 <= 1 x14380 <= 1 x14381 <= 1 x14382 <= 1 x14383 <= 1 x14384 <= 1 x14385 <= 1 x14386 <= 1 x14387 <= 1 x14388 <= 1 x14389 <= 1 x14390 <= 1 x14391 <= 1 x14392 <= 1 x14393 <= 1 x14394 <= 1 x14395 <= 1 x14396 <= 1 x14397 <= 1 x14398 <= 1 x14399 <= 1 x14400 <= 1 x14401 <= 1 x19351 Free x19352 Free x19353 Free x19354 Free x19355 Free x19356 Free x19357 Free x19358 Free x19359 Free x19360 Free x19361 Free x19362 Free x19363 Free x19364 Free x19365 Free x19366 Free x19367 Free x19368 Free x19369 Free x19370 Free x19371 Free x19372 Free x19373 Free x19374 Free x19375 Free x19376 Free x19377 Free x19378 Free x19379 Free x19380 Free x19381 Free x19382 Free x19383 Free x19384 Free x19385 Free x19386 Free x19387 Free x19388 Free x19389 Free x19390 Free x19391 Free x19392 Free x19393 Free x19394 Free x19395 Free x19396 Free x19397 Free x19398 Free x19399 Free x19400 Free x19401 Free x19402 Free x19403 Free x19404 Free x19405 Free x19406 Free x19407 Free x19408 Free x19409 Free x19410 Free x19411 Free x19412 Free x19413 Free x19414 Free x19415 Free x19416 Free x19417 Free x19418 Free x19419 Free x19420 Free x19421 Free x19422 Free x19423 Free x19424 Free x19425 Free x19426 Free x19427 Free x19428 Free x19429 Free x19430 Free x19431 Free x19432 Free x19433 Free x19434 Free x19435 Free x19436 Free x19437 Free x19438 Free x19439 Free x19440 Free x19441 Free x19442 Free x19443 Free x19444 Free x19445 Free x19446 Free x19447 Free x19448 Free x19449 Free x19450 Free x19451 Free x19452 Free x19453 Free x19454 Free x19455 Free x19456 Free x19457 Free x19458 Free x19459 Free x19460 Free x19461 Free x19462 Free x19463 Free x19464 Free x19465 Free x19466 Free x19467 Free x19468 Free x19469 Free x19470 Free x19471 Free x19472 Free x19473 Free x19474 Free x19475 Free x19476 Free x19477 Free x19478 Free x19479 Free x19480 Free x19481 Free x19482 Free x19483 Free x19484 Free x19485 Free x19486 Free x19487 Free x19488 Free x19489 Free x19490 Free x19491 Free x19492 Free x19493 Free x19494 Free x19495 Free x19496 Free x19497 Free x19498 Free x19499 Free x19500 Free x19501 Free x19502 Free x19503 Free x19504 Free x19505 Free x19506 Free x19507 Free x19508 Free x19509 Free x19510 Free x19511 Free x19512 Free x19513 Free x19514 Free x19515 Free x19516 Free x19517 Free x19518 Free x19519 Free x19520 Free x19521 Free x19522 Free x19523 Free x19524 Free x19525 Free x19526 Free x19527 Free x19528 Free x19529 Free x19530 Free x19531 Free x19532 Free x19533 Free x19534 Free x19535 Free x19536 Free x19537 Free x19538 Free x19539 Free x19540 Free x19541 Free x19542 Free x19543 Free x19544 Free x19545 Free x19546 Free x19547 Free x19548 Free x19549 Free x19550 Free x19551 Free x19552 Free x19553 Free x19554 Free x19555 Free x19556 Free x19557 Free x19558 Free x19559 Free x19560 Free x19561 Free x19562 Free x19563 Free x19564 Free x19565 Free x19566 Free x19567 Free x19568 Free x19569 Free x19570 Free x19571 Free x19572 Free x19573 Free x19574 Free x19575 Free x19576 Free x19577 Free x19578 Free x19579 Free x19580 Free x19581 Free x19582 Free x19583 Free x19584 Free x19585 Free x19586 Free x19587 Free x19588 Free x19589 Free x19590 Free x19591 Free x19592 Free x19593 Free x19594 Free x19595 Free x19596 Free x19597 Free x19598 Free x19599 Free x19600 Free x19601 Free x19602 Free x19603 Free x19604 Free x19605 Free x19606 Free x19607 Free x19608 Free x19609 Free x19610 Free x19611 Free x19612 Free x19613 Free x19614 Free x19615 Free x19616 Free x19617 Free x19618 Free x19619 Free x19620 Free x19621 Free x19622 Free x19623 Free x19624 Free x19625 Free x19626 Free x19627 Free x19628 Free x19629 Free x19630 Free x19631 Free x19632 Free x19633 Free x19634 Free x19635 Free x19636 Free x19637 Free x19638 Free x19639 Free x19640 Free x19641 Free x19642 Free x19643 Free x19644 Free x19645 Free x19646 Free x19647 Free x19648 Free x19649 Free x19650 Free x19651 Free x19652 Free x19653 Free x19654 Free x19655 Free x19656 Free x19657 Free x19658 Free x19659 Free x19660 Free x19661 Free x19662 Free x19663 Free x19664 Free x19665 Free x19666 Free x19667 Free x19668 Free x19669 Free x19670 Free x19671 Free x19672 Free x19673 Free x19674 Free x19675 Free x19676 Free x19677 Free x19678 Free x19679 Free x19680 Free x19681 Free x19682 Free x19683 Free x19684 Free x19685 Free x19686 Free x19687 Free x19688 Free x19689 Free x19690 Free x19691 Free x19692 Free x19693 Free x19694 Free x19695 Free x19696 Free x19697 Free x19698 Free x19699 Free x19700 Free x19701 Free x19702 Free x19703 Free x19704 Free x19705 Free x19706 Free x19707 Free x19708 Free x19709 Free x19710 Free x19711 Free x19712 Free x19713 Free x19714 Free x19715 Free x19716 Free x19717 Free x19718 Free x19719 Free x19720 Free x19721 Free x19722 Free x19723 Free x19724 Free x19725 Free x19726 Free x19727 Free x19728 Free x19729 Free x19730 Free x19731 Free x19732 Free x19733 Free x19734 Free x19735 Free x19736 Free x19737 Free x19738 Free x19739 Free x19740 Free x19741 Free x19742 Free x19743 Free x19744 Free x19745 Free x19746 Free x19747 Free x19748 Free x19749 Free x19750 Free x19751 Free x19752 Free x19753 Free x19754 Free x19755 Free x19756 Free x19757 Free x19758 Free x19759 Free x19760 Free x19761 Free x19762 Free x19763 Free x19764 Free x19765 Free x19766 Free x19767 Free x19768 Free x19769 Free x19770 Free x19771 Free x19772 Free x19773 Free x19774 Free x19775 Free x19776 Free x19777 Free x19778 Free x19779 Free x19780 Free x19781 Free x19782 Free x19783 Free x19784 Free x19785 Free x19786 Free x19787 Free x19788 Free x19789 Free x19790 Free x19791 Free x19792 Free x19793 Free x19794 Free x19795 Free x19796 Free x19797 Free x19798 Free x19799 Free x19800 Free x19801 Free x19802 Free x19803 Free x19804 Free x19805 Free x19806 Free x19807 Free x19808 Free x19809 Free x19810 Free x19811 Free x19812 Free x19813 Free x19814 Free x19815 Free x19816 Free x19817 Free x19818 Free x19819 Free x19820 Free x19821 Free x19822 Free x19823 Free x19824 Free x19825 Free x19826 Free x19827 Free x19828 Free x19829 Free x19830 Free x19831 Free x19832 Free x19833 Free x19834 Free x19835 Free x19836 Free x19837 Free x19838 Free x19839 Free x19840 Free x19841 Free x19842 Free x19843 Free x19844 Free x19845 Free x19846 Free x19847 Free x19848 Free x19849 Free x19850 Free x19851 Free x19852 Free x19853 Free x19854 Free x19855 Free x19856 Free x19857 Free x19858 Free x19859 Free x19860 Free x19861 Free x19862 Free x19863 Free x19864 Free x19865 Free x19866 Free x19867 Free x19868 Free x19869 Free x19870 Free x19871 Free x19872 Free x19873 Free x19874 Free x19875 Free x19876 Free x19877 Free x19878 Free x19879 Free x19880 Free x19881 Free x19882 Free x19883 Free x19884 Free x19885 Free x19886 Free x19887 Free x19888 Free x19889 Free x19890 Free x19891 Free x19892 Free x19893 Free x19894 Free x19895 Free x19896 Free x19897 Free x19898 Free x19899 Free x19900 Free x19901 Free x19902 Free x19903 Free x19904 Free x19905 Free x19906 Free x19907 Free x19908 Free x19909 Free x19910 Free x19911 Free x19912 Free x19913 Free x19914 Free x19915 Free x19916 Free x19917 Free x19918 Free x19919 Free x19920 Free x19921 Free x19922 Free x19923 Free x19924 Free x19925 Free x19926 Free x19927 Free x19928 Free x19929 Free x19930 Free x19931 Free x19932 Free x19933 Free x19934 Free x19935 Free x19936 Free x19937 Free x19938 Free x19939 Free x19940 Free x19941 Free x19942 Free x19943 Free x19944 Free x19945 Free x19946 Free x19947 Free x19948 Free x19949 Free x19950 Free x19951 Free x19952 Free x19953 Free x19954 Free x19955 Free x19956 Free x19957 Free x19958 Free x19959 Free x19960 Free x19961 Free x19962 Free x19963 Free x19964 Free x19965 Free x19966 Free x19967 Free x19968 Free x19969 Free x19970 Free x19971 Free x19972 Free x19973 Free x19974 Free x19975 Free x19976 Free x19977 Free x19978 Free x19979 Free x19980 Free x19981 Free x19982 Free x19983 Free x19984 Free x19985 Free x19986 Free x19987 Free x19988 Free x19989 Free x19990 Free x19991 Free x19992 Free x19993 Free x19994 Free x19995 Free x19996 Free x19997 Free x19998 Free x19999 Free x20000 Free x20001 Free x20002 Free x20003 Free x20004 Free x20005 Free x20006 Free x20007 Free x20008 Free x20009 Free x20010 Free x20011 Free x20012 Free x20013 Free x20014 Free x20015 Free x20016 Free x20017 Free x20018 Free x20019 Free x20020 Free x20021 Free x20022 Free x20023 Free x20024 Free x20025 Free x20026 Free x20027 Free x20028 Free x20029 Free x20030 Free x20031 Free x20032 Free x20033 Free x20034 Free x20035 Free x20036 Free x20037 Free x20038 Free x20039 Free x20040 Free x20041 Free x20042 Free x20043 Free x20044 Free x20045 Free x20046 Free x20047 Free x20048 Free x20049 Free x20050 Free x20051 Free x20052 Free x20053 Free x20054 Free x20055 Free x20056 Free x20057 Free x20058 Free x20059 Free x20060 Free x20061 Free x20062 Free x20063 Free x20064 Free x20065 Free x20066 Free x20067 Free x20068 Free x20069 Free x20070 Free x20071 Free x20072 Free x20073 Free x20074 Free x20075 Free x20076 Free x20077 Free x20078 Free x20079 Free x20080 Free x20081 Free x20082 Free x20083 Free x20084 Free x20085 Free x20086 Free x20087 Free x20088 Free x20089 Free x20090 Free x20091 Free x20092 Free x20093 Free x20094 Free x20095 Free x20096 Free x20097 Free x20098 Free x20099 Free x20100 Free x20101 Free x20102 Free x20103 Free x20104 Free x20105 Free x20106 Free x20107 Free x20108 Free x20109 Free x20110 Free x20111 Free x20112 Free x20113 Free x20114 Free x20115 Free x20116 Free x20117 Free x20118 Free x20119 Free x20120 Free x20121 Free x20122 Free x20123 Free x20124 Free x20125 Free x20126 Free x20127 Free x20128 Free x20129 Free x20130 Free x20131 Free x20132 Free x20133 Free x20134 Free x20135 Free x20136 Free x20137 Free x20138 Free x20139 Free x20140 Free x20141 Free x20142 Free x20143 Free x20144 Free x20145 Free x20146 Free x20147 Free x20148 Free x20149 Free x20150 Free x20151 Free x20152 Free x20153 Free x20154 Free x20155 Free x20156 Free x20157 Free x20158 Free x20159 Free x20160 Free x20161 Free x20162 Free x20163 Free x20164 Free x20165 Free x20166 Free x20167 Free x20168 Free x20169 Free x20170 Free x20171 Free x20172 Free x20173 Free x20174 Free x20175 Free x20176 Free x20177 Free x20178 Free x20179 Free x20180 Free x20181 Free x20182 Free x20183 Free x20184 Free x20185 Free x20186 Free x20187 Free x20188 Free x20189 Free x20190 Free x20191 Free x20192 Free x20193 Free x20194 Free x20195 Free x20196 Free x20197 Free x20198 Free x20199 Free x20200 Free x20201 Free x20202 Free x20203 Free x20204 Free x20205 Free x20206 Free x20207 Free x20208 Free x20209 Free x20210 Free x20211 Free x20212 Free x20213 Free x20214 Free x20215 Free x20216 Free x20217 Free x20218 Free x20219 Free x20220 Free x20221 Free x20222 Free x20223 Free x20224 Free x20225 Free x20226 Free x20227 Free x20228 Free x20229 Free x20230 Free x20231 Free x20232 Free x20233 Free x20234 Free x20235 Free x20236 Free x20237 Free x20238 Free x20239 Free x20240 Free x20241 Free x20242 Free x20243 Free x20244 Free x20245 Free x20246 Free x20247 Free x20248 Free x20249 Free x20250 Free x20251 Free x20252 Free x20253 Free x20254 Free x20255 Free x20256 Free x20257 Free x20258 Free x20259 Free x20260 Free x20261 Free x20262 Free x20263 Free x20264 Free x20265 Free x20266 Free x20267 Free x20268 Free x20269 Free x20270 Free x20271 Free x20272 Free x20273 Free x20274 Free x20275 Free x20276 Free x20277 Free x20278 Free x20279 Free x20280 Free x20281 Free x20282 Free x20283 Free x20284 Free x20285 Free x20286 Free x20287 Free x20288 Free x20289 Free x20290 Free x20291 Free x20292 Free x20293 Free x20294 Free x20295 Free x20296 Free x20297 Free x20298 Free x20299 Free x20300 Free x20301 Free x20302 Free x20303 Free x20304 Free x20305 Free x20306 Free x20307 Free x20308 Free x20309 Free x20310 Free x20311 Free x20312 Free x20313 Free x20314 Free x20315 Free x20316 Free x20317 Free x20318 Free x20319 Free x20320 Free x20321 Free x20322 Free x20323 Free x20324 Free x20325 Free x20326 Free x20327 Free x20328 Free x20329 Free x20330 Free x20331 Free x20332 Free x20333 Free x20334 Free x20335 Free x20336 Free x20337 Free x20338 Free x20339 Free x20340 Free x20341 Free x20342 Free x20343 Free x20344 Free x20345 Free x20346 Free x20347 Free x20348 Free x20349 Free x20350 Free x20351 Free x20352 Free x20353 Free x20354 Free x20355 Free x20356 Free x20357 Free x20358 Free x20359 Free x20360 Free x20361 Free x20362 Free x20363 Free x20364 Free x20365 Free x20366 Free x20367 Free x20368 Free x20369 Free x20370 Free x20371 Free x20372 Free x20373 Free x20374 Free x20375 Free x20376 Free x20377 Free x20378 Free x20379 Free x20380 Free x20381 Free x20382 Free x20383 Free x20384 Free x20385 Free x20386 Free x20387 Free x20388 Free x20389 Free x20390 Free x20391 Free x20392 Free x20393 Free x20394 Free x20395 Free x20396 Free x20397 Free x20398 Free x20399 Free x20400 Free x20401 Free x20402 Free x20403 Free x20404 Free x20405 Free x20406 Free x20407 Free x20408 Free x20409 Free x20410 Free x20411 Free x20412 Free x20413 Free x20414 Free x20415 Free x20416 Free x20417 Free x20418 Free x20419 Free x20420 Free x20421 Free x20422 Free x20423 Free x20424 Free x20425 Free x20426 Free x20427 Free x20428 Free x20429 Free x20430 Free x20431 Free x20432 Free x20433 Free x20434 Free x20435 Free x20436 Free x20437 Free x20438 Free x20439 Free x20440 Free x20441 Free x20442 Free x20443 Free x20444 Free x20445 Free x20446 Free x20447 Free x20448 Free x20449 Free x20450 Free x20451 Free x20452 Free x20453 Free x20454 Free x20455 Free x20456 Free x20457 Free x20458 Free x20459 Free x20460 Free x20461 Free x20462 Free x20463 Free x20464 Free x20465 Free x20466 Free x20467 Free x20468 Free x20469 Free x20470 Free x20471 Free x20472 Free x20473 Free x20474 Free x20475 Free x20476 Free x20477 Free x20478 Free x20479 Free x20480 Free x20481 Free x20482 Free x20483 Free x20484 Free x20485 Free x20486 Free x20487 Free x20488 Free x20489 Free x20490 Free x20491 Free x20492 Free x20493 Free x20494 Free x20495 Free x20496 Free x20497 Free x20498 Free x20499 Free x20500 Free x20501 Free x20502 Free x20503 Free x20504 Free x20505 Free x20506 Free x20507 Free x20508 Free x20509 Free x20510 Free x20511 Free x20512 Free x20513 Free x20514 Free x20515 Free x20516 Free x20517 Free x20518 Free x20519 Free x20520 Free x20521 Free x20522 Free x20523 Free x20524 Free x20525 Free x20526 Free x20527 Free x20528 Free x20529 Free x20530 Free x20531 Free x20532 Free x20533 Free x20534 Free x20535 Free x20536 Free x20537 Free x20538 Free x20539 Free x20540 Free x20541 Free x20542 Free x20543 Free x20544 Free x20545 Free x20546 Free x20547 Free x20548 Free x20549 Free x20550 Free x20551 Free x20552 Free x20553 Free x20554 Free x20555 Free x20556 Free x20557 Free x20558 Free x20559 Free x20560 Free x20561 Free x20562 Free x20563 Free x20564 Free x20565 Free x20566 Free x20567 Free x20568 Free x20569 Free x20570 Free x20571 Free x20572 Free x20573 Free x20574 Free x20575 Free x20576 Free x20577 Free x20578 Free x20579 Free x20580 Free x20581 Free x20582 Free x20583 Free x20584 Free x20585 Free x20586 Free x20587 Free x20588 Free x20589 Free x20590 Free x20591 Free x20592 Free x20593 Free x20594 Free x20595 Free x20596 Free x20597 Free x20598 Free x20599 Free x20600 Free x20601 Free x20602 Free x20603 Free x20604 Free x20605 Free x20606 Free x20607 Free x20608 Free x20609 Free x20610 Free x20611 Free x20612 Free x20613 Free x20614 Free x20615 Free x20616 Free x20617 Free x20618 Free x20619 Free x20620 Free x20621 Free x20622 Free x20623 Free x20624 Free x20625 Free x20626 Free x20627 Free x20628 Free x20629 Free x20630 Free x20631 Free x20632 Free x20633 Free x20634 Free x20635 Free x20636 Free x20637 Free x20638 Free x20639 Free x20640 Free x20641 Free x20642 Free x20643 Free x20644 Free x20645 Free x20646 Free x20647 Free x20648 Free x20649 Free x20650 Free x20651 Free x20652 Free x20653 Free x20654 Free x20655 Free x20656 Free x20657 Free x20658 Free x20659 Free x20660 Free x20661 Free x20662 Free x20663 Free x20664 Free x20665 Free x20666 Free x20667 Free x20668 Free x20669 Free x20670 Free x20671 Free x20672 Free x20673 Free x20674 Free x20675 Free x20676 Free x20677 Free x20678 Free x20679 Free x20680 Free x20681 Free x20682 Free x20683 Free x20684 Free x20685 Free x20686 Free x20687 Free x20688 Free x20689 Free x20690 Free x20691 Free x20692 Free x20693 Free x20694 Free x20695 Free x20696 Free x20697 Free x20698 Free x20699 Free x20700 Free x20701 Free x20702 Free x20703 Free x20704 Free x20705 Free x20706 Free x20707 Free x20708 Free x20709 Free x20710 Free x20711 Free x20712 Free x20713 Free x20714 Free x20715 Free x20716 Free x20717 Free x20718 Free x20719 Free x20720 Free x20721 Free x20722 Free x20723 Free x20724 Free x20725 Free x20726 Free x20727 Free x20728 Free x20729 Free x20730 Free x20731 Free x20732 Free x20733 Free x20734 Free x20735 Free x20736 Free x20737 Free x20738 Free x20739 Free x20740 Free x20741 Free x20742 Free x20743 Free x20744 Free x20745 Free x20746 Free x20747 Free x20748 Free x20749 Free x20750 Free x20751 Free x20752 Free x20753 Free x20754 Free x20755 Free x20756 Free x20757 Free x20758 Free x20759 Free x20760 Free x20761 Free x20762 Free x20763 Free x20764 Free x20765 Free x20766 Free x20767 Free x20768 Free x20769 Free x20770 Free x20771 Free x20772 Free x20773 Free x20774 Free x20775 Free x20776 Free x20777 Free x20778 Free x20779 Free x20780 Free x20781 Free x20782 Free x20783 Free x20784 Free x20785 Free x20786 Free x20787 Free x20788 Free x20789 Free x20790 Free x20791 Free x20792 Free x20793 Free x20794 Free x20795 Free x20796 Free x20797 Free x20798 Free x20799 Free x20800 Free x20801 Free x20802 Free x20803 Free x20804 Free x20805 Free x20806 Free x20807 Free x20808 Free x20809 Free x20810 Free x20811 Free x20812 Free x20813 Free x20814 Free x20815 Free x20816 Free x20817 Free x20818 Free x20819 Free x20820 Free x20821 Free x20822 Free x20823 Free x20824 Free x20825 Free x20826 Free x20827 Free x20828 Free x20829 Free x20830 Free x20831 Free x20832 Free x20833 Free x20834 Free x20835 Free x20836 Free x20837 Free x20838 Free x20839 Free x20840 Free x20841 Free x20842 Free x20843 Free x20844 Free x20845 Free x20846 Free x20847 Free x20848 Free x20849 Free x20850 Free x20851 Free x20852 Free x20853 Free x20854 Free x20855 Free x20856 Free x20857 Free x20858 Free x20859 Free x20860 Free x20861 Free x20862 Free x20863 Free x20864 Free x20865 Free x20866 Free x20867 Free x20868 Free x20869 Free x20870 Free x20871 Free x20872 Free x20873 Free x20874 Free x20875 Free x20876 Free x20877 Free x20878 Free x20879 Free x20880 Free x20881 Free x20882 Free x20883 Free x20884 Free x20885 Free x20886 Free x20887 Free x20888 Free x20889 Free x20890 Free x20891 Free x20892 Free x20893 Free x20894 Free x20895 Free x20896 Free x20897 Free x20898 Free x20899 Free x20900 Free x20901 Free x20902 Free x20903 Free x20904 Free x20905 Free x20906 Free x20907 Free x20908 Free x20909 Free x20910 Free x20911 Free x20912 Free x20913 Free x20914 Free x20915 Free x20916 Free x20917 Free x20918 Free x20919 Free x20920 Free x20921 Free x20922 Free x20923 Free x20924 Free x20925 Free x20926 Free x20927 Free x20928 Free x20929 Free x20930 Free x20931 Free x20932 Free x20933 Free x20934 Free x20935 Free x20936 Free x20937 Free x20938 Free x20939 Free x20940 Free x20941 Free x20942 Free x20943 Free x20944 Free x20945 Free x20946 Free x20947 Free x20948 Free x20949 Free x20950 Free x20951 Free x20952 Free x20953 Free x20954 Free x20955 Free x20956 Free x20957 Free x20958 Free x20959 Free x20960 Free x20961 Free x20962 Free x20963 Free x20964 Free x20965 Free x20966 Free x20967 Free x20968 Free x20969 Free x20970 Free x20971 Free x20972 Free x20973 Free x20974 Free x20975 Free x20976 Free x20977 Free x20978 Free x20979 Free x20980 Free x20981 Free x20982 Free x20983 Free x20984 Free x20985 Free x20986 Free x20987 Free x20988 Free x20989 Free x20990 Free x20991 Free x20992 Free x20993 Free x20994 Free x20995 Free x20996 Free x20997 Free x20998 Free x20999 Free x21000 Free x21001 Free x21002 Free x21003 Free x21004 Free x21005 Free x21006 Free x21007 Free x21008 Free x21009 Free x21010 Free x21011 Free x21012 Free x21013 Free x21014 Free x21015 Free x21016 Free x21017 Free x21018 Free x21019 Free x21020 Free x21021 Free x21022 Free x21023 Free x21024 Free x21025 Free x21026 Free x21027 Free x21028 Free x21029 Free x21030 Free x21031 Free x21032 Free x21033 Free x21034 Free x21035 Free x21036 Free x21037 Free x21038 Free x21039 Free x21040 Free x21041 Free x21042 Free x21043 Free x21044 Free x21045 Free x21046 Free x21047 Free x21048 Free x21049 Free x21050 Free x21051 Free x21052 Free x21053 Free x21054 Free x21055 Free x21056 Free x21057 Free x21058 Free x21059 Free x21060 Free x21061 Free x21062 Free x21063 Free x21064 Free x21065 Free x21066 Free x21067 Free x21068 Free x21069 Free x21070 Free x21071 Free x21072 Free x21073 Free x21074 Free x21075 Free x21076 Free x21077 Free x21078 Free x21079 Free x21080 Free x21081 Free x21082 Free x21083 Free x21084 Free x21085 Free x21086 Free x21087 Free x21088 Free x21089 Free x21090 Free x21091 Free x21092 Free x21093 Free x21094 Free x21095 Free x21096 Free x21097 Free x21098 Free x21099 Free x21100 Free x21101 Free x21102 Free x21103 Free x21104 Free x21105 Free x21106 Free x21107 Free x21108 Free x21109 Free x21110 Free x21111 Free x21112 Free x21113 Free x21114 Free x21115 Free x21116 Free x21117 Free x21118 Free x21119 Free x21120 Free x21121 Free x21122 Free x21123 Free x21124 Free x21125 Free x21126 Free x21127 Free x21128 Free x21129 Free x21130 Free x21131 Free x21132 Free x21133 Free x21134 Free x21135 Free x21136 Free x21137 Free x21138 Free x21139 Free x21140 Free x21141 Free x21142 Free x21143 Free x21144 Free x21145 Free x21146 Free x21147 Free x21148 Free x21149 Free x21150 Free x21151 Free x21152 Free x21153 Free x21154 Free x21155 Free x21156 Free x21157 Free x21158 Free x21159 Free x21160 Free x21161 Free x21162 Free x21163 Free x21164 Free x21165 Free x21166 Free x21167 Free x21168 Free x21169 Free x21170 Free x21171 Free x21172 Free x21173 Free x21174 Free x21175 Free x21176 Free x21177 Free x21178 Free x21179 Free x21180 Free x21181 Free x21182 Free x21183 Free x21184 Free x21185 Free x21186 Free x21187 Free x21188 Free x21189 Free x21190 Free x21191 Free x21192 Free x21193 Free x21194 Free x21195 Free x21196 Free x21197 Free x21198 Free x21199 Free x21200 Free x21201 Free x21202 Free x21203 Free x21204 Free x21205 Free x21206 Free x21207 Free x21208 Free x21209 Free x21210 Free x21211 Free x21212 Free x21213 Free x21214 Free x21215 Free x21216 Free x21217 Free x21218 Free x21219 Free x21220 Free x21221 Free x21222 Free x21223 Free x21224 Free x21225 Free x21226 Free x21227 Free x21228 Free x21229 Free x21230 Free x21231 Free x21232 Free x21233 Free x21234 Free x21235 Free x21236 Free x21237 Free x21238 Free x21239 Free x21240 Free x21241 Free x21242 Free x21243 Free x21244 Free x21245 Free x21246 Free x21247 Free x21248 Free x21249 Free x21250 Free x21251 Free x21252 Free x21253 Free x21254 Free x21255 Free x21256 Free x21257 Free x21258 Free x21259 Free x21260 Free x21261 Free x21262 Free x21263 Free x21264 Free x21265 Free x21266 Free x21267 Free x21268 Free x21269 Free x21270 Free x21271 Free x21272 Free x21273 Free x21274 Free x21275 Free x21276 Free x21277 Free x21278 Free x21279 Free x21280 Free x21281 Free x21282 Free x21283 Free x21284 Free x21285 Free x21286 Free x21287 Free x21288 Free x21289 Free x21290 Free x21291 Free x21292 Free x21293 Free x21294 Free x21295 Free x21296 Free x21297 Free x21298 Free x21299 Free x21300 Free x21301 Free x21302 Free x21303 Free x21304 Free x21305 Free x21306 Free x21307 Free x21308 Free x21309 Free x21310 Free x21311 Free x21312 Free x21313 Free x21314 Free x21315 Free x21316 Free x21317 Free x21318 Free x21319 Free x21320 Free x21321 Free x21322 Free x21323 Free x21324 Free x21325 Free x21326 Free x21327 Free x21328 Free x21329 Free x21330 Free x21331 Free x21332 Free x21333 Free x21334 Free x21335 Free x21336 Free x21337 Free x21338 Free x21339 Free x21340 Free x21341 Free x21342 Free x21343 Free x21344 Free x21345 Free x21346 Free x21347 Free x21348 Free x21349 Free x21350 Free x21351 Free x21352 Free x21353 Free x21354 Free x21355 Free x21356 Free x21357 Free x21358 Free x21359 Free x21360 Free x21361 Free x21362 Free x21363 Free x21364 Free x21365 Free x21366 Free x21367 Free x21368 Free x21369 Free x21370 Free x21371 Free x21372 Free x21373 Free x21374 Free x21375 Free x21376 Free x21377 Free x21378 Free x21379 Free x21380 Free x21381 Free x21382 Free x21383 Free x21384 Free x21385 Free x21386 Free x21387 Free x21388 Free x21389 Free x21390 Free x21391 Free x21392 Free x21393 Free x21394 Free x21395 Free x21396 Free x21397 Free x21398 Free x21399 Free x21400 Free x21401 Free x21402 Free x21403 Free x21404 Free x21405 Free x21406 Free x21407 Free x21408 Free x21409 Free x21410 Free x21411 Free x21412 Free x21413 Free x21414 Free x21415 Free x21416 Free x21417 Free x21418 Free x21419 Free x21420 Free x21421 Free x21422 Free x21423 Free x21424 Free x21425 Free x21426 Free x21427 Free x21428 Free x21429 Free x21430 Free x21431 Free x21432 Free x21433 Free x21434 Free x21435 Free x21436 Free x21437 Free x21438 Free x21439 Free x21440 Free x21441 Free x21442 Free x21443 Free x21444 Free x21445 Free x21446 Free x21447 Free x21448 Free x21449 Free x21450 Free x21451 Free x21452 Free x21453 Free x21454 Free x21455 Free x21456 Free x21457 Free x21458 Free x21459 Free x21460 Free x21461 Free x21462 Free x21463 Free x21464 Free x21465 Free x21466 Free x21467 Free x21468 Free x21469 Free x21470 Free x21471 Free x21472 Free x21473 Free x21474 Free x21475 Free x21476 Free x21477 Free x21478 Free x21479 Free x21480 Free x21481 Free x21482 Free x21483 Free x21484 Free x21485 Free x21486 Free x21487 Free x21488 Free x21489 Free x21490 Free x21491 Free x21492 Free x21493 Free x21494 Free x21495 Free x21496 Free x21497 Free x21498 Free x21499 Free x21500 Free x21501 Free x21502 Free x21503 Free x21504 Free x21505 Free x21506 Free x21507 Free x21508 Free x21509 Free x21510 Free x21511 Free x21512 Free x21513 Free x21514 Free x21515 Free x21516 Free x21517 Free x21518 Free x21519 Free x21520 Free x21521 Free x21522 Free x21523 Free x21524 Free x21525 Free x21526 Free x21527 Free x21528 Free x21529 Free x21530 Free x21531 Free x21532 Free x21533 Free x21534 Free x21535 Free x21536 Free x21537 Free x21538 Free x21539 Free x21540 Free x21541 Free x21542 Free x21543 Free x21544 Free x21545 Free x21546 Free x21547 Free x21548 Free x21549 Free x21550 Free x21551 Free x21552 Free x21553 Free x21554 Free x21555 Free x21556 Free x21557 Free x21558 Free x21559 Free x21560 Free x21561 Free x21562 Free x21563 Free x21564 Free x21565 Free x21566 Free x21567 Free x21568 Free x21569 Free x21570 Free x21571 Free x21572 Free x21573 Free x21574 Free x21575 Free x21576 Free x21577 Free x21578 Free x21579 Free x21580 Free x21581 Free x21582 Free x21583 Free x21584 Free x21585 Free x21586 Free x21587 Free x21588 Free x21589 Free x21590 Free x21591 Free x21592 Free x21593 Free x21594 Free x21595 Free x21596 Free x21597 Free x21598 Free x21599 Free x21600 Free x21601 Free x21602 Free x21603 Free x21604 Free x21605 Free x21606 Free x21607 Free x21608 Free x21609 Free x21610 Free x21611 Free x21612 Free x21613 Free x21614 Free x21615 Free x21616 Free x21617 Free x21618 Free x21619 Free x21620 Free x21621 Free x21622 Free x21623 Free x21624 Free x21625 Free x21626 Free x21627 Free x21628 Free x21629 Free x21630 Free x21631 Free x21632 Free x21633 Free x21634 Free x21635 Free x21636 Free x21637 Free x21638 Free x21639 Free x21640 Free x21641 Free x21642 Free x21643 Free x21644 Free x21645 Free x21646 Free x21647 Free x21648 Free x21649 Free x21650 Free x21651 Free x21652 Free x21653 Free x21654 Free x21655 Free x21656 Free x21657 Free x21658 Free x21659 Free x21660 Free x21661 Free x21662 Free x21663 Free x21664 Free x21665 Free x21666 Free x21667 Free x21668 Free x21669 Free x21670 Free x21671 Free x21672 Free x21673 Free x21674 Free x21675 Free x21676 Free x21677 Free x21678 Free x21679 Free x21680 Free x21681 Free x21682 Free x21683 Free x21684 Free x21685 Free x21686 Free x21687 Free x21688 Free x21689 Free x21690 Free x21691 Free x21692 Free x21693 Free x21694 Free x21695 Free x21696 Free x21697 Free x21698 Free x21699 Free x21700 Free x21701 Free x21702 Free x21703 Free x21704 Free x21705 Free x21706 Free x21707 Free x21708 Free x21709 Free x21710 Free x21711 Free x21712 Free x21713 Free x21714 Free x21715 Free x21716 Free x21717 Free x21718 Free x21719 Free x21720 Free x21721 Free x21722 Free x21723 Free x21724 Free x21725 Free x21726 Free x21727 Free x21728 Free x21729 Free x21730 Free x21731 Free x21732 Free x21733 Free x21734 Free x21735 Free x21736 Free x21737 Free x21738 Free x21739 Free x21740 Free x21741 Free x21742 Free x21743 Free x21744 Free x21745 Free x21746 Free x21747 Free x21748 Free x21749 Free x21750 Free x21751 Free x21752 Free x21753 Free x21754 Free x21755 Free x21756 Free x21757 Free x21758 Free x21759 Free x21760 Free x21761 Free x21762 Free x21763 Free x21764 Free x21765 Free x21766 Free x21767 Free x21768 Free x21769 Free x21770 Free x21771 Free x21772 Free x21773 Free x21774 Free x21775 Free x21776 Free x21777 Free x21778 Free x21779 Free x21780 Free x21781 Free x21782 Free x21783 Free x21784 Free x21785 Free x21786 Free x21787 Free x21788 Free x21789 Free x21790 Free x21791 Free x21792 Free x21793 Free x21794 Free x21795 Free x21796 Free x21797 Free x21798 Free x21799 Free x21800 Free x21801 Free x21802 Free x21803 Free x21804 Free x21805 Free x21806 Free x21807 Free x21808 Free x21809 Free x21810 Free x21811 Free x21812 Free x21813 Free x21814 Free x21815 Free x21816 Free x21817 Free x21818 Free x21819 Free x21820 Free x21821 Free x21822 Free x21823 Free x21824 Free x21825 Free x21826 Free x21827 Free x21828 Free x21829 Free x21830 Free x21831 Free x21832 Free x21833 Free x21834 Free x21835 Free x21836 Free x21837 Free x21838 Free x21839 Free x21840 Free x21841 Free x21842 Free x21843 Free x21844 Free x21845 Free x21846 Free x21847 Free x21848 Free x21849 Free x21850 Free x21851 Free x21852 Free x21853 Free x21854 Free x21855 Free x21856 Free x21857 Free x21858 Free x21859 Free x21860 Free x21861 Free x21862 Free x21863 Free x21864 Free x21865 Free x21866 Free x21867 Free x21868 Free x21869 Free x21870 Free x21871 Free x21872 Free x21873 Free x21874 Free x21875 Free x21876 Free x21877 Free x21878 Free x21879 Free x21880 Free x21881 Free x21882 Free x21883 Free x21884 Free x21885 Free x21886 Free x21887 Free x21888 Free x21889 Free x21890 Free x21891 Free x21892 Free x21893 Free x21894 Free x21895 Free x21896 Free x21897 Free x21898 Free x21899 Free x21900 Free x21901 Free x21902 Free x21903 Free x21904 Free x21905 Free x21906 Free x21907 Free x21908 Free x21909 Free x21910 Free x21911 Free x21912 Free x21913 Free x21914 Free x21915 Free x21916 Free x21917 Free x21918 Free x21919 Free x21920 Free x21921 Free x21922 Free x21923 Free x21924 Free x21925 Free x21926 Free x21927 Free x21928 Free x21929 Free x21930 Free x21931 Free x21932 Free x21933 Free x21934 Free x21935 Free x21936 Free x21937 Free x21938 Free x21939 Free x21940 Free x21941 Free x21942 Free x21943 Free x21944 Free x21945 Free x21946 Free x21947 Free x21948 Free x21949 Free x21950 Free x21951 Free x21952 Free x21953 Free x21954 Free x21955 Free x21956 Free x21957 Free x21958 Free x21959 Free x21960 Free x21961 Free x21962 Free x21963 Free x21964 Free x21965 Free x21966 Free x21967 Free x21968 Free x21969 Free x21970 Free x21971 Free x21972 Free x21973 Free x21974 Free x21975 Free x21976 Free x21977 Free x21978 Free x21979 Free x21980 Free x21981 Free x21982 Free x21983 Free x21984 Free x21985 Free x21986 Free x21987 Free x21988 Free x21989 Free x21990 Free x21991 Free x21992 Free x21993 Free x21994 Free x21995 Free x21996 Free x21997 Free x21998 Free x21999 Free x22000 Free x22001 Free x22002 Free x22003 Free x22004 Free x22005 Free x22006 Free x22007 Free x22008 Free x22009 Free x22010 Free x22011 Free x22012 Free x22013 Free x22014 Free x22015 Free x22016 Free x22017 Free x22018 Free x22019 Free x22020 Free x22021 Free x22022 Free x22023 Free x22024 Free x22025 Free x22026 Free x22027 Free x22028 Free x22029 Free x22030 Free x22031 Free x22032 Free x22033 Free x22034 Free x22035 Free x22036 Free x22037 Free x22038 Free x22039 Free x22040 Free x22041 Free x22042 Free x22043 Free x22044 Free x22045 Free x22046 Free x22047 Free x22048 Free x22049 Free x22050 Free x22051 Free x22052 Free x22053 Free x22054 Free x22055 Free x22056 Free x22057 Free x22058 Free x22059 Free x22060 Free x22061 Free x22062 Free x22063 Free x22064 Free x22065 Free x22066 Free x22067 Free x22068 Free x22069 Free x22070 Free x22071 Free x22072 Free x22073 Free x22074 Free x22075 Free x22076 Free x22077 Free x22078 Free x22079 Free x22080 Free x22081 Free x22082 Free x22083 Free x22084 Free x22085 Free x22086 Free x22087 Free x22088 Free x22089 Free x22090 Free x22091 Free x22092 Free x22093 Free x22094 Free x22095 Free x22096 Free x22097 Free x22098 Free x22099 Free x22100 Free x22101 Free x22102 Free x22103 Free x22104 Free x22105 Free x22106 Free x22107 Free x22108 Free x22109 Free x22110 Free x22111 Free x22112 Free x22113 Free x22114 Free x22115 Free x22116 Free x22117 Free x22118 Free x22119 Free x22120 Free x22121 Free x22122 Free x22123 Free x22124 Free x22125 Free x22126 Free x22127 Free x22128 Free x22129 Free x22130 Free x22131 Free x22132 Free x22133 Free x22134 Free x22135 Free x22136 Free x22137 Free x22138 Free x22139 Free x22140 Free x22141 Free x22142 Free x22143 Free x22144 Free x22145 Free x22146 Free x22147 Free x22148 Free x22149 Free x22150 Free x22151 Free x22152 Free x22153 Free x22154 Free x22155 Free x22156 Free x22157 Free x22158 Free x22159 Free x22160 Free x22161 Free x22162 Free x22163 Free x22164 Free x22165 Free x22166 Free x22167 Free x22168 Free x22169 Free x22170 Free x22171 Free x22172 Free x22173 Free x22174 Free x22175 Free x22176 Free x22177 Free x22178 Free x22179 Free x22180 Free x22181 Free x22182 Free x22183 Free x22184 Free x22185 Free x22186 Free x22187 Free x22188 Free x22189 Free x22190 Free x22191 Free x22192 Free x22193 Free x22194 Free x22195 Free x22196 Free x22197 Free x22198 Free x22199 Free x22200 Free x22201 Free x22202 Free x22203 Free x22204 Free x22205 Free x22206 Free x22207 Free x22208 Free x22209 Free x22210 Free x22211 Free x22212 Free x22213 Free x22214 Free x22215 Free x22216 Free x22217 Free x22218 Free x22219 Free x22220 Free x22221 Free x22222 Free x22223 Free x22224 Free x22225 Free x22226 Free x22227 Free x22228 Free x22229 Free x22230 Free x22231 Free x22232 Free x22233 Free x22234 Free x22235 Free x22236 Free x22237 Free x22238 Free x22239 Free x22240 Free x22241 Free x22242 Free x22243 Free x22244 Free x22245 Free x22246 Free x22247 Free x22248 Free x22249 Free x22250 Free x22251 Free x22252 Free x22253 Free x22254 Free x22255 Free x22256 Free x22257 Free x22258 Free x22259 Free x22260 Free x22261 Free x22262 Free x22263 Free x22264 Free x22265 Free x22266 Free x22267 Free x22268 Free x22269 Free x22270 Free x22271 Free x22272 Free x22273 Free x22274 Free x22275 Free x22276 Free x22277 Free x22278 Free x22279 Free x22280 Free x22281 Free x22282 Free x22283 Free x22284 Free x22285 Free x22286 Free x22287 Free x22288 Free x22289 Free x22290 Free x22291 Free x22292 Free x22293 Free x22294 Free x22295 Free x22296 Free x22297 Free x22298 Free x22299 Free x22300 Free x22301 Free x22302 Free x22303 Free x22304 Free x22305 Free x22306 Free x22307 Free x22308 Free x22309 Free x22310 Free x22311 Free x22312 Free x22313 Free x22314 Free x22315 Free x22316 Free x22317 Free x22318 Free x22319 Free x22320 Free x22321 Free x22322 Free x22323 Free x22324 Free x22325 Free x22326 Free x22327 Free x22328 Free x22329 Free x22330 Free x22331 Free x22332 Free x22333 Free x22334 Free x22335 Free x22336 Free x22337 Free x22338 Free x22339 Free x22340 Free x22341 Free x22342 Free x22343 Free x22344 Free x22345 Free x22346 Free x22347 Free x22348 Free x22349 Free x22350 Free x22351 Free x22352 Free x22353 Free x22354 Free x22355 Free x22356 Free x22357 Free x22358 Free x22359 Free x22360 Free x22361 Free x22362 Free x22363 Free x22364 Free x22365 Free x22366 Free x22367 Free x22368 Free x22369 Free x22370 Free x22371 Free x22372 Free x22373 Free x22374 Free x22375 Free x22376 Free x22377 Free x22378 Free x22379 Free x22380 Free x22381 Free x22382 Free x22383 Free x22384 Free x22385 Free x22386 Free x22387 Free x22388 Free x22389 Free x22390 Free x22391 Free x22392 Free x22393 Free x22394 Free x22395 Free x22396 Free x22397 Free x22398 Free x22399 Free x22400 Free x22401 Free x22402 Free x22403 Free x22404 Free x22405 Free x22406 Free x22407 Free x22408 Free x22409 Free x22410 Free x22411 Free x22412 Free x22413 Free x22414 Free x22415 Free x22416 Free x22417 Free x22418 Free x22419 Free x22420 Free x22421 Free x22422 Free x22423 Free x22424 Free x22425 Free x22426 Free x22427 Free x22428 Free x22429 Free x22430 Free x22431 Free x22432 Free x22433 Free x22434 Free x22435 Free x22436 Free x22437 Free x22438 Free x22439 Free x22440 Free x22441 Free x22442 Free x22443 Free x22444 Free x22445 Free x22446 Free x22447 Free x22448 Free x22449 Free x22450 Free x22451 Free x22452 Free x22453 Free x22454 Free x22455 Free x22456 Free x22457 Free x22458 Free x22459 Free x22460 Free x22461 Free x22462 Free x22463 Free x22464 Free x22465 Free x22466 Free x22467 Free x22468 Free x22469 Free x22470 Free x22471 Free x22472 Free x22473 Free x22474 Free x22475 Free x22476 Free x22477 Free x22478 Free x22479 Free x22480 Free x22481 Free x22482 Free x22483 Free x22484 Free x22485 Free x22486 Free x22487 Free x22488 Free x22489 Free x22490 Free x22491 Free x22492 Free x22493 Free x22494 Free x22495 Free x22496 Free x22497 Free x22498 Free x22499 Free x22500 Free x22501 Free x22502 Free x22503 Free x22504 Free x22505 Free x22506 Free x22507 Free x22508 Free x22509 Free x22510 Free x22511 Free x22512 Free x22513 Free x22514 Free x22515 Free x22516 Free x22517 Free x22518 Free x22519 Free x22520 Free x22521 Free x22522 Free x22523 Free x22524 Free x22525 Free x22526 Free x22527 Free x22528 Free x22529 Free x22530 Free x22531 Free x22532 Free x22533 Free x22534 Free x22535 Free x22536 Free x22537 Free x22538 Free x22539 Free x22540 Free x22541 Free x22542 Free x22543 Free x22544 Free x22545 Free x22546 Free x22547 Free x22548 Free x22549 Free x22550 Free x22551 Free x22552 Free x22553 Free x22554 Free x22555 Free x22556 Free x22557 Free x22558 Free x22559 Free x22560 Free x22561 Free x22562 Free x22563 Free x22564 Free x22565 Free x22566 Free x22567 Free x22568 Free x22569 Free x22570 Free x22571 Free x22572 Free x22573 Free x22574 Free x22575 Free x22576 Free x22577 Free x22578 Free x22579 Free x22580 Free x22581 Free x22582 Free x22583 Free x22584 Free x22585 Free x22586 Free x22587 Free x22588 Free x22589 Free x22590 Free x22591 Free x22592 Free x22593 Free x22594 Free x22595 Free x22596 Free x22597 Free x22598 Free x22599 Free x22600 Free x22601 Free x22602 Free x22603 Free x22604 Free x22605 Free x22606 Free x22607 Free x22608 Free x22609 Free x22610 Free x22611 Free x22612 Free x22613 Free x22614 Free x22615 Free x22616 Free x22617 Free x22618 Free x22619 Free x22620 Free x22621 Free x22622 Free x22623 Free x22624 Free x22625 Free x22626 Free x22627 Free x22628 Free x22629 Free x22630 Free x22631 Free x22632 Free x22633 Free x22634 Free x22635 Free x22636 Free x22637 Free x22638 Free x22639 Free x22640 Free x22641 Free x22642 Free x22643 Free x22644 Free x22645 Free x22646 Free x22647 Free x22648 Free x22649 Free x22650 Free x22651 Free x22652 Free x22653 Free x22654 Free x22655 Free x22656 Free x22657 Free x22658 Free x22659 Free x22660 Free x22661 Free x22662 Free x22663 Free x22664 Free x22665 Free x22666 Free x22667 Free x22668 Free x22669 Free x22670 Free x22671 Free x22672 Free x22673 Free x22674 Free x22675 Free x22676 Free x22677 Free x22678 Free x22679 Free x22680 Free x22681 Free x22682 Free x22683 Free x22684 Free x22685 Free x22686 Free x22687 Free x22688 Free x22689 Free x22690 Free x22691 Free x22692 Free x22693 Free x22694 Free x22695 Free x22696 Free x22697 Free x22698 Free x22699 Free x22700 Free x22701 Free x22702 Free x22703 Free x22704 Free x22705 Free x22706 Free x22707 Free x22708 Free x22709 Free x22710 Free x22711 Free x22712 Free x22713 Free x22714 Free x22715 Free x22716 Free x22717 Free x22718 Free x22719 Free x22720 Free x22721 Free x22722 Free x22723 Free x22724 Free x22725 Free x22726 Free x22727 Free x22728 Free x22729 Free x22730 Free x22731 Free x22732 Free x22733 Free x22734 Free x22735 Free x22736 Free x22737 Free x22738 Free x22739 Free x22740 Free x22741 Free x22742 Free x22743 Free x22744 Free x22745 Free x22746 Free x22747 Free x22748 Free x22749 Free x22750 Free x22751 Free x22752 Free x22753 Free x22754 Free x22755 Free x22756 Free x22757 Free x22758 Free x22759 Free x22760 Free x22761 Free x22762 Free x22763 Free x22764 Free x22765 Free x22766 Free x22767 Free x22768 Free x22769 Free x22770 Free x22771 Free x22772 Free x22773 Free x22774 Free x22775 Free x22776 Free x22777 Free x22778 Free x22779 Free x22780 Free x22781 Free x22782 Free x22783 Free x22784 Free x22785 Free x22786 Free x22787 Free x22788 Free x22789 Free x22790 Free x22791 Free x22792 Free x22793 Free x22794 Free x22795 Free x22796 Free x22797 Free x22798 Free x22799 Free x22800 Free x22801 Free x22802 Free x22803 Free x22804 Free x22805 Free x22806 Free x22807 Free x22808 Free x22809 Free x22810 Free x22811 Free x22812 Free x22813 Free x22814 Free x22815 Free x22816 Free x22817 Free x22818 Free x22819 Free x22820 Free x22821 Free x22822 Free x22823 Free x22824 Free x22825 Free x22826 Free x22827 Free x22828 Free x22829 Free x22830 Free x22831 Free x22832 Free x22833 Free x22834 Free x22835 Free x22836 Free x22837 Free x22838 Free x22839 Free x22840 Free x22841 Free x22842 Free x22843 Free x22844 Free x22845 Free x22846 Free x22847 Free x22848 Free x22849 Free x22850 Free x22851 Free x22852 Free x22853 Free x22854 Free x22855 Free x22856 Free x22857 Free x22858 Free x22859 Free x22860 Free x22861 Free x22862 Free x22863 Free x22864 Free x22865 Free x22866 Free x22867 Free x22868 Free x22869 Free x22870 Free x22871 Free x22872 Free x22873 Free x22874 Free x22875 Free x22876 Free x22877 Free x22878 Free x22879 Free x22880 Free x22881 Free x22882 Free x22883 Free x22884 Free x22885 Free x22886 Free x22887 Free x22888 Free x22889 Free x22890 Free x22891 Free x22892 Free x22893 Free x22894 Free x22895 Free x22896 Free x22897 Free x22898 Free x22899 Free x22900 Free x22901 Free x22902 Free x22903 Free x22904 Free x22905 Free x22906 Free x22907 Free x22908 Free x22909 Free x22910 Free x22911 Free x22912 Free x22913 Free x22914 Free x22915 Free x22916 Free x22917 Free x22918 Free x22919 Free x22920 Free x22921 Free x22922 Free x22923 Free x22924 Free x22925 Free x22926 Free x22927 Free x22928 Free x22929 Free x22930 Free x22931 Free x22932 Free x22933 Free x22934 Free x22935 Free x22936 Free x22937 Free x22938 Free x22939 Free x22940 Free x22941 Free x22942 Free x22943 Free x22944 Free x22945 Free x22946 Free x22947 Free x22948 Free x22949 Free x22950 Free x22951 Free x22952 Free x22953 Free x22954 Free x22955 Free x22956 Free x22957 Free x22958 Free x22959 Free x22960 Free x22961 Free x22962 Free x22963 Free x22964 Free x22965 Free x22966 Free x22967 Free x22968 Free x22969 Free x22970 Free x22971 Free x22972 Free x22973 Free x22974 Free x22975 Free x22976 Free x22977 Free x22978 Free x22979 Free x22980 Free x22981 Free x22982 Free x22983 Free x22984 Free x22985 Free x22986 Free x22987 Free x22988 Free x22989 Free x22990 Free x22991 Free x22992 Free x22993 Free x22994 Free x22995 Free x22996 Free x22997 Free x22998 Free x22999 Free x23000 Free x23001 Free x23002 Free x23003 Free x23004 Free x23005 Free x23006 Free x23007 Free x23008 Free x23009 Free x23010 Free x23011 Free x23012 Free x23013 Free x23014 Free x23015 Free x23016 Free x23017 Free x23018 Free x23019 Free x23020 Free x23021 Free x23022 Free x23023 Free x23024 Free x23025 Free x23026 Free x23027 Free x23028 Free x23029 Free x23030 Free x23031 Free x23032 Free x23033 Free x23034 Free x23035 Free x23036 Free x23037 Free x23038 Free x23039 Free x23040 Free x23041 Free x23042 Free x23043 Free x23044 Free x23045 Free x23046 Free x23047 Free x23048 Free x23049 Free x23050 Free x23051 Free x23052 Free x23053 Free x23054 Free x23055 Free x23056 Free x23057 Free x23058 Free x23059 Free x23060 Free x23061 Free x23062 Free x23063 Free x23064 Free x23065 Free x23066 Free x23067 Free x23068 Free x23069 Free x23070 Free x23071 Free x23072 Free x23073 Free x23074 Free x23075 Free x23076 Free x23077 Free x23078 Free x23079 Free x23080 Free x23081 Free x23082 Free x23083 Free x23084 Free x23085 Free x23086 Free x23087 Free x23088 Free x23089 Free x23090 Free x23091 Free x23092 Free x23093 Free x23094 Free x23095 Free x23096 Free x23097 Free x23098 Free x23099 Free x23100 Free x23101 Free x23102 Free x23103 Free x23104 Free x23105 Free x23106 Free x23107 Free x23108 Free x23109 Free x23110 Free x23111 Free x23112 Free x23113 Free x23114 Free x23115 Free x23116 Free x23117 Free x23118 Free x23119 Free x23120 Free x23121 Free x23122 Free x23123 Free x23124 Free x23125 Free x23126 Free x23127 Free x23128 Free x23129 Free x23130 Free x23131 Free x23132 Free x23133 Free x23134 Free x23135 Free x23136 Free x23137 Free x23138 Free x23139 Free x23140 Free x23141 Free x23142 Free x23143 Free x23144 Free x23145 Free x23146 Free x23147 Free x23148 Free x23149 Free x23150 Free x23151 Free x23152 Free x23153 Free x23154 Free x23155 Free x23156 Free x23157 Free x23158 Free x23159 Free x23160 Free x23161 Free x23162 Free x23163 Free x23164 Free x23165 Free x23166 Free x23167 Free x23168 Free x23169 Free x23170 Free x23171 Free x23172 Free x23173 Free x23174 Free x23175 Free x23176 Free x23177 Free x23178 Free x23179 Free x23180 Free x23181 Free x23182 Free x23183 Free x23184 Free x23185 Free x23186 Free x23187 Free x23188 Free x23189 Free x23190 Free x23191 Free x23192 Free x23193 Free x23194 Free x23195 Free x23196 Free x23197 Free x23198 Free x23199 Free x23200 Free x23201 Free x23202 Free x23203 Free x23204 Free x23205 Free x23206 Free x23207 Free x23208 Free x23209 Free x23210 Free x23211 Free x23212 Free x23213 Free x23214 Free x23215 Free x23216 Free x23217 Free x23218 Free x23219 Free x23220 Free x23221 Free x23222 Free x23223 Free x23224 Free x23225 Free x23226 Free x23227 Free x23228 Free x23229 Free x23230 Free x23231 Free x23232 Free x23233 Free x23234 Free x23235 Free x23236 Free x23237 Free x23238 Free x23239 Free x23240 Free x23241 Free x23242 Free x23243 Free x23244 Free x23245 Free x23246 Free x23247 Free x23248 Free x23249 Free x23250 Free x23251 Free x23252 Free x23253 Free x23254 Free x23255 Free x23256 Free x23257 Free x23258 Free x23259 Free x23260 Free x23261 Free x23262 Free x23263 Free x23264 Free x23265 Free x23266 Free x23267 Free x23268 Free x23269 Free x23270 Free x23271 Free x23272 Free x23273 Free x23274 Free x23275 Free x23276 Free x23277 Free x23278 Free x23279 Free x23280 Free x23281 Free x23282 Free x23283 Free x23284 Free x23285 Free x23286 Free x23287 Free x23288 Free x23289 Free x23290 Free x23291 Free x23292 Free x23293 Free x23294 Free x23295 Free x23296 Free x23297 Free x23298 Free x23299 Free x23300 Free x23301 Free x23302 Free x23303 Free x23304 Free x23305 Free x23306 Free x23307 Free x23308 Free x23309 Free x23310 Free x23311 Free x23312 Free x23313 Free x23314 Free x23315 Free x23316 Free x23317 Free x23318 Free x23319 Free x23320 Free x23321 Free x23322 Free x23323 Free x23324 Free x23325 Free x23326 Free x23327 Free x23328 Free x23329 Free x23330 Free x23331 Free x23332 Free x23333 Free x23334 Free x23335 Free x23336 Free x23337 Free x23338 Free x23339 Free x23340 Free x23341 Free x23342 Free x23343 Free x23344 Free x23345 Free x23346 Free x23347 Free x23348 Free x23349 Free x23350 Free x23351 Free x23352 Free x23353 Free x23354 Free x23355 Free x23356 Free x23357 Free x23358 Free x23359 Free x23360 Free x23361 Free x23362 Free x23363 Free x23364 Free x23365 Free x23366 Free x23367 Free x23368 Free x23369 Free x23370 Free x23371 Free x23372 Free x23373 Free x23374 Free x23375 Free x23376 Free x23377 Free x23378 Free x23379 Free x23380 Free x23381 Free x23382 Free x23383 Free x23384 Free x23385 Free x23386 Free x23387 Free x23388 Free x23389 Free x23390 Free x23391 Free x23392 Free x23393 Free x23394 Free x23395 Free x23396 Free x23397 Free x23398 Free x23399 Free x23400 Free x23401 Free x23402 Free x23403 Free x23404 Free x23405 Free x23406 Free x23407 Free x23408 Free x23409 Free x23410 Free x23411 Free x23412 Free x23413 Free x23414 Free x23415 Free x23416 Free x23417 Free x23418 Free x23419 Free x23420 Free x23421 Free x23422 Free x23423 Free x23424 Free x23425 Free x23426 Free x23427 Free x23428 Free x23429 Free x23430 Free x23431 Free x23432 Free x23433 Free x23434 Free x23435 Free x23436 Free x23437 Free x23438 Free x23439 Free x23440 Free x23441 Free x23442 Free x23443 Free x23444 Free x23445 Free x23446 Free x23447 Free x23448 Free x23449 Free x23450 Free x23451 Free x23452 Free x23453 Free x23454 Free x23455 Free x23456 Free x23457 Free x23458 Free x23459 Free x23460 Free x23461 Free x23462 Free x23463 Free x23464 Free x23465 Free x23466 Free x23467 Free x23468 Free x23469 Free x23470 Free x23471 Free x23472 Free x23473 Free x23474 Free x23475 Free x23476 Free x23477 Free x23478 Free x23479 Free x23480 Free x23481 Free x23482 Free x23483 Free x23484 Free x23485 Free x23486 Free x23487 Free x23488 Free x23489 Free x23490 Free x23491 Free x23492 Free x23493 Free x23494 Free x23495 Free x23496 Free x23497 Free x23498 Free x23499 Free x23500 Free x23501 Free x23502 Free x23503 Free x23504 Free x23505 Free x23506 Free x23507 Free x23508 Free x23509 Free x23510 Free x23511 Free x23512 Free x23513 Free x23514 Free x23515 Free x23516 Free x23517 Free x23518 Free x23519 Free x23520 Free x23521 Free x23522 Free x23523 Free x23524 Free x23525 Free x23526 Free x23527 Free x23528 Free x23529 Free x23530 Free x23531 Free x23532 Free x23533 Free x23534 Free x23535 Free x23536 Free x23537 Free x23538 Free x23539 Free x23540 Free x23541 Free x23542 Free x23543 Free x23544 Free x23545 Free x23546 Free x23547 Free x23548 Free x23549 Free x23550 Free x23551 Free x23552 Free x23553 Free x23554 Free x23555 Free x23556 Free x23557 Free x23558 Free x23559 Free x23560 Free x23561 Free x23562 Free x23563 Free x23564 Free x23565 Free x23566 Free x23567 Free x23568 Free x23569 Free x23570 Free x23571 Free x23572 Free x23573 Free x23574 Free x23575 Free x23576 Free x23577 Free x23578 Free x23579 Free x23580 Free x23581 Free x23582 Free x23583 Free x23584 Free x23585 Free x23586 Free x23587 Free x23588 Free x23589 Free x23590 Free x23591 Free x23592 Free x23593 Free x23594 Free x23595 Free x23596 Free x23597 Free x23598 Free x23599 Free x23600 Free x23601 Free x23602 Free x23603 Free x23604 Free x23605 Free x23606 Free x23607 Free x23608 Free x23609 Free x23610 Free x23611 Free x23612 Free x23613 Free x23614 Free x23615 Free x23616 Free x23617 Free x23618 Free x23619 Free x23620 Free x23621 Free x23622 Free x23623 Free x23624 Free x23625 Free x23626 Free x23627 Free x23628 Free x23629 Free x23630 Free x23631 Free x23632 Free x23633 Free x23634 Free x23635 Free x23636 Free x23637 Free x23638 Free x23639 Free x23640 Free x23641 Free x23642 Free x23643 Free x23644 Free x23645 Free x23646 Free x23647 Free x23648 Free x23649 Free x23650 Free x23651 Free x23652 Free x23653 Free x23654 Free x23655 Free x23656 Free x23657 Free x23658 Free x23659 Free x23660 Free x23661 Free x23662 Free x23663 Free x23664 Free x23665 Free x23666 Free x23667 Free x23668 Free x23669 Free x23670 Free x23671 Free x23672 Free x23673 Free x23674 Free x23675 Free x23676 Free x23677 Free x23678 Free x23679 Free x23680 Free x23681 Free x23682 Free x23683 Free x23684 Free x23685 Free x23686 Free x23687 Free x23688 Free x23689 Free x23690 Free x23691 Free x23692 Free x23693 Free x23694 Free x23695 Free x23696 Free x23697 Free x23698 Free x23699 Free x23700 Free x23701 Free x23702 Free x23703 Free x23704 Free x23705 Free x23706 Free x23707 Free x23708 Free x23709 Free x23710 Free x23711 Free x23712 Free x23713 Free x23714 Free x23715 Free x23716 Free x23717 Free x23718 Free x23719 Free x23720 Free x23721 Free x23722 Free x23723 Free x23724 Free x23725 Free x23726 Free x23727 Free x23728 Free x23729 Free x23730 Free x23731 Free x23732 Free x23733 Free x23734 Free x23735 Free x23736 Free x23737 Free x23738 Free x23739 Free x23740 Free x23741 Free x23742 Free x23743 Free x23744 Free x23745 Free x23746 Free x23747 Free x23748 Free x23749 Free x23750 Free x23751 Free x23752 Free x23753 Free x23754 Free x23755 Free x23756 Free x23757 Free x23758 Free x23759 Free x23760 Free x23761 Free x23762 Free x23763 Free x23764 Free x23765 Free x23766 Free x23767 Free x23768 Free x23769 Free x23770 Free x23771 Free x23772 Free x23773 Free x23774 Free x23775 Free x23776 Free x23777 Free x23778 Free x23779 Free x23780 Free x23781 Free x23782 Free x23783 Free x23784 Free x23785 Free x23786 Free x23787 Free x23788 Free x23789 Free x23790 Free x23791 Free x23792 Free x23793 Free x23794 Free x23795 Free x23796 Free x23797 Free x23798 Free x23799 Free x23800 Free x23801 Free x23802 Free x23803 Free x23804 Free x23805 Free x23806 Free x23807 Free x23808 Free x23809 Free x23810 Free x23811 Free x23812 Free x23813 Free x23814 Free x23815 Free x23816 Free x23817 Free x23818 Free x23819 Free x23820 Free x23821 Free x23822 Free x23823 Free x23824 Free x23825 Free x23826 Free x23827 Free x23828 Free x23829 Free x23830 Free x23831 Free x23832 Free x23833 Free x23834 Free x23835 Free x23836 Free x23837 Free x23838 Free x23839 Free x23840 Free x23841 Free x23842 Free x23843 Free x23844 Free x23845 Free x23846 Free x23847 Free x23848 Free x23849 Free x23850 Free x23851 Free x23852 Free x23853 Free x23854 Free x23855 Free x23856 Free x23857 Free x23858 Free x23859 Free x23860 Free x23861 Free x23862 Free x23863 Free x23864 Free x23865 Free x23866 Free x23867 Free x23868 Free x23869 Free x23870 Free x23871 Free x23872 Free x23873 Free x23874 Free x23875 Free x23876 Free x23877 Free x23878 Free x23879 Free x23880 Free x23881 Free x23882 Free x23883 Free x23884 Free x23885 Free x23886 Free x23887 Free x23888 Free x23889 Free x23890 Free x23891 Free x23892 Free x23893 Free x23894 Free x23895 Free x23896 Free x23897 Free x23898 Free x23899 Free x23900 Free x23901 Free x23902 Free x23903 Free x23904 Free x23905 Free x23906 Free x23907 Free x23908 Free x23909 Free x23910 Free x23911 Free x23912 Free x23913 Free x23914 Free x23915 Free x23916 Free x23917 Free x23918 Free x23919 Free x23920 Free x23921 Free x23922 Free x23923 Free x23924 Free x23925 Free x23926 Free x23927 Free x23928 Free x23929 Free x23930 Free x23931 Free x23932 Free x23933 Free x23934 Free x23935 Free x23936 Free x23937 Free x23938 Free x23939 Free x23940 Free x23941 Free x23942 Free x23943 Free x23944 Free x23945 Free x23946 Free x23947 Free x23948 Free x23949 Free x23950 Free x23951 Free x23952 Free x23953 Free x23954 Free x23955 Free x23956 Free x23957 Free x23958 Free x23959 Free x23960 Free x23961 Free x23962 Free x23963 Free x23964 Free x23965 Free x23966 Free x23967 Free x23968 Free x23969 Free x23970 Free x23971 Free x23972 Free x23973 Free x23974 Free x23975 Free x23976 Free x23977 Free x23978 Free x23979 Free x23980 Free x23981 Free x23982 Free x23983 Free x23984 Free x23985 Free x23986 Free x23987 Free x23988 Free x23989 Free x23990 Free x23991 Free x23992 Free x23993 Free x23994 Free x23995 Free x23996 Free x23997 Free x23998 Free x23999 Free x24000 Free x24001 Free x24002 Free x24003 Free x24004 Free x24005 Free x24006 Free x24007 Free x24008 Free x24009 Free x24010 Free x24011 Free x24012 Free x24013 Free x24014 Free x24015 Free x24016 Free x24017 Free x24018 Free x24019 Free x24020 Free x24021 Free x24022 Free x24023 Free x24024 Free x24025 Free x24026 Free x24027 Free x24028 Free x24029 Free x24030 Free x24031 Free x24032 Free x24033 Free x24034 Free x24035 Free x24036 Free x24037 Free x24038 Free x24039 Free x24040 Free x24041 Free x24042 Free x24043 Free x24044 Free x24045 Free x24046 Free x24047 Free x24048 Free x24049 Free x24050 Free x24051 Free x24052 Free x24053 Free x24054 Free x24055 Free x24056 Free x24057 Free x24058 Free x24059 Free x24060 Free x24061 Free x24062 Free x24063 Free x24064 Free x24065 Free x24066 Free x24067 Free x24068 Free x24069 Free x24070 Free x24071 Free x24072 Free x24073 Free x24074 Free x24075 Free x24076 Free x24077 Free x24078 Free x24079 Free x24080 Free x24081 Free x24082 Free x24083 Free x24084 Free x24085 Free x24086 Free x24087 Free x24088 Free x24089 Free x24090 Free x24091 Free x24092 Free x24093 Free x24094 Free x24095 Free x24096 Free x24097 Free x24098 Free x24099 Free x24100 Free x24101 Free x24102 Free x24103 Free x24104 Free x24105 Free x24106 Free x24107 Free x24108 Free x24109 Free x24110 Free x24111 Free x24112 Free x24113 Free x24114 Free x24115 Free x24116 Free x24117 Free x24118 Free x24119 Free x24120 Free x24121 Free x24122 Free x24123 Free x24124 Free x24125 Free x24126 Free x24127 Free x24128 Free x24129 Free x24130 Free x24131 Free x24132 Free x24133 Free x24134 Free x24135 Free x24136 Free x24137 Free x24138 Free x24139 Free x24140 Free x24141 Free x24142 Free x24143 Free x24144 Free x24145 Free x24146 Free x24147 Free x24148 Free x24149 Free x24150 Free x24151 Free x24152 Free x24153 Free x24154 Free x24155 Free x24156 Free x24157 Free x24158 Free x24159 Free x24160 Free x24161 Free x24162 Free x24163 Free x24164 Free x24165 Free x24166 Free x24167 Free x24168 Free x24169 Free x24170 Free x24171 Free x24172 Free x24173 Free x24174 Free x24175 Free x24176 Free x24177 Free x24178 Free x24179 Free x24180 Free x24181 Free x24182 Free x24183 Free x24184 Free x24185 Free x24186 Free x24187 Free x24188 Free x24189 Free x24190 Free x24191 Free x24192 Free x24193 Free x24194 Free x24195 Free x24196 Free x24197 Free x24198 Free x24199 Free x24200 Free x24201 Free x24202 Free x24203 Free x24204 Free x24205 Free x24206 Free x24207 Free x24208 Free x24209 Free x24210 Free x24211 Free x24212 Free x24213 Free x24214 Free x24215 Free x24216 Free x24217 Free x24218 Free x24219 Free x24220 Free x24221 Free x24222 Free x24223 Free x24224 Free x24225 Free x24226 Free x24227 Free x24228 Free x24229 Free x24230 Free x24231 Free x24232 Free x24233 Free x24234 Free x24235 Free x24236 Free x24237 Free x24238 Free x24239 Free x24240 Free x24241 Free x24242 Free x24243 Free x24244 Free x24245 Free x24246 Free x24247 Free x24248 Free x24249 Free x24250 Free x24251 Free x24252 Free x24253 Free x24254 Free x24255 Free x24256 Free x24257 Free x24258 Free x24259 Free x24260 Free x24261 Free x24262 Free x24263 Free x24264 Free x24265 Free x24266 Free x24267 Free x24268 Free x24269 Free x24270 Free x24271 Free x24272 Free x24273 Free x24274 Free x24275 Free x24276 Free x24277 Free x24278 Free x24279 Free x24280 Free x24281 Free x24282 Free x24283 Free x24284 Free x24285 Free x24286 Free x24287 Free x24288 Free x24289 Free x24290 Free x24291 Free x24292 Free x24293 Free x24294 Free x24295 Free x24296 Free x24297 Free x24298 Free x24299 Free x24300 Free x24301 Free x24302 Free x24303 Free x24304 Free x24305 Free x24306 Free x24307 Free x24308 Free x24309 Free x24310 Free x24311 Free x24312 Free x24313 Free x24314 Free x24315 Free x24316 Free x24317 Free x24318 Free x24319 Free x24320 Free x24321 Free x24322 Free x24323 Free x24324 Free x24325 Free x24326 Free x24327 Free x24328 Free x24329 Free x24330 Free x24331 Free x24332 Free x24333 Free x24334 Free x24335 Free x24336 Free x24337 Free x24338 Free x24339 Free x24340 Free x24341 Free x24342 Free x24343 Free x24344 Free x24345 Free x24346 Free x24347 Free x24348 Free x24349 Free x24350 Free x24351 Free x24352 Free x24353 Free x24354 Free x24355 Free x24356 Free x24357 Free x24358 Free x24359 Free x24360 Free x24361 Free x24362 Free x24363 Free x24364 Free x24365 Free x24366 Free x24367 Free x24368 Free x24369 Free x24370 Free x24371 Free x24372 Free x24373 Free x24374 Free x24375 Free x24376 Free x24377 Free x24378 Free x24379 Free x24380 Free x24381 Free x24382 Free x24383 Free x24384 Free x24385 Free x24386 Free x24387 Free x24388 Free x24389 Free x24390 Free x24391 Free x24392 Free x24393 Free x24394 Free x24395 Free x24396 Free x24397 Free x24398 Free x24399 Free x24400 Free x24401 Free x24402 Free x24403 Free x24404 Free x24405 Free x24406 Free x24407 Free x24408 Free x24409 Free x24410 Free x24411 Free x24412 Free x24413 Free x24414 Free x24415 Free x24416 Free x24417 Free x24418 Free x24419 Free x24420 Free x24421 Free x24422 Free x24423 Free x24424 Free x24425 Free x24426 Free x24427 Free x24428 Free x24429 Free x24430 Free x24431 Free x24432 Free x24433 Free x24434 Free x24435 Free x24436 Free x24437 Free x24438 Free x24439 Free x24440 Free x24441 Free x24442 Free x24443 Free x24444 Free x24445 Free x24446 Free x24447 Free x24448 Free x24449 Free x24450 Free x24451 Free x24452 Free x24453 Free x24454 Free x24455 Free x24456 Free x24457 Free x24458 Free x24459 Free x24460 Free x24461 Free x24462 Free x24463 Free x24464 Free x24465 Free x24466 Free x24467 Free x24468 Free x24469 Free x24470 Free x24471 Free x24472 Free x24473 Free x24474 Free x24475 Free x24476 Free x24477 Free x24478 Free x24479 Free x24480 Free x24481 Free x24482 Free x24483 Free x24484 Free x24485 Free x24486 Free x24487 Free x24488 Free x24489 Free x24490 Free x24491 Free x24492 Free x24493 Free x24494 Free x24495 Free x24496 Free x24497 Free x24498 Free x24499 Free x24500 Free x24501 Free x24502 Free x24503 Free x24504 Free x24505 Free x24506 Free x24507 Free x24508 Free x24509 Free x24510 Free x24511 Free x24512 Free x24513 Free x24514 Free x24515 Free x24516 Free x24517 Free x24518 Free x24519 Free x24520 Free x24521 Free x24522 Free x24523 Free x24524 Free x24525 Free x24526 Free x24527 Free x24528 Free x24529 Free x24530 Free x24531 Free x24532 Free x24533 Free x24534 Free x24535 Free x24536 Free x24537 Free x24538 Free x24539 Free x24540 Free x24541 Free x24542 Free x24543 Free x24544 Free x24545 Free x24546 Free x24547 Free x24548 Free x24549 Free x24550 Free x24551 Free x24552 Free x24553 Free x24554 Free x24555 Free x24556 Free x24557 Free x24558 Free x24559 Free x24560 Free x24561 Free x24562 Free x24563 Free x24564 Free x24565 Free x24566 Free x24567 Free x24568 Free x24569 Free x24570 Free x24571 Free x24572 Free x24573 Free x24574 Free x24575 Free x24576 Free x24577 Free x24578 Free x24579 Free x24580 Free x24581 Free x24582 Free x24583 Free x24584 Free x24585 Free x24586 Free x24587 Free x24588 Free x24589 Free x24590 Free x24591 Free x24592 Free x24593 Free x24594 Free x24595 Free x24596 Free x24597 Free x24598 Free x24599 Free x24600 Free x24601 Free x24602 Free x24603 Free x24604 Free x24605 Free x24606 Free x24607 Free x24608 Free x24609 Free x24610 Free x24611 Free x24612 Free x24613 Free x24614 Free x24615 Free x24616 Free x24617 Free x24618 Free x24619 Free x24620 Free x24621 Free x24622 Free x24623 Free x24624 Free x24625 Free x24626 Free x24627 Free x24628 Free x24629 Free x24630 Free x24631 Free x24632 Free x24633 Free x24634 Free x24635 Free x24636 Free x24637 Free x24638 Free x24639 Free x24640 Free x24641 Free x24642 Free x24643 Free x24644 Free x24645 Free x24646 Free x24647 Free x24648 Free x24649 Free x24650 Free x24651 Free x24652 Free x24653 Free x24654 Free x24655 Free x24656 Free x24657 Free x24658 Free x24659 Free x24660 Free x24661 Free x24662 Free x24663 Free x24664 Free x24665 Free x24666 Free x24667 Free x24668 Free x24669 Free x24670 Free x24671 Free x24672 Free x24673 Free x24674 Free x24675 Free x24676 Free x24677 Free x24678 Free x24679 Free x24680 Free x24681 Free x24682 Free x24683 Free x24684 Free x24685 Free x24686 Free x24687 Free x24688 Free x24689 Free x24690 Free x24691 Free x24692 Free x24693 Free x24694 Free x24695 Free x24696 Free x24697 Free x24698 Free x24699 Free x24700 Free x24701 Free x24702 Free x24703 Free x24704 Free x24705 Free x24706 Free x24707 Free x24708 Free x24709 Free x24710 Free x24711 Free x24712 Free x24713 Free x24714 Free x24715 Free x24716 Free x24717 Free x24718 Free x24719 Free x24720 Free x24721 Free x24722 Free x24723 Free x24724 Free x24725 Free x24726 Free x24727 Free x24728 Free x24729 Free x24730 Free x24731 Free x24732 Free x24733 Free x24734 Free x24735 Free x24736 Free x24737 Free x24738 Free x24739 Free x24740 Free x24741 Free x24742 Free x24743 Free x24744 Free x24745 Free x24746 Free x24747 Free x24748 Free x24749 Free x24750 Free x24751 Free x24752 Free x24753 Free x24754 Free x24755 Free x24756 Free x24757 Free x24758 Free x24759 Free x24760 Free x24761 Free x24762 Free x24763 Free x24764 Free x24765 Free x24766 Free x24767 Free x24768 Free x24769 Free x24770 Free x24771 Free x24772 Free x24773 Free x24774 Free x24775 Free x24776 Free x24777 Free x24778 Free x24779 Free x24780 Free x24781 Free x24782 Free x24783 Free x24784 Free x24785 Free x24786 Free x24787 Free x24788 Free x24789 Free x24790 Free x24791 Free x24792 Free x24793 Free x24794 Free x24795 Free x24796 Free x24797 Free x24798 Free x24799 Free x24800 Free x24801 Free x24802 Free x24803 Free x24804 Free x24805 Free x24806 Free x24807 Free x24808 Free x24809 Free x24810 Free x24811 Free x24812 Free x24813 Free x24814 Free x24815 Free x24816 Free x24817 Free x24818 Free x24819 Free x24820 Free x24821 Free x24822 Free x24823 Free x24824 Free x24825 Free x24826 Free x24827 Free x24828 Free x24829 Free x24830 Free x24831 Free x24832 Free x24833 Free x24834 Free x24835 Free x24836 Free x24837 Free x24838 Free x24839 Free x24840 Free x24841 Free x24842 Free x24843 Free x24844 Free x24845 Free x24846 Free x24847 Free x24848 Free x24849 Free x24850 Free x24851 Free x24852 Free x24853 Free x24854 Free x24855 Free x24856 Free x24857 Free x24858 Free x24859 Free x24860 Free x24861 Free x24862 Free x24863 Free x24864 Free x24865 Free x24866 Free x24867 Free x24868 Free x24869 Free x24870 Free x24871 Free x24872 Free x24873 Free x24874 Free x24875 Free x24876 Free x24877 Free x24878 Free x24879 Free x24880 Free x24881 Free x24882 Free x24883 Free x24884 Free x24885 Free x24886 Free x24887 Free x24888 Free x24889 Free x24890 Free x24891 Free x24892 Free x24893 Free x24894 Free x24895 Free x24896 Free x24897 Free x24898 Free x24899 Free x24900 Free x24901 Free x24902 Free x24903 Free x24904 Free x24905 Free x24906 Free x24907 Free x24908 Free x24909 Free x24910 Free x24911 Free x24912 Free x24913 Free x24914 Free x24915 Free x24916 Free x24917 Free x24918 Free x24919 Free x24920 Free x24921 Free x24922 Free x24923 Free x24924 Free x24925 Free x24926 Free x24927 Free x24928 Free x24929 Free x24930 Free x24931 Free x24932 Free x24933 Free x24934 Free x24935 Free x24936 Free x24937 Free x24938 Free x24939 Free x24940 Free x24941 Free x24942 Free x24943 Free x24944 Free x24945 Free x24946 Free x24947 Free x24948 Free x24949 Free x24950 Free x24951 Free x24952 Free x24953 Free x24954 Free x24955 Free x24956 Free x24957 Free x24958 Free x24959 Free x24960 Free x24961 Free x24962 Free x24963 Free x24964 Free x24965 Free x24966 Free x24967 Free x24968 Free x24969 Free x24970 Free x24971 Free x24972 Free x24973 Free x24974 Free x24975 Free x24976 Free x24977 Free x24978 Free x24979 Free x24980 Free x24981 Free x24982 Free x24983 Free x24984 Free x24985 Free x24986 Free x24987 Free x24988 Free x24989 Free x24990 Free x24991 Free x24992 Free x24993 Free x24994 Free x24995 Free x24996 Free x24997 Free x24998 Free x24999 Free x25000 Free x25001 Free x25002 Free x25003 Free x25004 Free x25005 Free x25006 Free x25007 Free x25008 Free x25009 Free x25010 Free x25011 Free x25012 Free x25013 Free x25014 Free x25015 Free x25016 Free x25017 Free x25018 Free x25019 Free x25020 Free x25021 Free x25022 Free x25023 Free x25024 Free x25025 Free x25026 Free x25027 Free x25028 Free x25029 Free x25030 Free x25031 Free x25032 Free x25033 Free x25034 Free x25035 Free x25036 Free x25037 Free x25038 Free x25039 Free x25040 Free x25041 Free x25042 Free x25043 Free x25044 Free x25045 Free x25046 Free x25047 Free x25048 Free x25049 Free x25050 Free x25051 Free x25052 Free x25053 Free x25054 Free x25055 Free x25056 Free x25057 Free x25058 Free x25059 Free x25060 Free x25061 Free x25062 Free x25063 Free x25064 Free x25065 Free x25066 Free x25067 Free x25068 Free x25069 Free x25070 Free x25071 Free x25072 Free x25073 Free x25074 Free x25075 Free x25076 Free x25077 Free x25078 Free x25079 Free x25080 Free x25081 Free x25082 Free x25083 Free x25084 Free x25085 Free x25086 Free x25087 Free x25088 Free x25089 Free x25090 Free x25091 Free x25092 Free x25093 Free x25094 Free x25095 Free x25096 Free x25097 Free x25098 Free x25099 Free x25100 Free x25101 Free x25102 Free x25103 Free x25104 Free x25105 Free x25106 Free x25107 Free x25108 Free x25109 Free x25110 Free x25111 Free x25112 Free x25113 Free x25114 Free x25115 Free x25116 Free x25117 Free x25118 Free x25119 Free x25120 Free x25121 Free x25122 Free x25123 Free x25124 Free x25125 Free x25126 Free x25127 Free x25128 Free x25129 Free x25130 Free x25131 Free x25132 Free x25133 Free x25134 Free x25135 Free x25136 Free x25137 Free x25138 Free x25139 Free x25140 Free x25141 Free x25142 Free x25143 Free x25144 Free x25145 Free x25146 Free x25147 Free x25148 Free x25149 Free x25150 Free x25151 Free x25152 Free x25153 Free x25154 Free x25155 Free x25156 Free x25157 Free x25158 Free x25159 Free x25160 Free x25161 Free x25162 Free x25163 Free x25164 Free x25165 Free x25166 Free x25167 Free x25168 Free x25169 Free x25170 Free x25171 Free x25172 Free x25173 Free x25174 Free x25175 Free x25176 Free x25177 Free x25178 Free x25179 Free x25180 Free x25181 Free x25182 Free x25183 Free x25184 Free x25185 Free x25186 Free x25187 Free x25188 Free x25189 Free x25190 Free x25191 Free x25192 Free x25193 Free x25194 Free x25195 Free x25196 Free x25197 Free x25198 Free x25199 Free x25200 Free x25201 Free x25202 Free x25203 Free x25204 Free x25205 Free x25206 Free x25207 Free x25208 Free x25209 Free x25210 Free x25211 Free x25212 Free x25213 Free x25214 Free x25215 Free x25216 Free x25217 Free x25218 Free x25219 Free x25220 Free x25221 Free x25222 Free x25223 Free x25224 Free x25225 Free x25226 Free x25227 Free x25228 Free x25229 Free x25230 Free x25231 Free x25232 Free x25233 Free x25234 Free x25235 Free x25236 Free x25237 Free x25238 Free x25239 Free x25240 Free x25241 Free x25242 Free x25243 Free x25244 Free x25245 Free x25246 Free x25247 Free x25248 Free x25249 Free x25250 Free x25251 Free x25252 Free x25253 Free x25254 Free x25255 Free x25256 Free x25257 Free x25258 Free x25259 Free x25260 Free x25261 Free x25262 Free x25263 Free x25264 Free x25265 Free x25266 Free x25267 Free x25268 Free x25269 Free x25270 Free x25271 Free x25272 Free x25273 Free x25274 Free x25275 Free x25276 Free x25277 Free x25278 Free x25279 Free x25280 Free x25281 Free x25282 Free x25283 Free x25284 Free x25285 Free x25286 Free x25287 Free x25288 Free x25289 Free x25290 Free x25291 Free x25292 Free x25293 Free x25294 Free x25295 Free x25296 Free x25297 Free x25298 Free x25299 Free x25300 Free x25301 Free x25302 Free x25303 Free x25304 Free x25305 Free x25306 Free x25307 Free x25308 Free x25309 Free x25310 Free x25311 Free x25312 Free x25313 Free x25314 Free x25315 Free x25316 Free x25317 Free x25318 Free x25319 Free x25320 Free x25321 Free x25322 Free x25323 Free x25324 Free x25325 Free x25326 Free x25327 Free x25328 Free x25329 Free x25330 Free x25331 Free x25332 Free x25333 Free x25334 Free x25335 Free x25336 Free x25337 Free x25338 Free x25339 Free x25340 Free x25341 Free x25342 Free x25343 Free x25344 Free x25345 Free x25346 Free x25347 Free x25348 Free x25349 Free x25350 Free x25351 Free x25352 Free x25353 Free x25354 Free x25355 Free x25356 Free x25357 Free x25358 Free x25359 Free x25360 Free x25361 Free x25362 Free x25363 Free x25364 Free x25365 Free x25366 Free x25367 Free x25368 Free x25369 Free x25370 Free x25371 Free x25372 Free x25373 Free x25374 Free x25375 Free x25376 Free x25377 Free x25378 Free x25379 Free x25380 Free x25381 Free x25382 Free x25383 Free x25384 Free x25385 Free x25386 Free x25387 Free x25388 Free x25389 Free x25390 Free x25391 Free x25392 Free x25393 Free x25394 Free x25395 Free x25396 Free x25397 Free x25398 Free x25399 Free x25400 Free x25401 Free x25402 Free x25403 Free x25404 Free x25405 Free x25406 Free x25407 Free x25408 Free x25409 Free x25410 Free x25411 Free x25412 Free x25413 Free x25414 Free x25415 Free x25416 Free x25417 Free x25418 Free x25419 Free x25420 Free x25421 Free x25422 Free x25423 Free x25424 Free x25425 Free x25426 Free x25427 Free x25428 Free x25429 Free x25430 Free x25431 Free x25432 Free x25433 Free x25434 Free x25435 Free x25436 Free x25437 Free x25438 Free x25439 Free x25440 Free x25441 Free x25442 Free x25443 Free x25444 Free x25445 Free x25446 Free x25447 Free x25448 Free x25449 Free x25450 Free x25451 Free x25452 Free x25453 Free x25454 Free x25455 Free x25456 Free x25457 Free x25458 Free x25459 Free x25460 Free x25461 Free x25462 Free x25463 Free x25464 Free x25465 Free x25466 Free x25467 Free x25468 Free x25469 Free x25470 Free x25471 Free x25472 Free x25473 Free x25474 Free x25475 Free x25476 Free x25477 Free x25478 Free x25479 Free x25480 Free x25481 Free x25482 Free x25483 Free x25484 Free x25485 Free x25486 Free x25487 Free x25488 Free x25489 Free x25490 Free x25491 Free x25492 Free x25493 Free x25494 Free x25495 Free x25496 Free x25497 Free x25498 Free x25499 Free x25500 Free x25501 Free x25502 Free x25503 Free x25504 Free x25505 Free x25506 Free x25507 Free x25508 Free x25509 Free x25510 Free x25511 Free x25512 Free x25513 Free x25514 Free x25515 Free x25516 Free x25517 Free x25518 Free x25519 Free x25520 Free x25521 Free x25522 Free x25523 Free x25524 Free x25525 Free x25526 Free x25527 Free x25528 Free x25529 Free x25530 Free x25531 Free x25532 Free x25533 Free x25534 Free x25535 Free x25536 Free x25537 Free x25538 Free x25539 Free x25540 Free x25541 Free x25542 Free x25543 Free x25544 Free x25545 Free x25546 Free x25547 Free x25548 Free x25549 Free x25550 Free x25551 Free x25552 Free x25553 Free x25554 Free x25555 Free x25556 Free x25557 Free x25558 Free x25559 Free x25560 Free x25561 Free x25562 Free x25563 Free x25564 Free x25565 Free x25566 Free x25567 Free x25568 Free x25569 Free x25570 Free x25571 Free x25572 Free x25573 Free x25574 Free x25575 Free x25576 Free x25577 Free x25578 Free x25579 Free x25580 Free x25581 Free x25582 Free x25583 Free x25584 Free x25585 Free x25586 Free x25587 Free x25588 Free x25589 Free x25590 Free x25591 Free x25592 Free x25593 Free x25594 Free x25595 Free x25596 Free x25597 Free x25598 Free x25599 Free x25600 Free x25601 Free x25602 Free x25603 Free x25604 Free x25605 Free x25606 Free x25607 Free x25608 Free x25609 Free x25610 Free x25611 Free x25612 Free x25613 Free x25614 Free x25615 Free x25616 Free x25617 Free x25618 Free x25619 Free x25620 Free x25621 Free x25622 Free x25623 Free x25624 Free x25625 Free x25626 Free x25627 Free x25628 Free x25629 Free x25630 Free x25631 Free x25632 Free x25633 Free x25634 Free x25635 Free x25636 Free x25637 Free x25638 Free x25639 Free x25640 Free x25641 Free x25642 Free x25643 Free x25644 Free x25645 Free x25646 Free x25647 Free x25648 Free x25649 Free x25650 Free x25651 Free x25652 Free x25653 Free x25654 Free x25655 Free x25656 Free x25657 Free x25658 Free x25659 Free x25660 Free x25661 Free x25662 Free x25663 Free x25664 Free x25665 Free x25666 Free x25667 Free x25668 Free x25669 Free x25670 Free x25671 Free x25672 Free x25673 Free x25674 Free x25675 Free x25676 Free x25677 Free x25678 Free x25679 Free x25680 Free x25681 Free x25682 Free x25683 Free x25684 Free x25685 Free x25686 Free x25687 Free x25688 Free x25689 Free x25690 Free x25691 Free x25692 Free x25693 Free x25694 Free x25695 Free x25696 Free x25697 Free x25698 Free x25699 Free x25700 Free x25701 Free x25702 Free x25703 Free x25704 Free x25705 Free x25706 Free x25707 Free x25708 Free x25709 Free x25710 Free x25711 Free x25712 Free x25713 Free x25714 Free x25715 Free x25716 Free x25717 Free x25718 Free x25719 Free x25720 Free x25721 Free x25722 Free x25723 Free x25724 Free x25725 Free x25726 Free x25727 Free x25728 Free x25729 Free x25730 Free x25731 Free x25732 Free x25733 Free x25734 Free x25735 Free x25736 Free x25737 Free x25738 Free x25739 Free x25740 Free x25741 Free x25742 Free x25743 Free x25744 Free x25745 Free x25746 Free x25747 Free x25748 Free x25749 Free x25750 Free x25751 Free x25752 Free x25753 Free x25754 Free x25755 Free x25756 Free x25757 Free x25758 Free x25759 Free x25760 Free x25761 Free x25762 Free x25763 Free x25764 Free x25765 Free x25766 Free x25767 Free x25768 Free x25769 Free x25770 Free x25771 Free x25772 Free x25773 Free x25774 Free x25775 Free x25776 Free x25777 Free x25778 Free x25779 Free x25780 Free x25781 Free x25782 Free x25783 Free x25784 Free x25785 Free x25786 Free x25787 Free x25788 Free x25789 Free x25790 Free x25791 Free x25792 Free x25793 Free x25794 Free x25795 Free x25796 Free x25797 Free x25798 Free x25799 Free x25800 Free x25801 Free x25802 Free x25803 Free x25804 Free x25805 Free x25806 Free x25807 Free x25808 Free x25809 Free x25810 Free x25811 Free x25812 Free x25813 Free x25814 Free x25815 Free x25816 Free x25817 Free x25818 Free x25819 Free x25820 Free x25821 Free x25822 Free x25823 Free x25824 Free x25825 Free x25826 Free x25827 Free x25828 Free x25829 Free x25830 Free x25831 Free x25832 Free x25833 Free x25834 Free x25835 Free x25836 Free x25837 Free x25838 Free x25839 Free x25840 Free x25841 Free x25842 Free x25843 Free x25844 Free x25845 Free x25846 Free x25847 Free x25848 Free x25849 Free x25850 Free x25851 Free x25852 Free x25853 Free x25854 Free x25855 Free x25856 Free x25857 Free x25858 Free x25859 Free x25860 Free x25861 Free x25862 Free x25863 Free x25864 Free x25865 Free x25866 Free x25867 Free x25868 Free x25869 Free x25870 Free x25871 Free x25872 Free x25873 Free x25874 Free x25875 Free x25876 Free x25877 Free x25878 Free x25879 Free x25880 Free x25881 Free x25882 Free x25883 Free x25884 Free x25885 Free x25886 Free x25887 Free x25888 Free x25889 Free x25890 Free x25891 Free x25892 Free x25893 Free x25894 Free x25895 Free x25896 Free x25897 Free x25898 Free x25899 Free x25900 Free x25901 Free x25902 Free x25903 Free x25904 Free x25905 Free x25906 Free x25907 Free x25908 Free x25909 Free x25910 Free x25911 Free x25912 Free x25913 Free x25914 Free x25915 Free x25916 Free x25917 Free x25918 Free x25919 Free x25920 Free x25921 Free x25922 Free x25923 Free x25924 Free x25925 Free x25926 Free x25927 Free x25928 Free x25929 Free x25930 Free x25931 Free x25932 Free x25933 Free x25934 Free x25935 Free x25936 Free x25937 Free x25938 Free x25939 Free x25940 Free x25941 Free x25942 Free x25943 Free x25944 Free x25945 Free x25946 Free x25947 Free x25948 Free x25949 Free x25950 Free x25951 Free x25952 Free x25953 Free x25954 Free x25955 Free x25956 Free x25957 Free x25958 Free x25959 Free x25960 Free x25961 Free x25962 Free x25963 Free x25964 Free x25965 Free x25966 Free x25967 Free x25968 Free x25969 Free x25970 Free x25971 Free x25972 Free x25973 Free x25974 Free x25975 Free x25976 Free x25977 Free x25978 Free x25979 Free x25980 Free x25981 Free x25982 Free x25983 Free x25984 Free x25985 Free x25986 Free x25987 Free x25988 Free x25989 Free x25990 Free x25991 Free x25992 Free x25993 Free x25994 Free x25995 Free x25996 Free x25997 Free x25998 Free x25999 Free x26000 Free x26001 Free x26002 Free x26003 Free x26004 Free x26005 Free x26006 Free x26007 Free x26008 Free x26009 Free x26010 Free x26011 Free x26012 Free x26013 Free x26014 Free x26015 Free x26016 Free x26017 Free x26018 Free x26019 Free x26020 Free x26021 Free x26022 Free x26023 Free x26024 Free x26025 Free x26026 Free x26027 Free x26028 Free x26029 Free x26030 Free x26031 Free x26032 Free x26033 Free x26034 Free x26035 Free x26036 Free x26037 Free x26038 Free x26039 Free x26040 Free x26041 Free x26042 Free x26043 Free x26044 Free x26045 Free x26046 Free x26047 Free x26048 Free x26049 Free x26050 Free x26051 Free x26052 Free x26053 Free x26054 Free x26055 Free x26056 Free x26057 Free x26058 Free x26059 Free x26060 Free x26061 Free x26062 Free x26063 Free x26064 Free x26065 Free x26066 Free x26067 Free x26068 Free x26069 Free x26070 Free x26071 Free x26072 Free x26073 Free x26074 Free x26075 Free x26076 Free x26077 Free x26078 Free x26079 Free x26080 Free x26081 Free x26082 Free x26083 Free x26084 Free x26085 Free x26086 Free x26087 Free x26088 Free x26089 Free x26090 Free x26091 Free x26092 Free x26093 Free x26094 Free x26095 Free x26096 Free x26097 Free x26098 Free x26099 Free x26100 Free x26101 Free x26102 Free x26103 Free x26104 Free x26105 Free x26106 Free x26107 Free x26108 Free x26109 Free x26110 Free x26111 Free x26112 Free x26113 Free x26114 Free x26115 Free x26116 Free x26117 Free x26118 Free x26119 Free x26120 Free x26121 Free x26122 Free x26123 Free x26124 Free x26125 Free x26126 Free x26127 Free x26128 Free x26129 Free x26130 Free x26131 Free x26132 Free x26133 Free x26134 Free x26135 Free x26136 Free x26137 Free x26138 Free x26139 Free x26140 Free x26141 Free x26142 Free x26143 Free x26144 Free x26145 Free x26146 Free x26147 Free x26148 Free x26149 Free x26150 Free x26151 Free x26152 Free x26153 Free x26154 Free x26155 Free x26156 Free x26157 Free x26158 Free x26159 Free x26160 Free x26161 Free x26162 Free x26163 Free x26164 Free x26165 Free x26166 Free x26167 Free x26168 Free x26169 Free x26170 Free x26171 Free x26172 Free x26173 Free x26174 Free x26175 Free x26176 Free x26177 Free x26178 Free x26179 Free x26180 Free x26181 Free x26182 Free x26183 Free x26184 Free x26185 Free x26186 Free x26187 Free x26188 Free x26189 Free x26190 Free x26191 Free x26192 Free x26193 Free x26194 Free x26195 Free x26196 Free x26197 Free x26198 Free x26199 Free x26200 Free x26201 Free x26202 Free x26203 Free x26204 Free x26205 Free x26206 Free x26207 Free x26208 Free x26209 Free x26210 Free x26211 Free x26212 Free x26213 Free x26214 Free x26215 Free x26216 Free x26217 Free x26218 Free x26219 Free x26220 Free x26221 Free x26222 Free x26223 Free x26224 Free x26225 Free x26226 Free x26227 Free x26228 Free x26229 Free x26230 Free x26231 Free x26232 Free x26233 Free x26234 Free x26235 Free x26236 Free x26237 Free x26238 Free x26239 Free x26240 Free x26241 Free x26242 Free x26243 Free x26244 Free x26245 Free x26246 Free x26247 Free x26248 Free x26249 Free x26250 Free x26251 Free x26252 Free x26253 Free x26254 Free x26255 Free x26256 Free x26257 Free x26258 Free x26259 Free x26260 Free x26261 Free x26262 Free x26263 Free x26264 Free x26265 Free x26266 Free x26267 Free x26268 Free x26269 Free x26270 Free x26271 Free x26272 Free x26273 Free x26274 Free x26275 Free x26276 Free x26277 Free x26278 Free x26279 Free x26280 Free x26281 Free x26282 Free x26283 Free x26284 Free x26285 Free x26286 Free x26287 Free x26288 Free x26289 Free x26290 Free x26291 Free x26292 Free x26293 Free x26294 Free x26295 Free x26296 Free x26297 Free x26298 Free x26299 Free x26300 Free x26301 Free x26302 Free x26303 Free x26304 Free x26305 Free x26306 Free x26307 Free x26308 Free x26309 Free x26310 Free x26311 Free x26312 Free x26313 Free x26314 Free x26315 Free x26316 Free x26317 Free x26318 Free x26319 Free x26320 Free x26321 Free x26322 Free x26323 Free x26324 Free x26325 Free x26326 Free x26327 Free x26328 Free x26329 Free x26330 Free x26331 Free x26332 Free x26333 Free x26334 Free x26335 Free x26336 Free x26337 Free x26338 Free x26339 Free x26340 Free x26341 Free x26342 Free x26343 Free x26344 Free x26345 Free x26346 Free x26347 Free x26348 Free x26349 Free x26350 Free x26351 Free x26352 Free x26353 Free x26354 Free x26355 Free x26356 Free x26357 Free x26358 Free x26359 Free x26360 Free x26361 Free x26362 Free x26363 Free x26364 Free x26365 Free x26366 Free x26367 Free x26368 Free x26369 Free x26370 Free x26371 Free x26372 Free x26373 Free x26374 Free x26375 Free x26376 Free x26377 Free x26378 Free x26379 Free x26380 Free x26381 Free x26382 Free x26383 Free x26384 Free x26385 Free x26386 Free x26387 Free x26388 Free x26389 Free x26390 Free x26391 Free x26392 Free x26393 Free x26394 Free x26395 Free x26396 Free x26397 Free x26398 Free x26399 Free x26400 Free x26401 Free x26402 Free x26403 Free x26404 Free x26405 Free x26406 Free x26407 Free x26408 Free x26409 Free x26410 Free x26411 Free x26412 Free x26413 Free x26414 Free x26415 Free x26416 Free x26417 Free x26418 Free x26419 Free x26420 Free x26421 Free x26422 Free x26423 Free x26424 Free x26425 Free x26426 Free x26427 Free x26428 Free x26429 Free x26430 Free x26431 Free x26432 Free x26433 Free x26434 Free x26435 Free x26436 Free x26437 Free x26438 Free x26439 Free x26440 Free x26441 Free x26442 Free x26443 Free x26444 Free x26445 Free x26446 Free x26447 Free x26448 Free x26449 Free x26450 Free x26451 Free x26452 Free x26453 Free x26454 Free x26455 Free x26456 Free x26457 Free x26458 Free x26459 Free x26460 Free x26461 Free x26462 Free x26463 Free x26464 Free x26465 Free x26466 Free x26467 Free x26468 Free x26469 Free x26470 Free x26471 Free x26472 Free x26473 Free x26474 Free x26475 Free x26476 Free x26477 Free x26478 Free x26479 Free x26480 Free x26481 Free x26482 Free x26483 Free x26484 Free x26485 Free x26486 Free x26487 Free x26488 Free x26489 Free x26490 Free x26491 Free x26492 Free x26493 Free x26494 Free x26495 Free x26496 Free x26497 Free x26498 Free x26499 Free x26500 Free x26501 Free x26502 Free x26503 Free x26504 Free x26505 Free x26506 Free x26507 Free x26508 Free x26509 Free x26510 Free x26511 Free x26512 Free x26513 Free x26514 Free x26515 Free x26516 Free x26517 Free x26518 Free x26519 Free x26520 Free x26521 Free x26522 Free x26523 Free x26524 Free x26525 Free x26526 Free x26527 Free x26528 Free x26529 Free x26530 Free x26531 Free x26532 Free x26533 Free x26534 Free x26535 Free x26536 Free x26537 Free x26538 Free x26539 Free x26540 Free x26541 Free x26542 Free x26543 Free x26544 Free x26545 Free x26546 Free x26547 Free x26548 Free x26549 Free x26550 Free x26551 Free x26552 Free x26553 Free x26554 Free x26555 Free x26556 Free x26557 Free x26558 Free x26559 Free x26560 Free x26561 Free x26562 Free x26563 Free x26564 Free x26565 Free x26566 Free x26567 Free x26568 Free x26569 Free x26570 Free x26571 Free x26572 Free x26573 Free x26574 Free x26575 Free x26576 Free x26577 Free x26578 Free x26579 Free x26580 Free x26581 Free x26582 Free x26583 Free x26584 Free x26585 Free x26586 Free x26587 Free x26588 Free x26589 Free x26590 Free x26591 Free x26592 Free x26593 Free x26594 Free x26595 Free x26596 Free x26597 Free x26598 Free x26599 Free x26600 Free x26601 Free x26602 Free x26603 Free x26604 Free x26605 Free x26606 Free x26607 Free x26608 Free x26609 Free x26610 Free x26611 Free x26612 Free x26613 Free x26614 Free x26615 Free x26616 Free x26617 Free x26618 Free x26619 Free x26620 Free x26621 Free x26622 Free x26623 Free x26624 Free x26625 Free x26626 Free x26627 Free x26628 Free x26629 Free x26630 Free x26631 Free x26632 Free x26633 Free x26634 Free x26635 Free x26636 Free x26637 Free x26638 Free x26639 Free x26640 Free x26641 Free x26642 Free x26643 Free x26644 Free x26645 Free x26646 Free x26647 Free x26648 Free x26649 Free x26650 Free x26651 Free x26652 Free x26653 Free x26654 Free x26655 Free x26656 Free x26657 Free x26658 Free x26659 Free x26660 Free x26661 Free x26662 Free x26663 Free x26664 Free x26665 Free x26666 Free x26667 Free x26668 Free x26669 Free x26670 Free x26671 Free x26672 Free x26673 Free x26674 Free x26675 Free x26676 Free x26677 Free x26678 Free x26679 Free x26680 Free x26681 Free x26682 Free x26683 Free x26684 Free x26685 Free x26686 Free x26687 Free x26688 Free x26689 Free x26690 Free x26691 Free x26692 Free x26693 Free x26694 Free x26695 Free x26696 Free x26697 Free x26698 Free x26699 Free x26700 Free x26701 Free x26702 Free x26703 Free x26704 Free x26705 Free x26706 Free x26707 Free x26708 Free x26709 Free x26710 Free x26711 Free x26712 Free x26713 Free x26714 Free x26715 Free x26716 Free x26717 Free x26718 Free x26719 Free x26720 Free x26721 Free x26722 Free x26723 Free x26724 Free x26725 Free x26726 Free x26727 Free x26728 Free x26729 Free x26730 Free x26731 Free x26732 Free x26733 Free x26734 Free x26735 Free x26736 Free x26737 Free x26738 Free x26739 Free x26740 Free x26741 Free x26742 Free x26743 Free x26744 Free x26745 Free x26746 Free x26747 Free x26748 Free x26749 Free x26750 Free x26751 Free x26752 Free x26753 Free x26754 Free x26755 Free x26756 Free x26757 Free x26758 Free x26759 Free x26760 Free x26761 Free x26762 Free x26763 Free x26764 Free x26765 Free x26766 Free x26767 Free x26768 Free x26769 Free x26770 Free x26771 Free x26772 Free x26773 Free x26774 Free x26775 Free x26776 Free x26777 Free x26778 Free x26779 Free x26780 Free x26781 Free x26782 Free x26783 Free x26784 Free x26785 Free x26786 Free x26787 Free x26788 Free x26789 Free x26790 Free x26791 Free x26792 Free x26793 Free x26794 Free x26795 Free x26796 Free x26797 Free x26798 Free x26799 Free x26800 Free x26801 Free x26802 Free x26803 Free x26804 Free x26805 Free x26806 Free x26807 Free x26808 Free x26809 Free x26810 Free x26811 Free x26812 Free x26813 Free x26814 Free x26815 Free x26816 Free x26817 Free x26818 Free x26819 Free x26820 Free x26821 Free x26822 Free x26823 Free x26824 Free x26825 Free x26826 Free x26827 Free x26828 Free x26829 Free x26830 Free x26831 Free x26832 Free x26833 Free x26834 Free x26835 Free x26836 Free x26837 Free x26838 Free x26839 Free x26840 Free x26841 Free x26842 Free x26843 Free x26844 Free x26845 Free x26846 Free x26847 Free x26848 Free x26849 Free x26850 Free x26851 Free x26852 Free x26853 Free x26854 Free x26855 Free x26856 Free x26857 Free x26858 Free x26859 Free x26860 Free x26861 Free x26862 Free x26863 Free x26864 Free x26865 Free x26866 Free x26867 Free x26868 Free x26869 Free x26870 Free x26871 Free x26872 Free x26873 Free x26874 Free x26875 Free x26876 Free x26877 Free x26878 Free x26879 Free x26880 Free x26881 Free x26882 Free x26883 Free x26884 Free x26885 Free x26886 Free x26887 Free x26888 Free x26889 Free x26890 Free x26891 Free x26892 Free x26893 Free x26894 Free x26895 Free x26896 Free x26897 Free x26898 Free x26899 Free x26900 Free x26901 Free x26902 Free x26903 Free x26904 Free x26905 Free x26906 Free x26907 Free x26908 Free x26909 Free x26910 Free x26911 Free x26912 Free x26913 Free x26914 Free x26915 Free x26916 Free x26917 Free x26918 Free x26919 Free x26920 Free x26921 Free x26922 Free x26923 Free x26924 Free x26925 Free x26926 Free x26927 Free x26928 Free x26929 Free x26930 Free x26931 Free x26932 Free x26933 Free x26934 Free x26935 Free x26936 Free x26937 Free x26938 Free x26939 Free x26940 Free x26941 Free x26942 Free x26943 Free x26944 Free x26945 Free x26946 Free x26947 Free x26948 Free x26949 Free x26950 Free x26951 Free x26952 Free x26953 Free x26954 Free x26955 Free x26956 Free x26957 Free x26958 Free x26959 Free x26960 Free x26961 Free x26962 Free x26963 Free x26964 Free x26965 Free x26966 Free x26967 Free x26968 Free x26969 Free x26970 Free x26971 Free x26972 Free x26973 Free x26974 Free x26975 Free x26976 Free x26977 Free x26978 Free x26979 Free x26980 Free x26981 Free x26982 Free x26983 Free x26984 Free x26985 Free x26986 Free x26987 Free x26988 Free x26989 Free x26990 Free x26991 Free x26992 Free x26993 Free x26994 Free x26995 Free x26996 Free x26997 Free x26998 Free x26999 Free x27000 Free x27001 Free x27002 Free x27003 Free x27004 Free x27005 Free x27006 Free x27007 Free x27008 Free x27009 Free x27010 Free x27011 Free x27012 Free x27013 Free x27014 Free x27015 Free x27016 Free x27017 Free x27018 Free x27019 Free x27020 Free x27021 Free x27022 Free x27023 Free x27024 Free x27025 Free x27026 Free x27027 Free x27028 Free x27029 Free x27030 Free x27031 Free x27032 Free x27033 Free x27034 Free x27035 Free x27036 Free x27037 Free x27038 Free x27039 Free x27040 Free x27041 Free x27042 Free x27043 Free x27044 Free x27045 Free x27046 Free x27047 Free x27048 Free x27049 Free x27050 Free x27051 Free x27052 Free x27053 Free x27054 Free x27055 Free x27056 Free x27057 Free x27058 Free x27059 Free x27060 Free x27061 Free x27062 Free x27063 Free x27064 Free x27065 Free x27066 Free x27067 Free x27068 Free x27069 Free x27070 Free x27071 Free x27072 Free x27073 Free x27074 Free x27075 Free x27076 Free x27077 Free x27078 Free x27079 Free x27080 Free x27081 Free x27082 Free x27083 Free x27084 Free x27085 Free x27086 Free x27087 Free x27088 Free x27089 Free x27090 Free x27091 Free x27092 Free x27093 Free x27094 Free x27095 Free x27096 Free x27097 Free x27098 Free x27099 Free x27100 Free x27101 Free x27102 Free x27103 Free x27104 Free x27105 Free x27106 Free x27107 Free x27108 Free x27109 Free x27110 Free x27111 Free x27112 Free x27113 Free x27114 Free x27115 Free x27116 Free x27117 Free x27118 Free x27119 Free x27120 Free x27121 Free x27122 Free x27123 Free x27124 Free x27125 Free x27126 Free x27127 Free x27128 Free x27129 Free x27130 Free x27131 Free x27132 Free x27133 Free x27134 Free x27135 Free x27136 Free x27137 Free x27138 Free x27139 Free x27140 Free x27141 Free x27142 Free x27143 Free x27144 Free x27145 Free x27146 Free x27147 Free x27148 Free x27149 Free x27150 Free x27151 Free x27152 Free x27153 Free x27154 Free x27155 Free x27156 Free x27157 Free x27158 Free x27159 Free x27160 Free x27161 Free x27162 Free x27163 Free x27164 Free x27165 Free x27166 Free x27167 Free x27168 Free x27169 Free x27170 Free x27171 Free x27172 Free x27173 Free x27174 Free x27175 Free x27176 Free x27177 Free x27178 Free x27179 Free x27180 Free x27181 Free x27182 Free x27183 Free x27184 Free x27185 Free x27186 Free x27187 Free x27188 Free x27189 Free x27190 Free x27191 Free x27192 Free x27193 Free x27194 Free x27195 Free x27196 Free x27197 Free x27198 Free x27199 Free x27200 Free x27201 Free x27202 Free x27203 Free x27204 Free x27205 Free x27206 Free x27207 Free x27208 Free x27209 Free x27210 Free x27211 Free x27212 Free x27213 Free x27214 Free x27215 Free x27216 Free x27217 Free x27218 Free x27219 Free x27220 Free x27221 Free x27222 Free x27223 Free x27224 Free x27225 Free x27226 Free x27227 Free x27228 Free x27229 Free x27230 Free x27231 Free x27232 Free x27233 Free x27234 Free x27235 Free x27236 Free x27237 Free x27238 Free x27239 Free x27240 Free x27241 Free x27242 Free x27243 Free x27244 Free x27245 Free x27246 Free x27247 Free x27248 Free x27249 Free x27250 Free x27251 Free x27252 Free x27253 Free x27254 Free x27255 Free x27256 Free x27257 Free x27258 Free x27259 Free x27260 Free x27261 Free x27262 Free x27263 Free x27264 Free x27265 Free x27266 Free x27267 Free x27268 Free x27269 Free x27270 Free x27271 Free x27272 Free x27273 Free x27274 Free x27275 Free x27276 Free x27277 Free x27278 Free x27279 Free x27280 Free x27281 Free x27282 Free x27283 Free x27284 Free x27285 Free x27286 Free x27287 Free x27288 Free x27289 Free x27290 Free x27291 Free x27292 Free x27293 Free x27294 Free x27295 Free x27296 Free x27297 Free x27298 Free x27299 Free x27300 Free x27301 Free x27302 Free x27303 Free x27304 Free x27305 Free x27306 Free x27307 Free x27308 Free x27309 Free x27310 Free x27311 Free x27312 Free x27313 Free x27314 Free x27315 Free x27316 Free x27317 Free x27318 Free x27319 Free x27320 Free x27321 Free x27322 Free x27323 Free x27324 Free x27325 Free x27326 Free x27327 Free x27328 Free x27329 Free x27330 Free x27331 Free x27332 Free x27333 Free x27334 Free x27335 Free x27336 Free x27337 Free x27338 Free x27339 Free x27340 Free x27341 Free x27342 Free x27343 Free x27344 Free x27345 Free x27346 Free x27347 Free x27348 Free x27349 Free x27350 Free x27351 Free x27352 Free x27353 Free x27354 Free x27355 Free x27356 Free x27357 Free x27358 Free x27359 Free x27360 Free x27361 Free x27362 Free x27363 Free x27364 Free x27365 Free x27366 Free x27367 Free x27368 Free x27369 Free x27370 Free x27371 Free x27372 Free x27373 Free x27374 Free x27375 Free x27376 Free x27377 Free x27378 Free x27379 Free x27380 Free x27381 Free x27382 Free x27383 Free x27384 Free x27385 Free x27386 Free x27387 Free x27388 Free x27389 Free x27390 Free x27391 Free x27392 Free x27393 Free x27394 Free x27395 Free x27396 Free x27397 Free x27398 Free x27399 Free x27400 Free x27401 Free x27402 Free x27403 Free x27404 Free x27405 Free x27406 Free x27407 Free x27408 Free x27409 Free x27410 Free x27411 Free x27412 Free x27413 Free x27414 Free x27415 Free x27416 Free x27417 Free x27418 Free x27419 Free x27420 Free x27421 Free x27422 Free x27423 Free x27424 Free x27425 Free x27426 Free x27427 Free x27428 Free x27429 Free x27430 Free x27431 Free x27432 Free x27433 Free x27434 Free x27435 Free x27436 Free x27437 Free x27438 Free x27439 Free x27440 Free x27441 Free x27442 Free x27443 Free x27444 Free x27445 Free x27446 Free x27447 Free x27448 Free x27449 Free x27450 Free x27451 Free x27452 Free x27453 Free x27454 Free x27455 Free x27456 Free x27457 Free x27458 Free x27459 Free x27460 Free x27461 Free x27462 Free x27463 Free x27464 Free x27465 Free x27466 Free x27467 Free x27468 Free x27469 Free x27470 Free x27471 Free x27472 Free x27473 Free x27474 Free x27475 Free x27476 Free x27477 Free x27478 Free x27479 Free x27480 Free x27481 Free x27482 Free x27483 Free x27484 Free x27485 Free x27486 Free x27487 Free x27488 Free x27489 Free x27490 Free x27491 Free x27492 Free x27493 Free x27494 Free x27495 Free x27496 Free x27497 Free x27498 Free x27499 Free x27500 Free x27501 Free x27502 Free x27503 Free x27504 Free x27505 Free x27506 Free x27507 Free x27508 Free x27509 Free x27510 Free x27511 Free x27512 Free x27513 Free x27514 Free x27515 Free x27516 Free x27517 Free x27518 Free x27519 Free x27520 Free x27521 Free x27522 Free x27523 Free x27524 Free x27525 Free x27526 Free x27527 Free x27528 Free x27529 Free x27530 Free x27531 Free x27532 Free x27533 Free x27534 Free x27535 Free x27536 Free x27537 Free x27538 Free x27539 Free x27540 Free x27541 Free x27542 Free x27543 Free x27544 Free x27545 Free x27546 Free x27547 Free x27548 Free x27549 Free x27550 Free x27551 Free x27552 Free x27553 Free x27554 Free x27555 Free x27556 Free x27557 Free x27558 Free x27559 Free x27560 Free x27561 Free x27562 Free x27563 Free x27564 Free x27565 Free x27566 Free x27567 Free x27568 Free x27569 Free x27570 Free x27571 Free x27572 Free x27573 Free x27574 Free x27575 Free x27576 Free x27577 Free x27578 Free x27579 Free x27580 Free x27581 Free x27582 Free x27583 Free x27584 Free x27585 Free x27586 Free x27587 Free x27588 Free x27589 Free x27590 Free x27591 Free x27592 Free x27593 Free x27594 Free x27595 Free x27596 Free x27597 Free x27598 Free x27599 Free x27600 Free x27601 Free x27602 Free x27603 Free x27604 Free x27605 Free x27606 Free x27607 Free x27608 Free x27609 Free x27610 Free x27611 Free x27612 Free x27613 Free x27614 Free x27615 Free x27616 Free x27617 Free x27618 Free x27619 Free x27620 Free x27621 Free x27622 Free x27623 Free x27624 Free x27625 Free x27626 Free x27627 Free x27628 Free x27629 Free x27630 Free x27631 Free x27632 Free x27633 Free x27634 Free x27635 Free x27636 Free x27637 Free x27638 Free x27639 Free x27640 Free x27641 Free x27642 Free x27643 Free x27644 Free x27645 Free x27646 Free x27647 Free x27648 Free x27649 Free x27650 Free x27651 Free x27652 Free x27653 Free x27654 Free x27655 Free x27656 Free x27657 Free x27658 Free x27659 Free x27660 Free x27661 Free x27662 Free x27663 Free x27664 Free x27665 Free x27666 Free x27667 Free x27668 Free x27669 Free x27670 Free x27671 Free x27672 Free x27673 Free x27674 Free x27675 Free x27676 Free x27677 Free x27678 Free x27679 Free x27680 Free x27681 Free x27682 Free x27683 Free x27684 Free x27685 Free x27686 Free x27687 Free x27688 Free x27689 Free x27690 Free x27691 Free x27692 Free x27693 Free x27694 Free x27695 Free x27696 Free x27697 Free x27698 Free x27699 Free x27700 Free x27701 Free x27702 Free x27703 Free x27704 Free x27705 Free x27706 Free x27707 Free x27708 Free x27709 Free x27710 Free x27711 Free x27712 Free x27713 Free x27714 Free x27715 Free x27716 Free x27717 Free x27718 Free x27719 Free x27720 Free x27721 Free x27722 Free x27723 Free x27724 Free x27725 Free x27726 Free x27727 Free x27728 Free x27729 Free x27730 Free x27731 Free x27732 Free x27733 Free x27734 Free x27735 Free x27736 Free x27737 Free x27738 Free x27739 Free x27740 Free x27741 Free x27742 Free x27743 Free x27744 Free x27745 Free x27746 Free x27747 Free x27748 Free x27749 Free x27750 Free x27751 Free x27752 Free x27753 Free x27754 Free x27755 Free x27756 Free x27757 Free x27758 Free x27759 Free x27760 Free x27761 Free x27762 Free x27763 Free x27764 Free x27765 Free x27766 Free x27767 Free x27768 Free x27769 Free x27770 Free x27771 Free x27772 Free x27773 Free x27774 Free x27775 Free x27776 Free x27777 Free x27778 Free x27779 Free x27780 Free x27781 Free x27782 Free x27783 Free x27784 Free x27785 Free x27786 Free x27787 Free x27788 Free x27789 Free x27790 Free x27791 Free x27792 Free x27793 Free x27794 Free x27795 Free x27796 Free x27797 Free x27798 Free x27799 Free x27800 Free x27801 Free x27802 Free x27803 Free x27804 Free x27805 Free x27806 Free x27807 Free x27808 Free x27809 Free x27810 Free x27811 Free x27812 Free x27813 Free x27814 Free x27815 Free x27816 Free x27817 Free x27818 Free x27819 Free x27820 Free x27821 Free x27822 Free x27823 Free x27824 Free x27825 Free x27826 Free x27827 Free x27828 Free x27829 Free x27830 Free x27831 Free x27832 Free x27833 Free x27834 Free x27835 Free x27836 Free x27837 Free x27838 Free x27839 Free x27840 Free x27841 Free x27842 Free x27843 Free x27844 Free x27845 Free x27846 Free x27847 Free x27848 Free x27849 Free x27850 Free x27851 Free x27852 Free x27853 Free x27854 Free x27855 Free x27856 Free x27857 Free x27858 Free x27859 Free x27860 Free x27861 Free x27862 Free x27863 Free x27864 Free x27865 Free x27866 Free x27867 Free x27868 Free x27869 Free x27870 Free x27871 Free x27872 Free x27873 Free x27874 Free x27875 Free x27876 Free x27877 Free x27878 Free x27879 Free x27880 Free x27881 Free x27882 Free x27883 Free x27884 Free x27885 Free x27886 Free x27887 Free x27888 Free x27889 Free x27890 Free x27891 Free x27892 Free x27893 Free x27894 Free x27895 Free x27896 Free x27897 Free x27898 Free x27899 Free x27900 Free x27901 Free x27902 Free x27903 Free x27904 Free x27905 Free x27906 Free x27907 Free x27908 Free x27909 Free x27910 Free x27911 Free x27912 Free x27913 Free x27914 Free x27915 Free x27916 Free x27917 Free x27918 Free x27919 Free x27920 Free x27921 Free x27922 Free x27923 Free x27924 Free x27925 Free x27926 Free x27927 Free x27928 Free x27929 Free x27930 Free x27931 Free x27932 Free x27933 Free x27934 Free x27935 Free x27936 Free x27937 Free x27938 Free x27939 Free x27940 Free x27941 Free x27942 Free x27943 Free x27944 Free x27945 Free x27946 Free x27947 Free x27948 Free x27949 Free x27950 Free x27951 Free x27952 Free x27953 Free x27954 Free x27955 Free x27956 Free x27957 Free x27958 Free x27959 Free x27960 Free x27961 Free x27962 Free x27963 Free x27964 Free x27965 Free x27966 Free x27967 Free x27968 Free x27969 Free x27970 Free x27971 Free x27972 Free x27973 Free x27974 Free x27975 Free x27976 Free x27977 Free x27978 Free x27979 Free x27980 Free x27981 Free x27982 Free x27983 Free x27984 Free x27985 Free x27986 Free x27987 Free x27988 Free x27989 Free x27990 Free x27991 Free x27992 Free x27993 Free x27994 Free x27995 Free x27996 Free x27997 Free x27998 Free x27999 Free x28000 Free x28001 Free x28002 Free x28003 Free x28004 Free x28005 Free x28006 Free x28007 Free x28008 Free x28009 Free x28010 Free x28011 Free x28012 Free x28013 Free x28014 Free x28015 Free x28016 Free x28017 Free x28018 Free x28019 Free x28020 Free x28021 Free x28022 Free x28023 Free x28024 Free x28025 Free x28026 Free x28027 Free x28028 Free x28029 Free x28030 Free x28031 Free x28032 Free x28033 Free x28034 Free x28035 Free x28036 Free x28037 Free x28038 Free x28039 Free x28040 Free x28041 Free x28042 Free x28043 Free x28044 Free x28045 Free x28046 Free x28047 Free x28048 Free x28049 Free x28050 Free x28051 Free x28052 Free x28053 Free x28054 Free x28055 Free x28056 Free x28057 Free x28058 Free x28059 Free x28060 Free x28061 Free x28062 Free x28063 Free x28064 Free x28065 Free x28066 Free x28067 Free x28068 Free x28069 Free x28070 Free x28071 Free x28072 Free x28073 Free x28074 Free x28075 Free x28076 Free x28077 Free x28078 Free x28079 Free x28080 Free x28081 Free x28082 Free x28083 Free x28084 Free x28085 Free x28086 Free x28087 Free x28088 Free x28089 Free x28090 Free x28091 Free x28092 Free x28093 Free x28094 Free x28095 Free x28096 Free x28097 Free x28098 Free x28099 Free x28100 Free x28101 Free x28102 Free x28103 Free x28104 Free x28105 Free x28106 Free x28107 Free x28108 Free x28109 Free x28110 Free x28111 Free x28112 Free x28113 Free x28114 Free x28115 Free x28116 Free x28117 Free x28118 Free x28119 Free x28120 Free x28121 Free x28122 Free x28123 Free x28124 Free x28125 Free x28126 Free x28127 Free x28128 Free x28129 Free x28130 Free x28131 Free x28132 Free x28133 Free x28134 Free x28135 Free x28136 Free x28137 Free x28138 Free x28139 Free x28140 Free x28141 Free x28142 Free x28143 Free x28144 Free x28145 Free x28146 Free x28147 Free x28148 Free x28149 Free x28150 Free x28151 Free x28152 Free x28153 Free x28154 Free x28155 Free x28156 Free x28157 Free x28158 Free x28159 Free x28160 Free x28161 Free x28162 Free x28163 Free x28164 Free x28165 Free x28166 Free x28167 Free x28168 Free x28169 Free x28170 Free x28171 Free x28172 Free x28173 Free x28174 Free x28175 Free x28176 Free x28177 Free x28178 Free x28179 Free x28180 Free x28181 Free x28182 Free x28183 Free x28184 Free x28185 Free x28186 Free x28187 Free x28188 Free x28189 Free x28190 Free x28191 Free x28192 Free x28193 Free x28194 Free x28195 Free x28196 Free x28197 Free x28198 Free x28199 Free x28200 Free x28201 Free x28202 Free x28203 Free x28204 Free x28205 Free x28206 Free x28207 Free x28208 Free x28209 Free x28210 Free x28211 Free x28212 Free x28213 Free x28214 Free x28215 Free x28216 Free x28217 Free x28218 Free x28219 Free x28220 Free x28221 Free x28222 Free x28223 Free x28224 Free x28225 Free x28226 Free x28227 Free x28228 Free x28229 Free x28230 Free x28231 Free x28232 Free x28233 Free x28234 Free x28235 Free x28236 Free x28237 Free x28238 Free x28239 Free x28240 Free x28241 Free x28242 Free x28243 Free x28244 Free x28245 Free x28246 Free x28247 Free x28248 Free x28249 Free x28250 Free x28251 Free x28252 Free x28253 Free x28254 Free x28255 Free x28256 Free x28257 Free x28258 Free x28259 Free x28260 Free x28261 Free x28262 Free x28263 Free x28264 Free x28265 Free x28266 Free x28267 Free x28268 Free x28269 Free x28270 Free x28271 Free x28272 Free x28273 Free x28274 Free x28275 Free x28276 Free x28277 Free x28278 Free x28279 Free x28280 Free x28281 Free x28282 Free x28283 Free x28284 Free x28285 Free x28286 Free x28287 Free x28288 Free x28289 Free x28290 Free x28291 Free x28292 Free x28293 Free x28294 Free x28295 Free x28296 Free x28297 Free x28298 Free x28299 Free x28300 Free x28301 Free x28302 Free x28303 Free x28304 Free x28305 Free x28306 Free x28307 Free x28308 Free x28309 Free x28310 Free x28311 Free x28312 Free x28313 Free x28314 Free x28315 Free x28316 Free x28317 Free x28318 Free x28319 Free x28320 Free x28321 Free x28322 Free x28323 Free x28324 Free x28325 Free x28326 Free x28327 Free x28328 Free x28329 Free x28330 Free x28331 Free x28332 Free x28333 Free x28334 Free x28335 Free x28336 Free x28337 Free x28338 Free x28339 Free x28340 Free x28341 Free x28342 Free x28343 Free x28344 Free x28345 Free x28346 Free x28347 Free x28348 Free x28349 Free x28350 Free x28351 Free x28352 Free x28353 Free x28354 Free x28355 Free x28356 Free x28357 Free x28358 Free x28359 Free x28360 Free x28361 Free x28362 Free x28363 Free x28364 Free x28365 Free x28366 Free x28367 Free x28368 Free x28369 Free x28370 Free x28371 Free x28372 Free x28373 Free x28374 Free x28375 Free x28376 Free x28377 Free x28378 Free x28379 Free x28380 Free x28381 Free x28382 Free x28383 Free x28384 Free x28385 Free x28386 Free x28387 Free x28388 Free x28389 Free x28390 Free x28391 Free x28392 Free x28393 Free x28394 Free x28395 Free x28396 Free x28397 Free x28398 Free x28399 Free x28400 Free x28401 Free x28402 Free x28403 Free x28404 Free x28405 Free x28406 Free x28407 Free x28408 Free x28409 Free x28410 Free x28411 Free x28412 Free x28413 Free x28414 Free x28415 Free x28416 Free x28417 Free x28418 Free x28419 Free x28420 Free x28421 Free x28422 Free x28423 Free x28424 Free x28425 Free x28426 Free x28427 Free x28428 Free x28429 Free x28430 Free x28431 Free x28432 Free x28433 Free x28434 Free x28435 Free x28436 Free x28437 Free x28438 Free x28439 Free x28440 Free x28441 Free x28442 Free x28443 Free x28444 Free x28445 Free x28446 Free x28447 Free x28448 Free x28449 Free x28450 Free x28451 Free x28452 Free x28453 Free x28454 Free x28455 Free x28456 Free x28457 Free x28458 Free x28459 Free x28460 Free x28461 Free x28462 Free x28463 Free x28464 Free x28465 Free x28466 Free x28467 Free x28468 Free x28469 Free x28470 Free x28471 Free x28472 Free x28473 Free x28474 Free x28475 Free x28476 Free x28477 Free x28478 Free x28479 Free x28480 Free x28481 Free x28482 Free x28483 Free x28484 Free x28485 Free x28486 Free x28487 Free x28488 Free x28489 Free x28490 Free x28491 Free x28492 Free x28493 Free x28494 Free x28495 Free x28496 Free x28497 Free x28498 Free x28499 Free x28500 Free x28501 Free x28502 Free x28503 Free x28504 Free x28505 Free x28506 Free x28507 Free x28508 Free x28509 Free x28510 Free x28511 Free x28512 Free x28513 Free x28514 Free x28515 Free x28516 Free x28517 Free x28518 Free x28519 Free x28520 Free x28521 Free x28522 Free x28523 Free x28524 Free x28525 Free x28526 Free x28527 Free x28528 Free x28529 Free x28530 Free x28531 Free x28532 Free x28533 Free x28534 Free x28535 Free x28536 Free x28537 Free x28538 Free x28539 Free x28540 Free x28541 Free x28542 Free x28543 Free x28544 Free x28545 Free x28546 Free x28547 Free x28548 Free x28549 Free x28550 Free x28551 Free x28552 Free x28553 Free x28554 Free x28555 Free x28556 Free x28557 Free x28558 Free x28559 Free x28560 Free x28561 Free x28562 Free x28563 Free x28564 Free x28565 Free x28566 Free x28567 Free x28568 Free x28569 Free x28570 Free x28571 Free x28572 Free x28573 Free x28574 Free x28575 Free x28576 Free x28577 Free x28578 Free x28579 Free x28580 Free x28581 Free x28582 Free x28583 Free x28584 Free x28585 Free x28586 Free x28587 Free x28588 Free x28589 Free x28590 Free x28591 Free x28592 Free x28593 Free x28594 Free x28595 Free x28596 Free x28597 Free x28598 Free x28599 Free x28600 Free x28601 Free x28602 Free x28603 Free x28604 Free x28605 Free x28606 Free x28607 Free x28608 Free x28609 Free x28610 Free x28611 Free x28612 Free x28613 Free x28614 Free x28615 Free x28616 Free x28617 Free x28618 Free x28619 Free x28620 Free x28621 Free x28622 Free x28623 Free x28624 Free x28625 Free x28626 Free x28627 Free x28628 Free x28629 Free x28630 Free x28631 Free x28632 Free x28633 Free x28634 Free x28635 Free x28636 Free x28637 Free x28638 Free x28639 Free x28640 Free x28641 Free x28642 Free x28643 Free x28644 Free x28645 Free x28646 Free x28647 Free x28648 Free x28649 Free x28650 Free x28651 Free x28652 Free x28653 Free x28654 Free x28655 Free x28656 Free x28657 Free x28658 Free x28659 Free x28660 Free x28661 Free x28662 Free x28663 Free x28664 Free x28665 Free x28666 Free x28667 Free x28668 Free x28669 Free x28670 Free x28671 Free x28672 Free x28673 Free x28674 Free x28675 Free x28676 Free x28677 Free x28678 Free x28679 Free x28680 Free x28681 Free x28682 Free x28683 Free x28684 Free x28685 Free x28686 Free x28687 Free x28688 Free x28689 Free x28690 Free x28691 Free x28692 Free x28693 Free x28694 Free x28695 Free x28696 Free x28697 Free x28698 Free x28699 Free x28700 Free x28701 Free x28702 Free x28703 Free x28704 Free x28705 Free x28706 Free x28707 Free x28708 Free x28709 Free x28710 Free x28711 Free x28712 Free x28713 Free x28714 Free x28715 Free x28716 Free x28717 Free x28718 Free x28719 Free x28720 Free x28721 Free x28722 Free x28723 Free x28724 Free x28725 Free x28726 Free x28727 Free x28728 Free x28729 Free x28730 Free x28731 Free x28732 Free x28733 Free x28734 Free x28735 Free x28736 Free x28737 Free x28738 Free x28739 Free x28740 Free x28741 Free x28742 Free x28743 Free x28744 Free x28745 Free x28746 Free x28747 Free x28748 Free x28749 Free x28750 Free x28751 Free x28752 Free x28753 Free x28754 Free x28755 Free x28756 Free x28757 Free x28758 Free x28759 Free x28760 Free x28761 Free x28762 Free x28763 Free x28764 Free x28765 Free x28766 Free x28767 Free x28768 Free x28769 Free x28770 Free x28771 Free x28772 Free x28773 Free x28774 Free x28775 Free x28776 Free x28777 Free x28778 Free x28779 Free x28780 Free x28781 Free x28782 Free x28783 Free x28784 Free x28785 Free x28786 Free x28787 Free x28788 Free x28789 Free x28790 Free x28791 Free x28792 Free x28793 Free x28794 Free x28795 Free x28796 Free x28797 Free x28798 Free x28799 Free x28800 Free x28801 Free x28802 Free x28803 Free x28804 Free x28805 Free x28806 Free x28807 Free x28808 Free x28809 Free x28810 Free x28811 Free x28812 Free x28813 Free x28814 Free x28815 Free x28816 Free x28817 Free x28818 Free x28819 Free x28820 Free x28821 Free x28822 Free x28823 Free x28824 Free x28825 Free x28826 Free x28827 Free x28828 Free x28829 Free x28830 Free x28831 Free x28832 Free x28833 Free x28834 Free x28835 Free x28836 Free x28837 Free x28838 Free x28839 Free x28840 Free x28841 Free x28842 Free x28843 Free x28844 Free x28845 Free x28846 Free x28847 Free x28848 Free x28849 Free x28850 Free x28851 Free x28852 Free x28853 Free x28854 Free x28855 Free x28856 Free x28857 Free x28858 Free x28859 Free x28860 Free x28861 Free x28862 Free x28863 Free x28864 Free x28865 Free x28866 Free x28867 Free x28868 Free x28869 Free x28870 Free x28871 Free x28872 Free x28873 Free x28874 Free x28875 Free x28876 Free x28877 Free x28878 Free x28879 Free x28880 Free x28881 Free x28882 Free x28883 Free x28884 Free x28885 Free x28886 Free x28887 Free x28888 Free x28889 Free x28890 Free x28891 Free x28892 Free x28893 Free x28894 Free x28895 Free x28896 Free x28897 Free x28898 Free x28899 Free x28900 Free x28901 Free x28902 Free x28903 Free x28904 Free x28905 Free x28906 Free x28907 Free x28908 Free x28909 Free x28910 Free x28911 Free x28912 Free x28913 Free x28914 Free x28915 Free x28916 Free x28917 Free x28918 Free x28919 Free x28920 Free x28921 Free x28922 Free x28923 Free x28924 Free x28925 Free x28926 Free x28927 Free x28928 Free x28929 Free x28930 Free x28931 Free x28932 Free x28933 Free x28934 Free x28935 Free x28936 Free x28937 Free x28938 Free x28939 Free x28940 Free x28941 Free x28942 Free x28943 Free x28944 Free x28945 Free x28946 Free x28947 Free x28948 Free x28949 Free x28950 Free Binary b2 b3 b4 b5 b6 b7 b8 b9 b10 b11 b12 b13 b14 b15 b16 b17 b18 b19 b20 b21 b22 b23 b24 b25 b26 b27 b28 b29 b30 b31 b32 b33 b34 b35 b36 b37 b38 b39 b40 b41 b42 b43 b44 b45 b46 b47 b48 b49 b50 b51 b52 b53 b54 b55 b56 b57 b58 b59 b60 b61 b62 b63 b64 b65 b66 b67 b68 b69 b70 b71 b72 b73 b74 b75 b76 b77 b78 b79 b80 b81 b82 b83 b84 b85 b86 b87 b88 b89 b90 b91 b92 b93 b94 b95 b96 b97 b98 b99 b100 b101 b102 b103 b104 b105 b106 b107 b108 b109 b110 b111 b112 b113 b114 b115 b116 b117 b118 b119 b120 b121 b122 b123 b124 b125 b126 b127 b128 b129 b130 b131 b132 b133 b134 b135 b136 b137 b138 b139 b140 b141 b142 b143 b144 b145 b146 b147 b148 b149 b150 b151 b152 b153 b154 b155 b156 b157 b158 b159 b160 b161 b162 b163 b164 b165 b166 b167 b168 b169 b170 b171 b172 b173 b174 b175 b176 b177 b178 b179 b180 b181 b182 b183 b184 b185 b186 b187 b188 b189 b190 b191 b192 b193 b194 b195 b196 b197 b198 b199 b200 b201 b202 b203 b204 b205 b206 b207 b208 b209 b210 b211 b212 b213 b214 b215 b216 b217 b218 b219 b220 b221 b222 b223 b224 b225 b226 b227 b228 b229 b230 b231 b232 b233 b234 b235 b236 b237 b238 b239 b240 b241 b242 b243 b244 b245 b246 b247 b248 b249 b250 b251 b252 b253 b254 b255 b256 b257 b258 b259 b260 b261 b262 b263 b264 b265 b266 b267 b268 b269 b270 b271 b272 b273 b274 b275 b276 b277 b278 b279 b280 b281 b282 b283 b284 b285 b286 b287 b288 b289 b290 b291 b292 b293 b294 b295 b296 b297 b298 b299 b300 b301 b302 b303 b304 b305 b306 b307 b308 b309 b310 b311 b312 b313 b314 b315 b316 b317 b318 b319 b320 b321 b322 b323 b324 b325 b326 b327 b328 b329 b330 b331 b332 b333 b334 b335 b336 b337 b338 b339 b340 b341 b342 b343 b344 b345 b346 b347 b348 b349 b350 b351 b352 b353 b354 b355 b356 b357 b358 b359 b360 b361 b362 b363 b364 b365 b366 b367 b368 b369 b370 b371 b372 b373 b374 b375 b376 b377 b378 b379 b380 b381 b382 b383 b384 b385 b386 b387 b388 b389 b390 b391 b392 b393 b394 b395 b396 b397 b398 b399 b400 b401 b402 b403 b404 b405 b406 b407 b408 b409 b410 b411 b412 b413 b414 b415 b416 b417 b418 b419 b420 b421 b422 b423 b424 b425 b426 b427 b428 b429 b430 b431 b432 b433 b434 b435 b436 b437 b438 b439 b440 b441 b442 b443 b444 b445 b446 b447 b448 b449 b450 b451 b452 b453 b454 b455 b456 b457 b458 b459 b460 b461 b462 b463 b464 b465 b466 b467 b468 b469 b470 b471 b472 b473 b474 b475 b476 b477 b478 b479 b480 b481 b482 b483 b484 b485 b486 b487 b488 b489 b490 b491 b492 b493 b494 b495 b496 b497 b498 b499 b500 b501 b502 b503 b504 b505 b506 b507 b508 b509 b510 b511 b512 b513 b514 b515 b516 b517 b518 b519 b520 b521 b522 b523 b524 b525 b526 b527 b528 b529 b530 b531 b532 b533 b534 b535 b536 b537 b538 b539 b540 b541 b542 b543 b544 b545 b546 b547 b548 b549 b550 b551 b552 b553 b554 b555 b556 b557 b558 b559 b560 b561 b562 b563 b564 b565 b566 b567 b568 b569 b570 b571 b572 b573 b574 b575 b576 b577 b578 b579 b580 b581 b582 b583 b584 b585 b586 b587 b588 b589 b590 b591 b592 b593 b594 b595 b596 b597 b598 b599 b600 b601 b602 b603 b604 b605 b606 b607 b608 b609 b610 b611 b612 b613 b614 b615 b616 b617 b618 b619 b620 b621 b622 b623 b624 b625 b626 b627 b628 b629 b630 b631 b632 b633 b634 b635 b636 b637 b638 b639 b640 b641 b642 b643 b644 b645 b646 b647 b648 b649 b650 b651 b652 b653 b654 b655 b656 b657 b658 b659 b660 b661 b662 b663 b664 b665 b666 b667 b668 b669 b670 b671 b672 b673 b674 b675 b676 b677 b678 b679 b680 b681 b682 b683 b684 b685 b686 b687 b688 b689 b690 b691 b692 b693 b694 b695 b696 b697 b698 b699 b700 b701 b702 b703 b704 b705 b706 b707 b708 b709 b710 b711 b712 b713 b714 b715 b716 b717 b718 b719 b720 b721 b722 b723 b724 b725 b726 b727 b728 b729 b730 b731 b732 b733 b734 b735 b736 b737 b738 b739 b740 b741 b742 b743 b744 b745 b746 b747 b748 b749 b750 b751 b752 b753 b754 b755 b756 b757 b758 b759 b760 b761 b762 b763 b764 b765 b766 b767 b768 b769 b770 b771 b772 b773 b774 b775 b776 b777 b778 b779 b780 b781 b782 b783 b784 b785 b786 b787 b788 b789 b790 b791 b792 b793 b794 b795 b796 b797 b798 b799 b800 b801 b802 b803 b804 b805 b806 b807 b808 b809 b810 b811 b812 b813 b814 b815 b816 b817 b818 b819 b820 b821 b822 b823 b824 b825 b826 b827 b828 b829 b830 b831 b832 b833 b834 b835 b836 b837 b838 b839 b840 b841 b842 b843 b844 b845 b846 b847 b848 b849 b850 b851 b852 b853 b854 b855 b856 b857 b858 b859 b860 b861 b862 b863 b864 b865 b866 b867 b868 b869 b870 b871 b872 b873 b874 b875 b876 b877 b878 b879 b880 b881 b882 b883 b884 b885 b886 b887 b888 b889 b890 b891 b892 b893 b894 b895 b896 b897 b898 b899 b900 b901 b902 b903 b904 b905 b906 b907 b908 b909 b910 b911 b912 b913 b914 b915 b916 b917 b918 b919 b920 b921 b922 b923 b924 b925 b926 b927 b928 b929 b930 b931 b932 b933 b934 b935 b936 b937 b938 b939 b940 b941 b942 b943 b944 b945 b946 b947 b948 b949 b950 b951 b952 b953 b954 b955 b956 b957 b958 b959 b960 b961 b962 b963 b964 b965 b966 b967 b968 b969 b970 b971 b972 b973 b974 b975 b976 b977 b978 b979 b980 b981 b982 b983 b984 b985 b986 b987 b988 b989 b990 b991 b992 b993 b994 b995 b996 b997 b998 b999 b1000 b1001 b1002 b1003 b1004 b1005 b1006 b1007 b1008 b1009 b1010 b1011 b1012 b1013 b1014 b1015 b1016 b1017 b1018 b1019 b1020 b1021 b1022 b1023 b1024 b1025 b1026 b1027 b1028 b1029 b1030 b1031 b1032 b1033 b1034 b1035 b1036 b1037 b1038 b1039 b1040 b1041 b1042 b1043 b1044 b1045 b1046 b1047 b1048 b1049 b1050 b1051 b1052 b1053 b1054 b1055 b1056 b1057 b1058 b1059 b1060 b1061 b1062 b1063 b1064 b1065 b1066 b1067 b1068 b1069 b1070 b1071 b1072 b1073 b1074 b1075 b1076 b1077 b1078 b1079 b1080 b1081 b1082 b1083 b1084 b1085 b1086 b1087 b1088 b1089 b1090 b1091 b1092 b1093 b1094 b1095 b1096 b1097 b1098 b1099 b1100 b1101 b1102 b1103 b1104 b1105 b1106 b1107 b1108 b1109 b1110 b1111 b1112 b1113 b1114 b1115 b1116 b1117 b1118 b1119 b1120 b1121 b1122 b1123 b1124 b1125 b1126 b1127 b1128 b1129 b1130 b1131 b1132 b1133 b1134 b1135 b1136 b1137 b1138 b1139 b1140 b1141 b1142 b1143 b1144 b1145 b1146 b1147 b1148 b1149 b1150 b1151 b1152 b1153 b1154 b1155 b1156 b1157 b1158 b1159 b1160 b1161 b1162 b1163 b1164 b1165 b1166 b1167 b1168 b1169 b1170 b1171 b1172 b1173 b1174 b1175 b1176 b1177 b1178 b1179 b1180 b1181 b1182 b1183 b1184 b1185 b1186 b1187 b1188 b1189 b1190 b1191 b1192 b1193 b1194 b1195 b1196 b1197 b1198 b1199 b1200 b1201 b1202 b1203 b1204 b1205 b1206 b1207 b1208 b1209 b1210 b1211 b1212 b1213 b1214 b1215 b1216 b1217 b1218 b1219 b1220 b1221 b1222 b1223 b1224 b1225 b1226 b1227 b1228 b1229 b1230 b1231 b1232 b1233 b1234 b1235 b1236 b1237 b1238 b1239 b1240 b1241 b1242 b1243 b1244 b1245 b1246 b1247 b1248 b1249 b1250 b1251 b1252 b1253 b1254 b1255 b1256 b1257 b1258 b1259 b1260 b1261 b1262 b1263 b1264 b1265 b1266 b1267 b1268 b1269 b1270 b1271 b1272 b1273 b1274 b1275 b1276 b1277 b1278 b1279 b1280 b1281 b1282 b1283 b1284 b1285 b1286 b1287 b1288 b1289 b1290 b1291 b1292 b1293 b1294 b1295 b1296 b1297 b1298 b1299 b1300 b1301 b1302 b1303 b1304 b1305 b1306 b1307 b1308 b1309 b1310 b1311 b1312 b1313 b1314 b1315 b1316 b1317 b1318 b1319 b1320 b1321 b1322 b1323 b1324 b1325 b1326 b1327 b1328 b1329 b1330 b1331 b1332 b1333 b1334 b1335 b1336 b1337 b1338 b1339 b1340 b1341 b1342 b1343 b1344 b1345 b1346 b1347 b1348 b1349 b1350 b1351 b1352 b1353 b1354 b1355 b1356 b1357 b1358 b1359 b1360 b1361 b1362 b1363 b1364 b1365 b1366 b1367 b1368 b1369 b1370 b1371 b1372 b1373 b1374 b1375 b1376 b1377 b1378 b1379 b1380 b1381 b1382 b1383 b1384 b1385 b1386 b1387 b1388 b1389 b1390 b1391 b1392 b1393 b1394 b1395 b1396 b1397 b1398 b1399 b1400 b1401 b1402 b1403 b1404 b1405 b1406 b1407 b1408 b1409 b1410 b1411 b1412 b1413 b1414 b1415 b1416 b1417 b1418 b1419 b1420 b1421 b1422 b1423 b1424 b1425 b1426 b1427 b1428 b1429 b1430 b1431 b1432 b1433 b1434 b1435 b1436 b1437 b1438 b1439 b1440 b1441 b1442 b1443 b1444 b1445 b1446 b1447 b1448 b1449 b1450 b1451 b1452 b1453 b1454 b1455 b1456 b1457 b1458 b1459 b1460 b1461 b1462 b1463 b1464 b1465 b1466 b1467 b1468 b1469 b1470 b1471 b1472 b1473 b1474 b1475 b1476 b1477 b1478 b1479 b1480 b1481 b1482 b1483 b1484 b1485 b1486 b1487 b1488 b1489 b1490 b1491 b1492 b1493 b1494 b1495 b1496 b1497 b1498 b1499 b1500 b1501 b1502 b1503 b1504 b1505 b1506 b1507 b1508 b1509 b1510 b1511 b1512 b1513 b1514 b1515 b1516 b1517 b1518 b1519 b1520 b1521 b1522 b1523 b1524 b1525 b1526 b1527 b1528 b1529 b1530 b1531 b1532 b1533 b1534 b1535 b1536 b1537 b1538 b1539 b1540 b1541 b1542 b1543 b1544 b1545 b1546 b1547 b1548 b1549 b1550 b1551 b1552 b1553 b1554 b1555 b1556 b1557 b1558 b1559 b1560 b1561 b1562 b1563 b1564 b1565 b1566 b1567 b1568 b1569 b1570 b1571 b1572 b1573 b1574 b1575 b1576 b1577 b1578 b1579 b1580 b1581 b1582 b1583 b1584 b1585 b1586 b1587 b1588 b1589 b1590 b1591 b1592 b1593 b1594 b1595 b1596 b1597 b1598 b1599 b1600 b1601 b1602 b1603 b1604 b1605 b1606 b1607 b1608 b1609 b1610 b1611 b1612 b1613 b1614 b1615 b1616 b1617 b1618 b1619 b1620 b1621 b1622 b1623 b1624 b1625 b1626 b1627 b1628 b1629 b1630 b1631 b1632 b1633 b1634 b1635 b1636 b1637 b1638 b1639 b1640 b1641 b1642 b1643 b1644 b1645 b1646 b1647 b1648 b1649 b1650 b1651 b1652 b1653 b1654 b1655 b1656 b1657 b1658 b1659 b1660 b1661 b1662 b1663 b1664 b1665 b1666 b1667 b1668 b1669 b1670 b1671 b1672 b1673 b1674 b1675 b1676 b1677 b1678 b1679 b1680 b1681 b1682 b1683 b1684 b1685 b1686 b1687 b1688 b1689 b1690 b1691 b1692 b1693 b1694 b1695 b1696 b1697 b1698 b1699 b1700 b1701 b1702 b1703 b1704 b1705 b1706 b1707 b1708 b1709 b1710 b1711 b1712 b1713 b1714 b1715 b1716 b1717 b1718 b1719 b1720 b1721 b1722 b1723 b1724 b1725 b1726 b1727 b1728 b1729 b1730 b1731 b1732 b1733 b1734 b1735 b1736 b1737 b1738 b1739 b1740 b1741 b1742 b1743 b1744 b1745 b1746 b1747 b1748 b1749 b1750 b1751 b1752 b1753 b1754 b1755 b1756 b1757 b1758 b1759 b1760 b1761 b1762 b1763 b1764 b1765 b1766 b1767 b1768 b1769 b1770 b1771 b1772 b1773 b1774 b1775 b1776 b1777 b1778 b1779 b1780 b1781 b1782 b1783 b1784 b1785 b1786 b1787 b1788 b1789 b1790 b1791 b1792 b1793 b1794 b1795 b1796 b1797 b1798 b1799 b1800 b1801 b1802 b1803 b1804 b1805 b1806 b1807 b1808 b1809 b1810 b1811 b1812 b1813 b1814 b1815 b1816 b1817 b1818 b1819 b1820 b1821 b1822 b1823 b1824 b1825 b1826 b1827 b1828 b1829 b1830 b1831 b1832 b1833 b1834 b1835 b1836 b1837 b1838 b1839 b1840 b1841 b1842 b1843 b1844 b1845 b1846 b1847 b1848 b1849 b1850 b1851 b1852 b1853 b1854 b1855 b1856 b1857 b1858 b1859 b1860 b1861 b1862 b1863 b1864 b1865 b1866 b1867 b1868 b1869 b1870 b1871 b1872 b1873 b1874 b1875 b1876 b1877 b1878 b1879 b1880 b1881 b1882 b1883 b1884 b1885 b1886 b1887 b1888 b1889 b1890 b1891 b1892 b1893 b1894 b1895 b1896 b1897 b1898 b1899 b1900 b1901 b1902 b1903 b1904 b1905 b1906 b1907 b1908 b1909 b1910 b1911 b1912 b1913 b1914 b1915 b1916 b1917 b1918 b1919 b1920 b1921 b1922 b1923 b1924 b1925 b1926 b1927 b1928 b1929 b1930 b1931 b1932 b1933 b1934 b1935 b1936 b1937 b1938 b1939 b1940 b1941 b1942 b1943 b1944 b1945 b1946 b1947 b1948 b1949 b1950 b1951 b1952 b1953 b1954 b1955 b1956 b1957 b1958 b1959 b1960 b1961 b1962 b1963 b1964 b1965 b1966 b1967 b1968 b1969 b1970 b1971 b1972 b1973 b1974 b1975 b1976 b1977 b1978 b1979 b1980 b1981 b1982 b1983 b1984 b1985 b1986 b1987 b1988 b1989 b1990 b1991 b1992 b1993 b1994 b1995 b1996 b1997 b1998 b1999 b2000 b2001 b2002 b2003 b2004 b2005 b2006 b2007 b2008 b2009 b2010 b2011 b2012 b2013 b2014 b2015 b2016 b2017 b2018 b2019 b2020 b2021 b2022 b2023 b2024 b2025 b2026 b2027 b2028 b2029 b2030 b2031 b2032 b2033 b2034 b2035 b2036 b2037 b2038 b2039 b2040 b2041 b2042 b2043 b2044 b2045 b2046 b2047 b2048 b2049 b2050 b2051 b2052 b2053 b2054 b2055 b2056 b2057 b2058 b2059 b2060 b2061 b2062 b2063 b2064 b2065 b2066 b2067 b2068 b2069 b2070 b2071 b2072 b2073 b2074 b2075 b2076 b2077 b2078 b2079 b2080 b2081 b2082 b2083 b2084 b2085 b2086 b2087 b2088 b2089 b2090 b2091 b2092 b2093 b2094 b2095 b2096 b2097 b2098 b2099 b2100 b2101 b2102 b2103 b2104 b2105 b2106 b2107 b2108 b2109 b2110 b2111 b2112 b2113 b2114 b2115 b2116 b2117 b2118 b2119 b2120 b2121 b2122 b2123 b2124 b2125 b2126 b2127 b2128 b2129 b2130 b2131 b2132 b2133 b2134 b2135 b2136 b2137 b2138 b2139 b2140 b2141 b2142 b2143 b2144 b2145 b2146 b2147 b2148 b2149 b2150 b2151 b2152 b2153 b2154 b2155 b2156 b2157 b2158 b2159 b2160 b2161 b2162 b2163 b2164 b2165 b2166 b2167 b2168 b2169 b2170 b2171 b2172 b2173 b2174 b2175 b2176 b2177 b2178 b2179 b2180 b2181 b2182 b2183 b2184 b2185 b2186 b2187 b2188 b2189 b2190 b2191 b2192 b2193 b2194 b2195 b2196 b2197 b2198 b2199 b2200 b2201 b2202 b2203 b2204 b2205 b2206 b2207 b2208 b2209 b2210 b2211 b2212 b2213 b2214 b2215 b2216 b2217 b2218 b2219 b2220 b2221 b2222 b2223 b2224 b2225 b2226 b2227 b2228 b2229 b2230 b2231 b2232 b2233 b2234 b2235 b2236 b2237 b2238 b2239 b2240 b2241 b2242 b2243 b2244 b2245 b2246 b2247 b2248 b2249 b2250 b2251 b2252 b2253 b2254 b2255 b2256 b2257 b2258 b2259 b2260 b2261 b2262 b2263 b2264 b2265 b2266 b2267 b2268 b2269 b2270 b2271 b2272 b2273 b2274 b2275 b2276 b2277 b2278 b2279 b2280 b2281 b2282 b2283 b2284 b2285 b2286 b2287 b2288 b2289 b2290 b2291 b2292 b2293 b2294 b2295 b2296 b2297 b2298 b2299 b2300 b2301 b2302 b2303 b2304 b2305 b2306 b2307 b2308 b2309 b2310 b2311 b2312 b2313 b2314 b2315 b2316 b2317 b2318 b2319 b2320 b2321 b2322 b2323 b2324 b2325 b2326 b2327 b2328 b2329 b2330 b2331 b2332 b2333 b2334 b2335 b2336 b2337 b2338 b2339 b2340 b2341 b2342 b2343 b2344 b2345 b2346 b2347 b2348 b2349 b2350 b2351 b2352 b2353 b2354 b2355 b2356 b2357 b2358 b2359 b2360 b2361 b2362 b2363 b2364 b2365 b2366 b2367 b2368 b2369 b2370 b2371 b2372 b2373 b2374 b2375 b2376 b2377 b2378 b2379 b2380 b2381 b2382 b2383 b2384 b2385 b2386 b2387 b2388 b2389 b2390 b2391 b2392 b2393 b2394 b2395 b2396 b2397 b2398 b2399 b2400 b2401 b2402 b2403 b2404 b2405 b2406 b2407 b2408 b2409 b2410 b2411 b2412 b2413 b2414 b2415 b2416 b2417 b2418 b2419 b2420 b2421 b2422 b2423 b2424 b2425 b2426 b2427 b2428 b2429 b2430 b2431 b2432 b2433 b2434 b2435 b2436 b2437 b2438 b2439 b2440 b2441 b2442 b2443 b2444 b2445 b2446 b2447 b2448 b2449 b2450 b2451 b2452 b2453 b2454 b2455 b2456 b2457 b2458 b2459 b2460 b2461 b2462 b2463 b2464 b2465 b2466 b2467 b2468 b2469 b2470 b2471 b2472 b2473 b2474 b2475 b2476 b2477 b2478 b2479 b2480 b2481 b2482 b2483 b2484 b2485 b2486 b2487 b2488 b2489 b2490 b2491 b2492 b2493 b2494 b2495 b2496 b2497 b2498 b2499 b2500 b2501 b2502 b2503 b2504 b2505 b2506 b2507 b2508 b2509 b2510 b2511 b2512 b2513 b2514 b2515 b2516 b2517 b2518 b2519 b2520 b2521 b2522 b2523 b2524 b2525 b2526 b2527 b2528 b2529 b2530 b2531 b2532 b2533 b2534 b2535 b2536 b2537 b2538 b2539 b2540 b2541 b2542 b2543 b2544 b2545 b2546 b2547 b2548 b2549 b2550 b2551 b2552 b2553 b2554 b2555 b2556 b2557 b2558 b2559 b2560 b2561 b2562 b2563 b2564 b2565 b2566 b2567 b2568 b2569 b2570 b2571 b2572 b2573 b2574 b2575 b2576 b2577 b2578 b2579 b2580 b2581 b2582 b2583 b2584 b2585 b2586 b2587 b2588 b2589 b2590 b2591 b2592 b2593 b2594 b2595 b2596 b2597 b2598 b2599 b2600 b2601 b2602 b2603 b2604 b2605 b2606 b2607 b2608 b2609 b2610 b2611 b2612 b2613 b2614 b2615 b2616 b2617 b2618 b2619 b2620 b2621 b2622 b2623 b2624 b2625 b2626 b2627 b2628 b2629 b2630 b2631 b2632 b2633 b2634 b2635 b2636 b2637 b2638 b2639 b2640 b2641 b2642 b2643 b2644 b2645 b2646 b2647 b2648 b2649 b2650 b2651 b2652 b2653 b2654 b2655 b2656 b2657 b2658 b2659 b2660 b2661 b2662 b2663 b2664 b2665 b2666 b2667 b2668 b2669 b2670 b2671 b2672 b2673 b2674 b2675 b2676 b2677 b2678 b2679 b2680 b2681 b2682 b2683 b2684 b2685 b2686 b2687 b2688 b2689 b2690 b2691 b2692 b2693 b2694 b2695 b2696 b2697 b2698 b2699 b2700 b2701 b2702 b2703 b2704 b2705 b2706 b2707 b2708 b2709 b2710 b2711 b2712 b2713 b2714 b2715 b2716 b2717 b2718 b2719 b2720 b2721 b2722 b2723 b2724 b2725 b2726 b2727 b2728 b2729 b2730 b2731 b2732 b2733 b2734 b2735 b2736 b2737 b2738 b2739 b2740 b2741 b2742 b2743 b2744 b2745 b2746 b2747 b2748 b2749 b2750 b2751 b2752 b2753 b2754 b2755 b2756 b2757 b2758 b2759 b2760 b2761 b2762 b2763 b2764 b2765 b2766 b2767 b2768 b2769 b2770 b2771 b2772 b2773 b2774 b2775 b2776 b2777 b2778 b2779 b2780 b2781 b2782 b2783 b2784 b2785 b2786 b2787 b2788 b2789 b2790 b2791 b2792 b2793 b2794 b2795 b2796 b2797 b2798 b2799 b2800 b2801 b2802 b2803 b2804 b2805 b2806 b2807 b2808 b2809 b2810 b2811 b2812 b2813 b2814 b2815 b2816 b2817 b2818 b2819 b2820 b2821 b2822 b2823 b2824 b2825 b2826 b2827 b2828 b2829 b2830 b2831 b2832 b2833 b2834 b2835 b2836 b2837 b2838 b2839 b2840 b2841 b2842 b2843 b2844 b2845 b2846 b2847 b2848 b2849 b2850 b2851 b2852 b2853 b2854 b2855 b2856 b2857 b2858 b2859 b2860 b2861 b2862 b2863 b2864 b2865 b2866 b2867 b2868 b2869 b2870 b2871 b2872 b2873 b2874 b2875 b2876 b2877 b2878 b2879 b2880 b2881 b2882 b2883 b2884 b2885 b2886 b2887 b2888 b2889 b2890 b2891 b2892 b2893 b2894 b2895 b2896 b2897 b2898 b2899 b2900 b2901 b2902 b2903 b2904 b2905 b2906 b2907 b2908 b2909 b2910 b2911 b2912 b2913 b2914 b2915 b2916 b2917 b2918 b2919 b2920 b2921 b2922 b2923 b2924 b2925 b2926 b2927 b2928 b2929 b2930 b2931 b2932 b2933 b2934 b2935 b2936 b2937 b2938 b2939 b2940 b2941 b2942 b2943 b2944 b2945 b2946 b2947 b2948 b2949 b2950 b2951 b2952 b2953 b2954 b2955 b2956 b2957 b2958 b2959 b2960 b2961 b2962 b2963 b2964 b2965 b2966 b2967 b2968 b2969 b2970 b2971 b2972 b2973 b2974 b2975 b2976 b2977 b2978 b2979 b2980 b2981 b2982 b2983 b2984 b2985 b2986 b2987 b2988 b2989 b2990 b2991 b2992 b2993 b2994 b2995 b2996 b2997 b2998 b2999 b3000 b3001 b3002 b3003 b3004 b3005 b3006 b3007 b3008 b3009 b3010 b3011 b3012 b3013 b3014 b3015 b3016 b3017 b3018 b3019 b3020 b3021 b3022 b3023 b3024 b3025 b3026 b3027 b3028 b3029 b3030 b3031 b3032 b3033 b3034 b3035 b3036 b3037 b3038 b3039 b3040 b3041 b3042 b3043 b3044 b3045 b3046 b3047 b3048 b3049 b3050 b3051 b3052 b3053 b3054 b3055 b3056 b3057 b3058 b3059 b3060 b3061 b3062 b3063 b3064 b3065 b3066 b3067 b3068 b3069 b3070 b3071 b3072 b3073 b3074 b3075 b3076 b3077 b3078 b3079 b3080 b3081 b3082 b3083 b3084 b3085 b3086 b3087 b3088 b3089 b3090 b3091 b3092 b3093 b3094 b3095 b3096 b3097 b3098 b3099 b3100 b3101 b3102 b3103 b3104 b3105 b3106 b3107 b3108 b3109 b3110 b3111 b3112 b3113 b3114 b3115 b3116 b3117 b3118 b3119 b3120 b3121 b3122 b3123 b3124 b3125 b3126 b3127 b3128 b3129 b3130 b3131 b3132 b3133 b3134 b3135 b3136 b3137 b3138 b3139 b3140 b3141 b3142 b3143 b3144 b3145 b3146 b3147 b3148 b3149 b3150 b3151 b3152 b3153 b3154 b3155 b3156 b3157 b3158 b3159 b3160 b3161 b3162 b3163 b3164 b3165 b3166 b3167 b3168 b3169 b3170 b3171 b3172 b3173 b3174 b3175 b3176 b3177 b3178 b3179 b3180 b3181 b3182 b3183 b3184 b3185 b3186 b3187 b3188 b3189 b3190 b3191 b3192 b3193 b3194 b3195 b3196 b3197 b3198 b3199 b3200 b3201 b3202 b3203 b3204 b3205 b3206 b3207 b3208 b3209 b3210 b3211 b3212 b3213 b3214 b3215 b3216 b3217 b3218 b3219 b3220 b3221 b3222 b3223 b3224 b3225 b3226 b3227 b3228 b3229 b3230 b3231 b3232 b3233 b3234 b3235 b3236 b3237 b3238 b3239 b3240 b3241 b3242 b3243 b3244 b3245 b3246 b3247 b3248 b3249 b3250 b3251 b3252 b3253 b3254 b3255 b3256 b3257 b3258 b3259 b3260 b3261 b3262 b3263 b3264 b3265 b3266 b3267 b3268 b3269 b3270 b3271 b3272 b3273 b3274 b3275 b3276 b3277 b3278 b3279 b3280 b3281 b3282 b3283 b3284 b3285 b3286 b3287 b3288 b3289 b3290 b3291 b3292 b3293 b3294 b3295 b3296 b3297 b3298 b3299 b3300 b3301 b3302 b3303 b3304 b3305 b3306 b3307 b3308 b3309 b3310 b3311 b3312 b3313 b3314 b3315 b3316 b3317 b3318 b3319 b3320 b3321 b3322 b3323 b3324 b3325 b3326 b3327 b3328 b3329 b3330 b3331 b3332 b3333 b3334 b3335 b3336 b3337 b3338 b3339 b3340 b3341 b3342 b3343 b3344 b3345 b3346 b3347 b3348 b3349 b3350 b3351 b3352 b3353 b3354 b3355 b3356 b3357 b3358 b3359 b3360 b3361 b3362 b3363 b3364 b3365 b3366 b3367 b3368 b3369 b3370 b3371 b3372 b3373 b3374 b3375 b3376 b3377 b3378 b3379 b3380 b3381 b3382 b3383 b3384 b3385 b3386 b3387 b3388 b3389 b3390 b3391 b3392 b3393 b3394 b3395 b3396 b3397 b3398 b3399 b3400 b3401 b3402 b3403 b3404 b3405 b3406 b3407 b3408 b3409 b3410 b3411 b3412 b3413 b3414 b3415 b3416 b3417 b3418 b3419 b3420 b3421 b3422 b3423 b3424 b3425 b3426 b3427 b3428 b3429 b3430 b3431 b3432 b3433 b3434 b3435 b3436 b3437 b3438 b3439 b3440 b3441 b3442 b3443 b3444 b3445 b3446 b3447 b3448 b3449 b3450 b3451 b3452 b3453 b3454 b3455 b3456 b3457 b3458 b3459 b3460 b3461 b3462 b3463 b3464 b3465 b3466 b3467 b3468 b3469 b3470 b3471 b3472 b3473 b3474 b3475 b3476 b3477 b3478 b3479 b3480 b3481 b3482 b3483 b3484 b3485 b3486 b3487 b3488 b3489 b3490 b3491 b3492 b3493 b3494 b3495 b3496 b3497 b3498 b3499 b3500 b3501 b3502 b3503 b3504 b3505 b3506 b3507 b3508 b3509 b3510 b3511 b3512 b3513 b3514 b3515 b3516 b3517 b3518 b3519 b3520 b3521 b3522 b3523 b3524 b3525 b3526 b3527 b3528 b3529 b3530 b3531 b3532 b3533 b3534 b3535 b3536 b3537 b3538 b3539 b3540 b3541 b3542 b3543 b3544 b3545 b3546 b3547 b3548 b3549 b3550 b3551 b3552 b3553 b3554 b3555 b3556 b3557 b3558 b3559 b3560 b3561 b3562 b3563 b3564 b3565 b3566 b3567 b3568 b3569 b3570 b3571 b3572 b3573 b3574 b3575 b3576 b3577 b3578 b3579 b3580 b3581 b3582 b3583 b3584 b3585 b3586 b3587 b3588 b3589 b3590 b3591 b3592 b3593 b3594 b3595 b3596 b3597 b3598 b3599 b3600 b3601 b3602 b3603 b3604 b3605 b3606 b3607 b3608 b3609 b3610 b3611 b3612 b3613 b3614 b3615 b3616 b3617 b3618 b3619 b3620 b3621 b3622 b3623 b3624 b3625 b3626 b3627 b3628 b3629 b3630 b3631 b3632 b3633 b3634 b3635 b3636 b3637 b3638 b3639 b3640 b3641 b3642 b3643 b3644 b3645 b3646 b3647 b3648 b3649 b3650 b3651 b3652 b3653 b3654 b3655 b3656 b3657 b3658 b3659 b3660 b3661 b3662 b3663 b3664 b3665 b3666 b3667 b3668 b3669 b3670 b3671 b3672 b3673 b3674 b3675 b3676 b3677 b3678 b3679 b3680 b3681 b3682 b3683 b3684 b3685 b3686 b3687 b3688 b3689 b3690 b3691 b3692 b3693 b3694 b3695 b3696 b3697 b3698 b3699 b3700 b3701 b3702 b3703 b3704 b3705 b3706 b3707 b3708 b3709 b3710 b3711 b3712 b3713 b3714 b3715 b3716 b3717 b3718 b3719 b3720 b3721 b3722 b3723 b3724 b3725 b3726 b3727 b3728 b3729 b3730 b3731 b3732 b3733 b3734 b3735 b3736 b3737 b3738 b3739 b3740 b3741 b3742 b3743 b3744 b3745 b3746 b3747 b3748 b3749 b3750 b3751 b3752 b3753 b3754 b3755 b3756 b3757 b3758 b3759 b3760 b3761 b3762 b3763 b3764 b3765 b3766 b3767 b3768 b3769 b3770 b3771 b3772 b3773 b3774 b3775 b3776 b3777 b3778 b3779 b3780 b3781 b3782 b3783 b3784 b3785 b3786 b3787 b3788 b3789 b3790 b3791 b3792 b3793 b3794 b3795 b3796 b3797 b3798 b3799 b3800 b3801 b3802 b3803 b3804 b3805 b3806 b3807 b3808 b3809 b3810 b3811 b3812 b3813 b3814 b3815 b3816 b3817 b3818 b3819 b3820 b3821 b3822 b3823 b3824 b3825 b3826 b3827 b3828 b3829 b3830 b3831 b3832 b3833 b3834 b3835 b3836 b3837 b3838 b3839 b3840 b3841 b3842 b3843 b3844 b3845 b3846 b3847 b3848 b3849 b3850 b3851 b3852 b3853 b3854 b3855 b3856 b3857 b3858 b3859 b3860 b3861 b3862 b3863 b3864 b3865 b3866 b3867 b3868 b3869 b3870 b3871 b3872 b3873 b3874 b3875 b3876 b3877 b3878 b3879 b3880 b3881 b3882 b3883 b3884 b3885 b3886 b3887 b3888 b3889 b3890 b3891 b3892 b3893 b3894 b3895 b3896 b3897 b3898 b3899 b3900 b3901 b3902 b3903 b3904 b3905 b3906 b3907 b3908 b3909 b3910 b3911 b3912 b3913 b3914 b3915 b3916 b3917 b3918 b3919 b3920 b3921 b3922 b3923 b3924 b3925 b3926 b3927 b3928 b3929 b3930 b3931 b3932 b3933 b3934 b3935 b3936 b3937 b3938 b3939 b3940 b3941 b3942 b3943 b3944 b3945 b3946 b3947 b3948 b3949 b3950 b3951 b3952 b3953 b3954 b3955 b3956 b3957 b3958 b3959 b3960 b3961 b3962 b3963 b3964 b3965 b3966 b3967 b3968 b3969 b3970 b3971 b3972 b3973 b3974 b3975 b3976 b3977 b3978 b3979 b3980 b3981 b3982 b3983 b3984 b3985 b3986 b3987 b3988 b3989 b3990 b3991 b3992 b3993 b3994 b3995 b3996 b3997 b3998 b3999 b4000 b4001 b4002 b4003 b4004 b4005 b4006 b4007 b4008 b4009 b4010 b4011 b4012 b4013 b4014 b4015 b4016 b4017 b4018 b4019 b4020 b4021 b4022 b4023 b4024 b4025 b4026 b4027 b4028 b4029 b4030 b4031 b4032 b4033 b4034 b4035 b4036 b4037 b4038 b4039 b4040 b4041 b4042 b4043 b4044 b4045 b4046 b4047 b4048 b4049 b4050 b4051 b4052 b4053 b4054 b4055 b4056 b4057 b4058 b4059 b4060 b4061 b4062 b4063 b4064 b4065 b4066 b4067 b4068 b4069 b4070 b4071 b4072 b4073 b4074 b4075 b4076 b4077 b4078 b4079 b4080 b4081 b4082 b4083 b4084 b4085 b4086 b4087 b4088 b4089 b4090 b4091 b4092 b4093 b4094 b4095 b4096 b4097 b4098 b4099 b4100 b4101 b4102 b4103 b4104 b4105 b4106 b4107 b4108 b4109 b4110 b4111 b4112 b4113 b4114 b4115 b4116 b4117 b4118 b4119 b4120 b4121 b4122 b4123 b4124 b4125 b4126 b4127 b4128 b4129 b4130 b4131 b4132 b4133 b4134 b4135 b4136 b4137 b4138 b4139 b4140 b4141 b4142 b4143 b4144 b4145 b4146 b4147 b4148 b4149 b4150 b4151 b4152 b4153 b4154 b4155 b4156 b4157 b4158 b4159 b4160 b4161 b4162 b4163 b4164 b4165 b4166 b4167 b4168 b4169 b4170 b4171 b4172 b4173 b4174 b4175 b4176 b4177 b4178 b4179 b4180 b4181 b4182 b4183 b4184 b4185 b4186 b4187 b4188 b4189 b4190 b4191 b4192 b4193 b4194 b4195 b4196 b4197 b4198 b4199 b4200 b4201 b4202 b4203 b4204 b4205 b4206 b4207 b4208 b4209 b4210 b4211 b4212 b4213 b4214 b4215 b4216 b4217 b4218 b4219 b4220 b4221 b4222 b4223 b4224 b4225 b4226 b4227 b4228 b4229 b4230 b4231 b4232 b4233 b4234 b4235 b4236 b4237 b4238 b4239 b4240 b4241 b4242 b4243 b4244 b4245 b4246 b4247 b4248 b4249 b4250 b4251 b4252 b4253 b4254 b4255 b4256 b4257 b4258 b4259 b4260 b4261 b4262 b4263 b4264 b4265 b4266 b4267 b4268 b4269 b4270 b4271 b4272 b4273 b4274 b4275 b4276 b4277 b4278 b4279 b4280 b4281 b4282 b4283 b4284 b4285 b4286 b4287 b4288 b4289 b4290 b4291 b4292 b4293 b4294 b4295 b4296 b4297 b4298 b4299 b4300 b4301 b4302 b4303 b4304 b4305 b4306 b4307 b4308 b4309 b4310 b4311 b4312 b4313 b4314 b4315 b4316 b4317 b4318 b4319 b4320 b4321 b4322 b4323 b4324 b4325 b4326 b4327 b4328 b4329 b4330 b4331 b4332 b4333 b4334 b4335 b4336 b4337 b4338 b4339 b4340 b4341 b4342 b4343 b4344 b4345 b4346 b4347 b4348 b4349 b4350 b4351 b4352 b4353 b4354 b4355 b4356 b4357 b4358 b4359 b4360 b4361 b4362 b4363 b4364 b4365 b4366 b4367 b4368 b4369 b4370 b4371 b4372 b4373 b4374 b4375 b4376 b4377 b4378 b4379 b4380 b4381 b4382 b4383 b4384 b4385 b4386 b4387 b4388 b4389 b4390 b4391 b4392 b4393 b4394 b4395 b4396 b4397 b4398 b4399 b4400 b4401 b4402 b4403 b4404 b4405 b4406 b4407 b4408 b4409 b4410 b4411 b4412 b4413 b4414 b4415 b4416 b4417 b4418 b4419 b4420 b4421 b4422 b4423 b4424 b4425 b4426 b4427 b4428 b4429 b4430 b4431 b4432 b4433 b4434 b4435 b4436 b4437 b4438 b4439 b4440 b4441 b4442 b4443 b4444 b4445 b4446 b4447 b4448 b4449 b4450 b4451 b4452 b4453 b4454 b4455 b4456 b4457 b4458 b4459 b4460 b4461 b4462 b4463 b4464 b4465 b4466 b4467 b4468 b4469 b4470 b4471 b4472 b4473 b4474 b4475 b4476 b4477 b4478 b4479 b4480 b4481 b4482 b4483 b4484 b4485 b4486 b4487 b4488 b4489 b4490 b4491 b4492 b4493 b4494 b4495 b4496 b4497 b4498 b4499 b4500 b4501 b4502 b4503 b4504 b4505 b4506 b4507 b4508 b4509 b4510 b4511 b4512 b4513 b4514 b4515 b4516 b4517 b4518 b4519 b4520 b4521 b4522 b4523 b4524 b4525 b4526 b4527 b4528 b4529 b4530 b4531 b4532 b4533 b4534 b4535 b4536 b4537 b4538 b4539 b4540 b4541 b4542 b4543 b4544 b4545 b4546 b4547 b4548 b4549 b4550 b4551 b4552 b4553 b4554 b4555 b4556 b4557 b4558 b4559 b4560 b4561 b4562 b4563 b4564 b4565 b4566 b4567 b4568 b4569 b4570 b4571 b4572 b4573 b4574 b4575 b4576 b4577 b4578 b4579 b4580 b4581 b4582 b4583 b4584 b4585 b4586 b4587 b4588 b4589 b4590 b4591 b4592 b4593 b4594 b4595 b4596 b4597 b4598 b4599 b4600 b4601 b4602 b4603 b4604 b4605 b4606 b4607 b4608 b4609 b4610 b4611 b4612 b4613 b4614 b4615 b4616 b4617 b4618 b4619 b4620 b4621 b4622 b4623 b4624 b4625 b4626 b4627 b4628 b4629 b4630 b4631 b4632 b4633 b4634 b4635 b4636 b4637 b4638 b4639 b4640 b4641 b4642 b4643 b4644 b4645 b4646 b4647 b4648 b4649 b4650 b4651 b4652 b4653 b4654 b4655 b4656 b4657 b4658 b4659 b4660 b4661 b4662 b4663 b4664 b4665 b4666 b4667 b4668 b4669 b4670 b4671 b4672 b4673 b4674 b4675 b4676 b4677 b4678 b4679 b4680 b4681 b4682 b4683 b4684 b4685 b4686 b4687 b4688 b4689 b4690 b4691 b4692 b4693 b4694 b4695 b4696 b4697 b4698 b4699 b4700 b4701 b4702 b4703 b4704 b4705 b4706 b4707 b4708 b4709 b4710 b4711 b4712 b4713 b4714 b4715 b4716 b4717 b4718 b4719 b4720 b4721 b4722 b4723 b4724 b4725 b4726 b4727 b4728 b4729 b4730 b4731 b4732 b4733 b4734 b4735 b4736 b4737 b4738 b4739 b4740 b4741 b4742 b4743 b4744 b4745 b4746 b4747 b4748 b4749 b4750 b4751 b4752 b4753 b4754 b4755 b4756 b4757 b4758 b4759 b4760 b4761 b4762 b4763 b4764 b4765 b4766 b4767 b4768 b4769 b4770 b4771 b4772 b4773 b4774 b4775 b4776 b4777 b4778 b4779 b4780 b4781 b4782 b4783 b4784 b4785 b4786 b4787 b4788 b4789 b4790 b4791 b4792 b4793 b4794 b4795 b4796 b4797 b4798 b4799 b4800 b4801 End